KR100260119B1 - 반도체 처리장치 - Google Patents

반도체 처리장치 Download PDF

Info

Publication number
KR100260119B1
KR100260119B1 KR1019940014586A KR19940014586A KR100260119B1 KR 100260119 B1 KR100260119 B1 KR 100260119B1 KR 1019940014586 A KR1019940014586 A KR 1019940014586A KR 19940014586 A KR19940014586 A KR 19940014586A KR 100260119 B1 KR100260119 B1 KR 100260119B1
Authority
KR
South Korea
Prior art keywords
case
chamber
pressure
processing
cylindrical portion
Prior art date
Application number
KR1019940014586A
Other languages
English (en)
Other versions
KR950001878A (ko
Inventor
세이시 무라카미
Original Assignee
히가시 데쓰로
동경 엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 히가시 데쓰로, 동경 엘렉트론주식회사 filed Critical 히가시 데쓰로
Publication of KR950001878A publication Critical patent/KR950001878A/ko
Application granted granted Critical
Publication of KR100260119B1 publication Critical patent/KR100260119B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체웨이퍼의 CVD장치는 알루미늄제의 처리챔버(12)를 구비한다. 하단이 개구하는 통형상의 석영제의 케이스(13)이 처리쳄버(12)내에 배치된다. 케이스(13)하단의 플랜지(34)와 처리챔버(12)의 바닥벽에 형성된 개구를 포위하듯이, 케이스(13)하단의 플랜지(34)가 처리챔버(12)의 바닥벽에 기밀하게 접합된다 이에 의하여, 케이스(13)의 내부공간이 처리공간으로부터 기밀하게 격리된다. 바닥벽의 개구는 처리챔버(12)의 바깥쪽으로부터 뚜껑체(24)에 의하여 페쇄된다. 케이스(13)내에 천판(31)과 대항하듯이 저항발열체(14)가 배설된다. 급전선(15a),(15b) 및 열전대(16)이, 대기쪽으로부터 뚜껑체(24)를 통하여 케이스(13)내에 도입된다. 뚜껑체(24)에 불활성 가스 공급관(43) 및 배기관(45)가 접속된다. 이것들에 의하여 케이스(13)내가 불활성가스 분위기로 되고, 저항 발열체(14)등의 산화가 방지된다.

Description

반도체 처리장치
제1도는 본 발명의 실시예에 관계된 CVD장치의 전체를 나타낸 종단측면도.
제2도는 제1도에 도시한 장치의 요부를 나타낸 단면도.
제3도는 제1도에 도시한 장치의 요부를 나타낸 분해사시도.
제4도는 제1도에 도시한 장치의 변경예를 나타낸 종단측면도.
제5도는 본 발명의 다른 실시예에 관계된 CVD장치의 전체를 나타낸 종단측면도.
제6도는 종래의 CVD장치를 나나낸 종단측면도.
* 도면의 주요부분에 대한 부호의 설명
1 : 진공처리멤버 2 : 얹어놓는대
2a : 저항 발열체 2b : 전기절연판
3 : 그라파이트 판 4 : 지지체
5 : 급전선 6 : 시스절연대
7 : 배기관 8 : 가스 공급부
12 : 처리챔버 12a : 개구
13 : 케이스 14 : 저항발열체
15a,15b : 급전선 16 : 열전대
21 : 샤워헤드 21a : 복수의 구멍
22 : 배기관 23 : 진공펌프
24 : 뚜껑체 25 : 전원
31 : 천판 32 : 위쪽 원통부
32a : 바닥판 33 : 아래쪽 원통부
34 : 플랜지 35 : 0링
40 : 지주 41 : 지지판
41a : 슈 42 : 반사판
43 : 공급관 44 : 배기관
45 : 불활성 가스원 61 : 고리형상돌기
62 : 컨트롤러 71 : 관
72,73 : 구멍 74 : 리프트판
75 : 리프트핀 76 : 서프트
77 : 승강용 구동부재 80 : 케이싱체
81,82 : 관 83 : 진공펌프
84 : 매스플로 컨트롤러(MFC) 85 : 컨트롤러
86,87····: 압력센서 91 : 얹어놓는대
92 : 서프트 93 : 승강용 구동부재
94,95 : 슬리트 G1,G2 : 게이트
W : 웨이퍼 V1,V2 : 밸브
GS : 불활성 가스원
본 발명은, 반도체 웨이퍼나 LCD기판 등의 기판을 가열하고, 또한 가스를 사용하여서 처리하는 반도체 처리장치에 관한 것이다.
실리콘웨이퍼 등의 반도체웨이퍼나 LCD용의 유리기판 등을 처리하는 장치로서 CVD(Chemieal Vapor Deposition)장치가 알려져 있다. CVD장치에서는 박막을 웨이퍼상에 균일하게 형성하기 위하여 웨이퍼의 전면을 소정의 온도로 균일하게 가열 유지하는 것이 중요하게 된다.
제6도는 저항히터(resistance heater)를 사용한 종래의 CVD장치를 나타낸다. 이 장치에서는, 진공처리챔버(1)에 의하여 규정되는 처리공간의 하부에 얹어놓는대(work table)(2)가 지지체(4)에 지지되어 배설된다. 얹어놓는대(2)는, 저항발열체(resistance heater body)(2a)와 이 양면쪽에 겹쳐진 전기절열판(2b),(2b)를 구비한다. 위쪽의 절연판(2b)의 표면에는 웨이퍼 재치면을 이루는 그라파이트판(3)이 쌓여진다. 저항발열체(2a)에는 급전선(5)가 접속되고, 급전선(5)는 예컨대 도시하지 않은 시스와이어에 둘러싸여서 처리챔버(1)밖으로 꺼내진다. 저항발열체(2a)에는 또, 시스와이어 속에 열전대를 수납하여서 이루어지는 시스열전대(6)이 접촉된다.
이와같은 성막처리장치에서는, 처리챔버(1)내를 배기관(7)로부터 배기하여 소정의 진공도로 유지하면서 가스공급부(8)로부터 처리를 공급한다. 또 저항발열체(2A)에 급전선(5)를 게재하여 급전하고, 시스열전대(6)의 온도검출치에 근거하여서 웨이퍼(W)의 온도가 소정의 온도로 되도록 제어한다.
저항히터로서는, 저항발열선을 세라믹스판속에 매설하고, 세라믹스판상에 웨이퍼를 재치하는 세라믹히터도 알려져 있다.
CVD장치에서는, 처리가스로서 부식성 가스를 사용하거나, 혹은 처리가스의 반응에 의하여 부식성 가스가 생성되는 경우가 있다. 예컨대 하로겐화 가스를 사용한 경우에는 기상반응에 의하여 부식성이 강한 하로겐가스가 생성된다. 더구나, 프로세스증은 고온으로 되어서 하로겐가스의 부식성은 대단히 강해지기 때문에, 처리가스 분위기에 쬐어지는 급전선(5), 단자 혹은 저항발열체(2a)가 부식된다.
이와 같은 회로소자의 부식에 의하여, 저항히터회로의 저항치가 증가하여 히터에 소정의 전류를 흐르게 할수 없게 되거나, 단선이 생긴다. 또, 부식이외의 문제로서, 도전성의 막, 예컨대 금속막을 웨이퍼상에 형성하는 처리에서는, 급전선(5)의 단자부 사이에 금속막이 부착하여 쇼트를 일으키는 수가 있다.
이것도 또, 저항히터에 안정되게 전력을 공급할 수 없는 원인이 된다. 이 때문에, 웨이퍼(W)의 온도가 불안정하게 되고, 형성되는 막의 두께나 성질의 면내균일성이 나빠진다.
한편, 열전대에 대해서도 다음과 같은 문제가 있다. 즉, 열전대는 처리챔버(1)내의 분위기에 쬐게 되는데, 그 리스폰스는 진공도에 따라서 변한다. 예컨대 처리가스의 공급에 의하여 압력이 크게 변화한 때에는 온도가 오버슈트하고, 온도가 검출을 정확하게 할 수 없게 된다. 이 때문에, 성막시의 히터 온도, 따라서 또 웨이퍼 온도가 불안정하게 되고, 안정된 성막처리를 할 수 없게 된다.
만일, 세라믹스히터를 사용한 경우에는, 저항발열선이 직접 처리가스 분위기에 쬐게 되지 않기 때문에, 상술한 결점은 완화된다. 그러나, 시스와이어와 세라믹스판과를 직접 접합하면, 열팽창률의 상이에 기인하고, 세라믹에 파편이 생긴다.
이 때문에, 저항발열선의 단자는 노출하지 않을 수 없고, 여기서 역시 부식의 문제가 생긴다.
또, 세라믹스히터는 열용량이 대단히 크고, 급가열 급냉각을 할 수 없다. 때문에, 세라믹스히터는, 복수의 웨이퍼의 처리사이에 있어서도 항상 온의 상태대로 해 둘 필요가 있다.
그러나, 가열상태로 유지된 세라믹히터상 웨이퍼(W)를 재치하며, 웨이퍼는 실온으로부터 급격히 가열되고, 열대미지를 받는다. 더구나, 웨이퍼를 취급하는 반송 아암이 열에 의하여 왜곡된다고 하는 문제도 있다.
본 발명의 목적은, 저항히터로의 전력공급을 안정되게 할 수 있고, 이에 의하여 피처리기판에 대하여 안정된 처리를 할 수 있는 반도체처리장치를 제공하는 것에 있다.
본 발명에 의하면, 피처리기판을 기밀상태로 수납하는 처리공간을 규정하는 처리챔버와, 상기 챔버는 상기 기판을 통하기 위한 통로를 개폐하는 게이트를 구비하는 것과, 상기 챔버의 상기 처리공간에 처리가스를 공급하는 수단과, 상기 챔버의 상기 처리공간을 배기하는 수단과, 상기 챔버의 상기 처리공간내에 배치된, 기판을 지지하는 지지수단과, 상기 챔버의 벽에 형성된 개구를 덮듯이 설치되고 처리공간내에 배치된 케이스와, 상기 케이스는 상기 처리공간으로부터 기밀상태로 격리된 내부공간을 규정하는 것과, 상기 지지수단에 지지된 상태의 상기 기판에 면한 상기 케이스의 부분은 광투과성의 재료로 된 주판으로 이루어지는 것과, 상기 주판은 상기 기판의 윤곽보다도 큰 윤곽의 평탄 외면을 가지는 것과, 상기 케이스를 기밀(air-tight)하게 폐쇄하는 뚜껑체와, 상기 주판에 인접하여 상기 케이스의 상기 내부공간내에 배설된 저항 발열체와, 상기 챔버 밖으로부터 상기 케이스의 상기 내부공간내에 도입된, 상기 저항발열체에 전력을 부여하기 위한 급전부재와, 상기 챔버 밖으로부터 상기 케이스의 상기 내부공간내에 도입된, 상기 저항발열체의 온도를 측정하기 위한 온도측정부재와, 상기 챔버 밖으로부터 상기 케이스의 상기 내부공간내에 불활성가스를 공급하는 수단과, 상기 케이스의 상기 내부 공간내로부터 상기 챔버 밖으로 상기 불활성 가스를 배출하는 수단과,를 구비하는 반도체 처리장치가 제공된다.
본 발명의 반도체 처리장치에 있어서는, 저항 발열체나 급전선이 처리가스와 접촉하는 일이 없기 때문에, 처리가스로서 부식성의 가스를 사용하여도 저항발열체나 급전선이 부식하지 않고, 단자 사이에 도전성의 막이 부착하여 쇼트한다고 하는 일도 없으며, 저항발열체에 안정되게 전력을 공급할 수 있다. 케이스내는 고온으로 되지만, 불활성가스 분위기이기 때문에, 저항 발열체나 급전선의 산화가 억제된다. 열전대를 처리챔버내의 분위기로 부터 기밀하게 격리함으로써 열전대의 리스폰스가 처리챔버내의 압력의 변화에 대하여 영향을 받는 일이 없고, 따라서 온도제어가 안정한다. 케이스의 내부공간을 대기쪽에 대하여도 기밀하게 격리하고, 케이스내의 압력과 처리챔버내의 분위기의 압력과의 차를 작게 하도록 하면 케이스로서 작은 내압의 것을 사용할 수 있다.
[실시예]
제1도에 도시한 본 발명의 실시예에 관계된 CVD장치는, 처리공간을 규정하는 기밀구조의 예컨대 알루미늄으로 된 처리챔버(12)를 구비한다. 처리챔버(12)내의 상부에는 처리가스를 처리챔버(12)속에 공급하기 위한 샤워헤드(21)이 배설된다. 샤워헤드(21)은 피처리기판인 반도체웨이퍼(W)와 대항하는 하면에 복수의 구멍(21a)을 구비하고, 웨이퍼에 대하여 처리가스를 평행인 다운플로로서 공급한다.
처리챔버(12)의 바닥부에는 배기관(22)가 접속되고, 진공펌프(23)에 의하여 처리챔버(12)내를 소정의 진공도로 유지할 수 있다. 처리챔버(12)의 측벽에는 도시하지 않은 로드록실과의 사이를 개폐하기 위한 게이트(G1),(G2)가 설치되어 있다.
처리챔버(12)의 바닥부에는, 샤워헤드(21)가 대항하듯이, 테이블형상의 케이스(13)이 배설된다. 케이스(13)은 전체가 열에너지를 투과하는 재료, 예컨대, 석영으로 형성된다. 케이스(13)은 제2도 및 제3도에 도시한 바와 같이, 대경의 위쪽 원통부(32)와 이것과 동심형상으로 배치된 소경의 아래쪽 원통부(33)을 구비한다.
위쪽 원통부(32)의 상부는 전체가 균일한 두께를 가지는 천판(31)에 의하여 기밀하게 폐쇄된다. 천판(31)의 상면은 피처리기판인 웨이퍼(W)를 재치하기 위한 평탄한 재치면으로 되어 있고, 이것은 웨이퍼(W)의 윤곽보다도 큰 윤곽을 가지고, 즉 웨이퍼(W)의 지름보다도 큰 지름을 가진다. 웨이퍼(W)는 통상 균일한 두께를 가지기 때문에 웨이퍼(W)의 양면과 천판(31)의 재치면과는 실질적으로 평행이 된다.
위쪽 원통부(32)의 바닥부는 중앙에 개구를 구비하는 바닥판(32a)를 개재하여 아래쪽 원통부(33)에 기밀상태로 연이어 통한다. 아래쪽 원통부(33)의 바닥부는 개구하고, 그 주위에는 플랜지(34)가 부설된다. 플랜지(34)는 처리챔버(12)에 바닥부에 0링(35)를 개재하여 기밀상태로 고정된다. 즉, 천판(31), 상하 원통부(32),(33), 바닥판(32a) 및 플랜지(34)로 구성되는 케이스(13)은 처리챔버(12)내의 처리공간으로부터 기밀하게 격리된 내부공간을 규정한다. 케이스(13)의 각 파트는 파트마다 형성되고, 용접에 의하여 서로 접합된다.
아래쪽 원통부(3)의 하단의 개구에 대응하여 처리챔버(12)의 바닥벽에는 개구(2a)가 형성된다. 개구(2a)는 처리챔버(12)의 바깥쪽에서 부착되는 뚜껑체(24)에 의하여 폐쇄된다.
케이스(13)의 위쪽 원통부(32)내에서 천판(31)의 바로 아래에는 저항 발열체(14)가 배설된다.
저항 발열체(14)는 예컨대 그라파이트, 텅스텐, 몰리브덴, 탄탈 혹은 니켈크롬합금 등의 저항 발열재료로 형성가능하게 된다. 저항 발열체(14)는 천판(31)상의 웨이퍼(W)의 윤곽보다도 큰 윤곽의 영역에서 또한 상기 웨이퍼(W)에 평행인 영역내에서 소정의 발열분포를 얻을 수 있으면, 소용돌이 형상, S자 형상, 원판형상등 임의의 형상으로 할 수 있다.
본 실시예에서는 피처리 웨이퍼 보다도 큰 지름을 가지며, 또한 균일한 두께를 가지는 원판으로서 그라파이트로 형성되며, 천판(31)에 대하여 평행으로 배치된다.
저항발열체(14)의 아래에는 전기절연체, 예컨대 Al2O3로 된 지지판(41)과 상면이 밀러면으로서 완성된 반사판(42)가 배설된다. 저항발열체(14), 지지판(41) 및 반사판(42)는 천판(31) 및 바닥판(32a)사이에 수직으로 세워진 케이스(3)가 같은 재료, 예컨대 석영으로 된 지주(40)에 의하여 지지된다. 지지판(41)의 상면에는 저항발열체(14)를 지지하기 위한 복수의 슈(41a)가 배설되고, 저항발열체(14)의 이완을 방지하도록 되어 있다. 반사판(42)는 예컨대 금속밀러판으로 이루어지며, 저항발열체(14)로부터 발생되는 복사열, 즉 열에너지 및 저항발열체(14)에 의하여 가열된 지지판(14)로부터 발생되는 복사열, 즉 열에너지를 위 방향으로 반사하기 위하여 사용한다. 이에 의하여 처리챔버(12)의 바닥부의 온도상승이 억제된다. 제3도에서는 도시의 편의상 절연판(41) 및 반사판(42)는 생략하고 있다.
저항발열체(14)에는 예컨대 2본의 급전선(15a),(15b)이 접속된다. 급전선(15a),(15b)는 뚜껑체(24)를 지나 처리챔버(12)의 외부의 전원(25)(제1도 참조)에 접속된다. 저항발연체(14)의 하면 중앙에는 열 전도율이 높은 재질로 된 작은 고리형상 돌기(61)이 설치된다. 고리형상 돌기(61)에는 온도측정용의 열전대(16)의 밀착상태로 삽입된다. 열전대(16)은 아래쪽 원통부(33)의 내부공간으로부터 뚜껑체(24)을 지나서 처리챔버(12)의 외부에 온도컨트롤러(62)(제1도 참조)에 접속된다.
저항발열체(14)에 대해서는 복수의 가열영역을 형성하여 각각 독립으로 온도 조정할 수 있도록 분발하여도 좋다. 이 경우, 각 가열영역에 공통인 급전선과 분할된 저항발연체(14)에 대응하는 급전선을 사용함과 동시에 각 가열영역마다 열전대를 사용하면 좋다.
뚜껑판(24)에는 불활성 가스를 흐르게 하기 위한 공급관(43) 및 배기관(44)가 접속된다. 공급관(43)은 불활성 가스원(45)에 접속되고, 배기(44)는 도시하지 않은 공장 배기 덕트에 접속된다. 관(43),(44)를 사용하여 케이스(13)내에 불활성 가스를 흐르게함으로써 케이스(13)내부가 불활성 가스 분위기로 된다. 불활성 가스로서는 He(헬륨) 또는 Ar(아르곤)을 사용하는 것이 바람직하다. 또, 처리챔버(12)의 처리온도가 낮은 경우는 불활성 가스로서 질소가스를 사용할 수 있다.
케이스(13)의 위쪽 원통부(32)에는 둘레방향으로 3등분한 위치에 천판(31)과 바닥판(32a)와의 사이를 수직으로 뻗듯이 관(71)이 배설된다. 관(71)의 축구멍은 천판(31)과 바닥판(32a)로 형성된 구멍(72),(73)을 통하여 처리챔버(12)내의 처리공간과 연이어 통한다. 관(71)도 또, 천판(31)과 바닥판(32a)에 용접에 의하여 기밀하게 접속되고, 케이스(13)의 내부공간이, 처리공간에 연이어 통하지 않도록 되어 있다.
관(71)의 내부공간에는, 링 형상의 리프트판(74)에 심어 설치된 리프트핀(75)가 천판(31)상의 재치면에 대하여 돌출 및 퇴피할 수 있도록 승강가능하게 삽입된다. 리프트판(71)은, 처리챔버(12)의 바닥벽으로부터 처리챔버(12)내에 삽입된 서프트(76)을 개재하여 승강용 구동부재(77)에 연결된다. 서프트(76)이 처리챔버(12)의 바닥벽을 관통하는 부분을 기밀상태로 시일된다.
다음에 상기 CVD장치의 작용에 대하여 기술한다.
먼저, 피처리기판인 웨이퍼(W)가 게이트(G1)을 개재하여 반송수단(도시하지 않음)에 의하여 처리챔버(12)내에 반입된다. 웨이퍼(W)는, 구동부재(77)에 의하여 천판(31)로 부터 돌출한 상태로 놓여지는 3본의 리프트핀(75)에 위에 받아넘겨진 후, 리프트핀(75)가 강하함으로써 천판(31)상에 재치된다. 다음에 처리쳄버(12)가 진공펌프(23)에 의하여 배기되고 소정의 진공도로 설정된다.
전원(25)로부터 급전선(15a),(15b)를 기재하여 저항발열체(14)에 전압이 인가되고, 저항발열체(14)가 발열한다. 저항발열체(14)의 열은 복사열로서, 석영으로 된 천판(31)을 지나서 웨이퍼(W)에 부여된다. 이 때, 관(43)으로부터 관(44)에 케이스(13)내로 불활성 가스가 흐르는데, 케이스(13)내는, 대체로 대기압으로 유지된다.
저항발열체(14)의 온도는 열전대(16)에 의하여 검출되고, 이것이 온도컨트롤러(62)에 입력된다. 이 입력에 근거하여, 저항 발열체(14)의 온도를 제어하도록 저항발열체(14)로의 부여전력이 조정된다. 이에 의하여, 웨이퍼(W)의 온도가 소정의 처리온도로 설정된다. 또 처리챔버(12)를 펌프(23)으로 배기한 상태로, 샤워헤드(21)을 기재하여 처리팸버(12)내로 처리가스, 예컨대 WF6및 H2가 소정의 유량으로 공급된다. 이에 의하여, 웨이퍼(W)의 표면에 CVD막, 예컨대 상술한 처리가스이면 텅스텐막이 형성된다.
저항발열체(14) 및 급전선(15a),(15b)는, 처리챔버(12)내의 분위기에 대하여 기밀하게 격리된 케이스(13)내에 수납되어 있기 때문에, 처리가스로서 부식성의 가스를 사용하여도 저항발열체(14)나 급전선 (15a),(15b)는 부식하지 않는다.
또, 케이스(13)내는 고온으로 되지만, 케이스(13)내를 불활성 가스 분위기로 하고 있기 때문에 저항발열체(14)나 급전선 단자의 산화가 억제된다. 즉, 이들 부재의 부식이나 산화에 의한 저항치의 증가나 단선을 미연에 방지할 수 있다. 또 급전선(15a),(15b)의 단자 사이에 도전성의 막이 부착하여 쇼트하는 일도 없다. 따라서 저항발열체(14)에 안정되게 전력을 공급할 수 있고, 웨이퍼(W)의 온도제어를 확실하게 할 수 있다.
천판(31)상에 재치면에, CVD막, 예컨대 금속막이 부착하여도, 천판(31)에는 웨이퍼(W)가 재치됨으로, 금속막 부착부분에 있어서의 국소적인 승온이 억제되고, 석영이 깨질 염려는 없다.
저항발열체(14)는 열용량이 큰 것에 접하고 있지 않기 때문에, 이것을 급가열, 급냉각 할 수 있다. 이 때문에, 웨이퍼의 비처리시에 히터를 오프하고, 웨이퍼의 반입시에 히터를 온으로 하는 온도제어가 가능해진다. 즉, 웨이퍼(W)를 실온으로부터 서서히 처리온도까지 승온하고, 처리온도로부터 서서히 강온하는 온도제어를 할 수 있고, 웨이퍼(W)에 발생하는 열적 스트레스를 억제할 수 있다.
열전대(16)도 또, 처리챔버(12)내의 분위기로부터 기밀하게 격리되기 때문에, 열전대(16)의 리스폰스가 처리챔버(12)내의 압력의 변화에 영향을 받지 않는다. 예컨대 처리가스의 공급시에 처리챔버(12)내의 압력이 크게 변화하여도, 이에 의하여 온도측정에 오차는 생기지 않기 때문에 확실한 온도제어를 할 수 있다.
케이스(13)의 아래쪽 원통부(33)은 위쪽 원통부(32)에 비하여 지름이 작고, 케이스(13) 하부로의 열전도에 의한 열로스가 적다. 이 때문에, 케이스(13)과 처리챔버(12)의 바닥벽을 시일하고 있는 0링(35)에 대한 열의 악영향은 적다.
제4도는 제1도에 도시한 장치를 변경한 본 발명의 다른 실시예를 나타낸 도면이다. 이 실시예에서는, 케이스(13)의 하단개구에 위치하는 처리챔버(12)의 바닥벽의 개구(12a)가, 제1도에 도시한 뚜껑체(24)대신에, 기밀구조의 케이싱체(80)에 의하여 페쇄된다. 케이싱체(80)내는 케이스(13)내를 대기측으로부터 기밀하게 격리한다. 케이싱체(80)에는 급전선(15a),(15b) 및 열전대(16)에 더하여, 압력조정용의 2본의 (81),(82)가 접속된다. 관(81)은 밸브(V1), 매스플로 컨트롤러 (84)(MFC)를 개재하여 불활성 가스원(GS)에 접속된다. 관(82)는 밸브(V2)를 개재하여 진공펌프(83)에 접속된다.
펌프(83) 및 MFC(84)는 컨트롤러(85)에 의하여 조작되고, 이에 의하여 케이스(13)내의 압력이 조정된다. 케이스(13)내에는, 콘트롤러(85)에 접속된 압력센서(86)의 단자가 배설된다. 또 처리챔버(12)내에는, 컨트롤러(85)에 접속된 압력센서(87)의 단자가 배설된다.
여기서, 케이스(13)내의 압력의 제어로서 2개의 방법이 채용된다. 그 하나는, 케이스(13)내의 압력을 임의의 소정치로 강제적으로 유지하는 방법이다. 이 경우, 제1도에 도시한 실시예와 같이 케이스(13)내를 대체로 대기압으로 하는 것과는 다르며, 케이스(13)내를 대기압 이하, 예컨대 처리챔버(12)내의 진공도에 가까운 어느 값으로 설정된다. 케이스(13)내 압력이 낮아지면 열전대(16)의 리스폰스는 저하하지만, 동압력이 일정하면 열전대(16)의 리스폰스도 일정하게 할 수 있다. 다른 하나는, 케이스(13)내 압력과 처리챕버(12)내 압력과의 차압을 0 혹은 미소한 일정치로 유지하는 방법이다.
상술한 2개의 방법과 같이, 케이스(13)내 압력과 처리챔버(12)내 압력과의 차압을 작게하면, 케이스(13)의 내압(耐壓) 즉 강도가 낮아도 되고, 케이스(13)을 구성하는 판을 얇게 할 수 있다. 이것은 LCD기판용의 CVD장치와 같이, 장치가 대형화하였을 때에, 특히 유리하다.
제5도는 본 발명의 또다른 실시예에 관계된 CVD장치를 나타낸다. 동 도면중, 제1도 내지 제3도에 도시한 실시예의 부재와 실질적으로 대응하는 부재에는 동일 부호를 붙인다.
이 실시예에 있어서는, 리프트핀(75)용의 구멍이 없는 점을 제외하고 제1도 내지 제3도에 도시한 케이스(13)과 같은 석영제 케이스(13)이 처리챔버(12)의 천벽에 거꾸로 부착된다. 케이스(13)의 최하판(31)은, 얹어놓는대(91)상에 재치되는 웨이퍼(W)의 상면 즉 피처리면에 대하여, 간극을 개체하여 평행으로 대향하듯이 배치된다. 케이스(13)내에는, 판(31)근방에 저항발열체(14)가 배설되고, 웨이퍼(W)가 가열가능하게 되어 있다.
얹어놓는대(91)은, 처리챔버(12)의 바닥벽을 기밀하게 관통하는 서프트(92)를 개재하여, 처리챔버(12)외의 승강용 구동부재(93)에 지지된다. 얹어놓는대(91)은, 웨이퍼(W)를 그 위에 재치할 때는, 구동부재(93)에 의하여 하강되고, 케이스(13)의 최하판(31)과의 사이의 간극을 넓힌다. 그리고, 웨이퍼(W)를 재치후, 구동부(93)에 의하여 리프트되고, 케이스 최하판(31)과의 사이의 간극을 처리시간의 설정치로 한다.
게이트(G1),(G2)가 설치된 벽과 직교하는 한편의 벽에는 슬리트(94)가 설치되고, 이것은 성막용의 처리가스원에 접속된다. 슬리트(94)와 대향하듯이, 다른편의 벽에는 슬리트(95)가 설치되며, 이것은 진공펌프에 접속된다. 상막시에 있어서는, 슬리트(94),(95)사이에서, 웨이퍼(W)표면에 평행인 처리가스흐름이 형성된다.
이 실시예에 있어서도, 저항 발열체(14) 및 급전선(15a),(15b)는, 처리챔버(12)내의 분위기에 대하여 기밀하게 격리된 케이스(13)내에 수납되어 있기 때문에, 처리가스로서 부식성의 가스를 사용하여도 저항발열체(14)나 급전선(15a),(15b)는 부식하지 않는다. 또 케이스(13)내는 고온으로 되지만, 케이스(13)내를 불활성가스 분위기로 하고 있기 때문에 저항발열체(14)나 급전선 단자의 산화가 억제된다. 즉 이를 부재의 부식이나 산화에 의한 저항치의 증가나 단선을 미연에 방지할 수 있다. 또 급전선(15a),(15b)의 단자 사이에 도전성의 막이 부착하여 쇼트하는 일도 없다. 따라서, 저항발열체(14)에 안정되게 전력을 공급할 수 있고, 웨이퍼(W)의 온도제어를 확실하게 할 수 있다.
이상 기술한 실시예에 있어서, 저항발열체(14)와 대향하는 판(31)만을 광투과성 재료, 예컨대 석영으로 형성하고, 이 이외의 부분의 케이스(13)의 재료는, 광을 투과하지 않는 재료, 예컨대 금속으로 형성할 수도 있다. 이경우, 케이스(13)의 금속으로 된 부분의 내면을 밀러면으로서 완성함으로써, 반사판(42)를 생략하는 것이 가능해진다. 그러나, 반면, 다른 종류의 재료간의 기밀한 접합이 어렵다고 하는 문제도 있다. 케이스(13)으로부터 처리챔버(12)밖으로의 급전선(15a),(15b)나 열전대(16)의 꺼내기는, 상하방향으로부터가 아니고, 옆편으로 갈 수도 있다.
본 발명에 관계된 반도체 처리장치의 피처리기판으로서는, 반도체, 석영, 유리 등으로 된 웨이퍼나 LCD용의 기판을 들 수 있다. 본 발명에 관계된 반도체 처리장치로서는 CVD장치외에, 산화를 포함하는 확산장치, 아닐장치 등을 들 수 있다.

Claims (13)

  1. 피처리기판을 기밀상태에서 처리하는 처리공간을 규정하며, 상기 기판이 통과하기 위한 통로를 개페하는 게이트를 구비하는 처리챔버와, 상기 챔버의 상기 처리공간에 처리가스를 공급하는 수단과, 상기 챔버의 상기 처리공간을 배기하는 수단과, 상기챔버의 상기 처리공간 내에 배치되어 상기 챔버의 벽에 형성된 개구를 덮고, 전체가 동일한 광투과성 재료로 이루어지며, 천판과 바닥판을 가진 위쪽 원통부와, 위쪽 원통부보다 작고 위쪽 원통부를 개구로 연결시키는 아래쪽 원통부를 구성되며, 상기 천판은 상기 기판의 윤곽보다도 큰 윤곽의 평탄 외면을 가지고, 그 위에 상기 기판을 올릴 수 있으며, 상기 바닥판은 상기 챔버의 바닥벽과 분리하여 위치하는 케이스와, 상기 위쪽 원통부 내에 배설된 저항발열체와, 상기 저항발열체와 상기 위쪽 원통부의 상기 바닥판 사이에 배설되고, 상기 저항 발열체로부터 발생하는 복사열을 상기 천판을 향해서 반사하는 반사판과, 상기 저항발열체에 전력을 부여하기 위한 급전부재와, 상기 위쪽 원통부 내에 도입된 상기 저항 발열체의 온도를 측정하기 위한 온도측정부재와, 상기 케이스의 상기 내부공간 내에 불활성가스를 공급하는 수단과, 상기 케이스의 상기 내부공간으로부터 상기 챔버 밖으로 상기 불활성가스를 배출하는 수단과, 상기 처리공간을 통과하지 않고 상기 케이스의 상기 내부공간과 상기 챔버의 외부와의 사이의 상기 아래쪽 원통부내에서 뚜껑체를 관통하여 배열되는 상기 불활성가스의 공급 및 배출수단과, 온도측정부재, 상기 급전부재 및 상기 케이스를 페쇄하는 뚜껑체와, 상기 기판을 승강시키기 위하여, 상기 케이스의 상기 내부공간을 수직으로 관통하는 관통구멍내에 각각 끼워지고, 상기 관통구멍은 상기 광투과성 재료로 만든 튜브로 각각 규정되며, 상기 천판과 바닥판을 연결함으로서 상기 관통구멍은 상기 케이스의 상기 내부공간으로 부터 기밀상태로 격리되도록 하는 다수의 리프트핀과, 상기 케이스의 상기 바닥판과 상기 챔버의 상기 바닥벽 사이에 배치되고, 상기 리프트핀을 지지하는 지지대 및, 상기 케이스의 상기 바닥판과 상기 챔버의 상기 바닥벽 사이로 상기 지지대를 수직으로 이동하기 위한 구동수단을 포함하여 구성되는 반도체 처리장치.
  2. 제1항에 있어서, 상기 저항발열체는 상기 기판의 윤곽보다 더 큰 윤곽이고 또한 상기 천판과 평행인 발열영역을 가지는 반도체 처리장치.
  3. 제1항에 있어서, 상기 처리가스를 공급하는 수단이, 상기 기판상에 CVD막을 형성하기 위한 가스를 공급하는 반도체처리장치.
  4. 제1항에 있어서, 상기 케이스의 상기 내부공간내의 압력을 대기압이하로 설정하기 위하여, 상기 불활성가스의 유량을 조정하는 조정수단을 더욱 구비하는 반도체 처리장치.
  5. 제4항에 있어서, 상기 케이스의 상기 내부공간내의 압력을 검출하는 압력검출수단과, 상기 압력검출수단으로부터의 정보에 근거하여, 상기 조정수단을 제어하여 상기 케이스의 상기 내부공간내의 압력을 일정하게 유지하는 제어수단을 더욱 구비하는 반도체처리장치.
  6. 제4항에 있어서, 상기 케이스의 상기 내부공간내의 압력을 검출하는 제1압력검출수단과, 상기 챔버의 상기 챔버의 상기 처리공간내의 압력을 검출하는 제2압력검출수단, 상기 제1 및 제2압력검출수단으로부터의 정보에 근거하여, 상기 조정수단으로 제어하여 상기 챔버의 상기 처리공간내의 압력과 상기 케이스의 상기 내부공간내의 압력과의 차압을 실질적으로 일정하게 유지하는 제어수단을 더욱 구비하는 반도체처리장치.
  7. 피처리기판을 기밀상태에서 처리하는 처리공간을 규정하며, 상기 기판을 통과하기 위한 통로를 개페하는 게이트를 구비하는 처리챔버와, 상기 챔버의 상기 처리공간을 처리가스를 공급하는 수단과, 상기 챔버의 상기 처리공간을 배기하는 수단과, 상기 챔버의 상기 처리공간 내에 배치되어 상기 챔버의 바닥벽에 형성된 개구를 덮고, 전체가 동일한 광투과성 재료로 이루어지며, 천판과 바닥판을 가지며 상부측에 위치된 위쪽 원통부와, 상기 위쪽 원통부보다 작고 상기 위쪽 원통부를 개구로 연결시키며 하부측에 위치하는 아래쪽 원통부로 구성되며, 상기 천판은 상기 기판의 윤곽보다도 큰 윤곽의 평탄 외면을 가지고, 그 위에 상기 기판이 재치되며, 상기 위쪽 원통부는 상기 천판에 평행한 면내에서 상기 아래쪽 원통부보다는 큰 것인 케이스와, 상기 위쪽 원통부 내에 배설된 저항 발열체와, 상기 저항발열체와 상기 위쪽 원통부의 상기 바닥판 사이에 배설되고, 사기 저항발열체로부터 발생하는 복사열을 상기 천판을 향해서 반사하는 반사판과, 상기 저항발열체에 전력을 부여하기 위한 급전부재와, 상기 위쪽 원통부 내에 도입된 상기 저항발열체의 온도를 측정하기 위한 온도측정부재와, 상기 케이스의 상기 내부공간 내에 불활성가스를 공급하는 수단과, 상기 케이스의 상기 내부공간으로부터 상기 챔버 밖으로 상기 불활성가스를 배출하는 수단과, 상기 처리공간을 통과하지 않고 상기 케이스의 상기 내부공간과 상기 챔버의 외부와의 사이의 상기 아래쪽 원통부내에서 뚜껑체를 고나통하여 배열되는 상기 불활성가스의 공급 및 배출수단과, 온도측정부재, 상기 급전부재 및 상기 케이스를 폐쇄하는 뚜껑체와, 상기 기판을 승강시키기 위하여, 상기 케이스의 상기 내부공간을 수직으로 관통하는 관통구멍내에 각각 끼워지고, 상기 관통구멍은 상기 광투과성 재료로 만든 튜브로 각각 규정되며, 상기 천판과 바닥판을 연결함으로서 상기 관통구멍은 상기 케이스의 상기 내부공간으로부터 기밀상태로 격리되도록 하는 다수개의 리프트핀과, 상기 케이스의 상기 바닥판과 상기 챔버의 상기 바닥벽 사이에 배치되고, 상기 리프트린을 지지하는 지지대 및, 상기 케이스의 상기 바닥판과 상기 챔버의 상기 바닥벽 사이로 상기 지지대를 수직으로 이동하기 위한 구동수단을 포함하는 구성되는 반도체 처리장치.
  8. 제7항에 있어서, 상기 지지대는, 고리형상의 판으로 형성되며 상기 아래쪽 원통부 주위에 배치되는 반도체 처리장치.
  9. 제7항에 있어서, 상기 저항발열체는 상기 기판의 윤곽보다 더 큰 윤곽이고 또한 상기 천판과 평행인 발열영역을 가지는 반도체처리장치.
  10. 제7항에 있어서, 상기 처리가스를 공급하는 수단이, 상기 기판상에 CVD막을 형성하기 위한 가스를 공급하는 반도체처리장치.
  11. 제7항에 있어서, 상기 케이스의 상기 내부공간내의 압력을 대기압 이하로 설정하기 위하여, 상기 불활성가스의 유량을 조정하는 조정수단을 더욱 구비하는 반도체 처리장치.
  12. 제11항에 있어서, 상기 케이스의 상기 내부공간내의 압력을 검출하는 압력검출수단과, 상기 압력검출수단으로부터의 정보에 근거하여, 상기 조정수단을 제어하여 상기 케이스의 상기 내부공간내의 압력을 실질적으로 일정하게 유지하는 제어수단을 더욱 구비하는 반도체처리장치.
  13. 제11항에 있어서, 상기 케이스의 상기 내부공간내의 압력을 검출하는 제1압력검출수단과, 상기 챔버의 상기 처리공간내의 압력을 검출하는 제2압력검출수단과, 상기 제1 및 제2압력검출수단으로부터의 정보에 근거하여, 상기 조정수단으로 제어하여 상기 챔버의 상기 처리공간내의 압력과 상기 케이스의 상기 내부공간내의 압력과의 차압을 실질적으로 일정하게 유지하는 제어수단을 더욱 구비하는 반도체처리장치.
KR1019940014586A 1993-06-24 1994-06-24 반도체 처리장치 KR100260119B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP17984593A JP3165938B2 (ja) 1993-06-24 1993-06-24 ガス処理装置
JP93-179845 1993-06-24

Publications (2)

Publication Number Publication Date
KR950001878A KR950001878A (ko) 1995-01-04
KR100260119B1 true KR100260119B1 (ko) 2000-07-01

Family

ID=16072914

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940014586A KR100260119B1 (ko) 1993-06-24 1994-06-24 반도체 처리장치

Country Status (6)

Country Link
US (1) US5462603A (ko)
JP (1) JP3165938B2 (ko)
KR (1) KR100260119B1 (ko)
GB (1) GB2279366B (ko)
SG (1) SG46325A1 (ko)
TW (1) TW280940B (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100638406B1 (ko) * 1999-03-04 2006-10-24 어플라이드 머티어리얼스, 인코포레이티드 기판 지지대 내의 열구배를 감소시키기 위한 방법 및 장치
WO2012015140A1 (ko) * 2010-07-28 2012-02-02 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
KR101165477B1 (ko) * 2003-12-01 2012-07-13 가부시키가이샤 브리지스톤 세라믹 히터 유닛
KR101315412B1 (ko) * 2006-09-27 2013-10-07 엘아이지에이디피 주식회사 기판증착기 및 이를 이용한 증착방법
WO2019093657A1 (ko) * 2017-11-09 2019-05-16 주식회사 미코 마운트, 상기 마운트를 포함하는 히터 및 상기 히터를 포함하는 증착 장치

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07111244A (ja) * 1993-10-13 1995-04-25 Mitsubishi Electric Corp 気相結晶成長装置
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
TW275132B (en) * 1994-08-31 1996-05-01 Tokyo Electron Co Ltd Treatment apparatus
JPH08302474A (ja) * 1995-04-28 1996-11-19 Anelva Corp Cvd装置の加熱装置
JP3983831B2 (ja) * 1995-05-30 2007-09-26 シグマメルテック株式会社 基板ベーキング装置及び基板ベーキング方法
US5700725A (en) * 1995-06-26 1997-12-23 Lucent Technologies Inc. Apparatus and method for making integrated circuits
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
AU6962196A (en) * 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
JP3586031B2 (ja) * 1996-03-27 2004-11-10 株式会社東芝 サセプタおよび熱処理装置および熱処理方法
US5709772A (en) 1996-03-29 1998-01-20 Applied Materials, Inc. Non-plasma halogenated gas flow to prevent metal residues
US5753566A (en) * 1996-05-23 1998-05-19 Taiwan Semiconductor Manufactured Company, Ltd. Method of spin-on-glass etchback using hot backside helium
US6046439A (en) 1996-06-17 2000-04-04 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5885353A (en) * 1996-06-21 1999-03-23 Micron Technology, Inc. Thermal conditioning apparatus
GB9622177D0 (en) 1996-10-24 1996-12-18 Xaar Ltd Passivation of ink jet print heads
KR100246963B1 (ko) * 1996-11-22 2000-03-15 윤종용 반도체 제조장치의 웨이퍼 홀더용 스테이지
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US5911896A (en) * 1997-06-25 1999-06-15 Brooks Automation, Inc. Substrate heating apparatus with glass-ceramic panels and thin film ribbon heater element
KR100418519B1 (ko) * 1997-07-03 2004-04-21 삼성전자주식회사 확산설비 구조 및 가스 제어 방법
JPH11176902A (ja) * 1997-12-10 1999-07-02 Oki Electric Ind Co Ltd 半導体製造装置及びその製造方法
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
JP3333135B2 (ja) * 1998-06-25 2002-10-07 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US6224678B1 (en) 1998-08-12 2001-05-01 Advanced Micro Devices, Inc. Modified thermocouple mounting bushing and system including the same
US6139640A (en) * 1998-08-12 2000-10-31 Advanced Micro Devices, Inc. Chemical vapor deposition system and method employing a mass flow controller
US6206966B1 (en) 1998-09-30 2001-03-27 The Regents Of The University Of California Pedestal substrate for coated optics
KR20000027189A (ko) * 1998-10-27 2000-05-15 윤종용 반도체 장치 제조를 위한 증착설비의 가스공급장치
JP2002530847A (ja) * 1998-11-13 2002-09-17 マットソン テクノロジイ インコーポレイテッド 半導体基板を処理する熱処理装置、システム及び方法
US6087632A (en) * 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
JP4236329B2 (ja) * 1999-04-15 2009-03-11 日本碍子株式会社 プラズマ処理装置
US6151794A (en) * 1999-06-02 2000-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for heat treating an object
US6307184B1 (en) * 1999-07-12 2001-10-23 Fsi International, Inc. Thermal processing chamber for heating and cooling wafer-like objects
US6406545B2 (en) 1999-07-27 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor workpiece processing apparatus and method
TW476983B (en) 1999-09-30 2002-02-21 Tokyo Electron Ltd Heat treatment unit and heat treatment method
US6342691B1 (en) * 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
KR100629255B1 (ko) * 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
US6303906B1 (en) * 1999-11-30 2001-10-16 Wafermasters, Inc. Resistively heated single wafer furnace
US6494955B1 (en) 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US20010035403A1 (en) 2000-05-18 2001-11-01 Albert Wang Method and structure for producing flat wafer chucks
ATE275760T1 (de) 2000-06-02 2004-09-15 Ibiden Co Ltd Heizplatteneinheit
US6652655B1 (en) * 2000-07-07 2003-11-25 Applied Materials, Inc. Method to isolate multi zone heater from atmosphere
US6838115B2 (en) * 2000-07-12 2005-01-04 Fsi International, Inc. Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
US6458416B1 (en) * 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
US7192888B1 (en) * 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US7094690B1 (en) 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
US6503331B1 (en) * 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
AU2001292302A1 (en) 2000-09-29 2002-04-08 Tokyo Electron Limited Heat-treating apparatus and heat-treating method
JP2002134592A (ja) * 2000-10-19 2002-05-10 Tokyo Ohka Kogyo Co Ltd 熱処理装置および熱処理方法
JP4690368B2 (ja) * 2000-12-28 2011-06-01 東京エレクトロン株式会社 基板加熱装置および基板加熱方法
JP4009100B2 (ja) * 2000-12-28 2007-11-14 東京エレクトロン株式会社 基板加熱装置および基板加熱方法
US6576572B2 (en) * 2000-12-28 2003-06-10 Schott Lithotec Ag Method of heating a substrate using a variable surface hot plate for improved bake uniformity
JP2002313781A (ja) * 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板処理装置
KR20020080954A (ko) 2001-04-18 2002-10-26 주성엔지니어링(주) 냉벽 화학기상증착 방법 및 장치
US7368014B2 (en) * 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
EP1293587A1 (en) * 2001-09-14 2003-03-19 Kabushiki Kaisha Kobe Seiko Sho Vacuum coating apparatus with central heater
JP2003100855A (ja) * 2001-09-27 2003-04-04 Shin Etsu Handotai Co Ltd シリコン単結晶ウェーハ処理装置、シリコン単結晶ウェーハおよびシリコンエピタキシャルウェーハの製造方法
US6887803B2 (en) * 2001-11-08 2005-05-03 Wafermasters, Inc. Gas-assisted rapid thermal processing
KR20030039247A (ko) * 2001-11-12 2003-05-17 주성엔지니어링(주) 서셉터
KR20030040119A (ko) * 2001-11-14 2003-05-22 아네르바 가부시키가이샤 발열체 cvd 장치 및 이것을 이용한 발열체 cvd 방법
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
JP2003253449A (ja) 2002-02-27 2003-09-10 Sumitomo Electric Ind Ltd 半導体/液晶製造装置
JP3840990B2 (ja) * 2002-03-05 2006-11-01 住友電気工業株式会社 半導体/液晶製造装置
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6861321B2 (en) 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
AU2003257112A1 (en) 2002-09-10 2004-04-30 Fsi International, Inc. Thermal process station with heated lid
JP3887291B2 (ja) * 2002-09-24 2007-02-28 東京エレクトロン株式会社 基板処理装置
US6935638B2 (en) * 2003-02-21 2005-08-30 Blue29, Llc Universal substrate holder for treating objects in fluids
JP4251887B2 (ja) * 2003-02-26 2009-04-08 東京エレクトロン株式会社 真空処理装置
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
EP1612854A4 (en) 2003-04-07 2007-10-17 Tokyo Electron Ltd LOADING TABLE AND HEAT TREATMENT DEVICE WITH LOADING TABLE
KR20050115940A (ko) * 2003-04-18 2005-12-08 가부시키가이샤 히다치 고쿠사이 덴키 반도체 제조 장치 및 반도체 장치의 제조 방법
US6860944B2 (en) * 2003-06-16 2005-03-01 Blue29 Llc Microelectronic fabrication system components and method for processing a wafer using such components
KR100796051B1 (ko) * 2003-08-18 2008-01-21 동경 엘렉트론 주식회사 기판 유지구조물 및 기판 처리장치
JP2006128559A (ja) * 2004-11-01 2006-05-18 Tokyo Electron Ltd 基板処理システム
WO2005093806A1 (ja) * 2004-03-26 2005-10-06 Hitachi Kokusai Electric Inc. 半導体製造装置および半導体装置の製造方法
JP4710255B2 (ja) * 2004-03-26 2011-06-29 ウシオ電機株式会社 加熱ステージ
US7253107B2 (en) * 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
KR100601956B1 (ko) * 2004-06-28 2006-07-14 삼성전자주식회사 자기장의 변화를 이용한 온도측정장치
JP4486489B2 (ja) * 2004-12-22 2010-06-23 東京エレクトロン株式会社 処理方法及び処理装置
US20080207005A1 (en) * 2005-02-15 2008-08-28 Freescale Semiconductor, Inc. Wafer Cleaning After Via-Etching
WO2007025565A1 (en) * 2005-09-01 2007-03-08 Freescale Semiconductor, Inc. Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and material for coupling a dielectric layer and a metal layer in a semiconductor device
WO2007087831A1 (en) * 2006-02-03 2007-08-09 Freescale Semiconductor, Inc. 'universal' barrier cmp slurry for use with low dielectric constant interlayer dielectrics
WO2007095973A1 (en) * 2006-02-24 2007-08-30 Freescale Semiconductor, Inc. Integrated system for semiconductor substrate processing using liquid phase metal deposition
JP5041736B2 (ja) * 2006-06-09 2012-10-03 キヤノントッキ株式会社 基板加熱装置及び基板加熱方法
JP5245268B2 (ja) * 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置
US20080017116A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
TW200836578A (en) * 2006-11-27 2008-09-01 Momentive Performance Mat Inc Quartz encapsulated heater and heater assembly thereof
JP5029257B2 (ja) 2007-01-17 2012-09-19 東京エレクトロン株式会社 載置台構造及び処理装置
JP5014080B2 (ja) * 2007-11-19 2012-08-29 コバレントマテリアル株式会社 面状ヒータ
US8092606B2 (en) 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
JP4450106B1 (ja) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
JP5476726B2 (ja) * 2009-01-30 2014-04-23 住友電気工業株式会社 半導体製造装置用ウエハ保持体、及びそれを備えた半導体製造装置
JP2011054838A (ja) * 2009-09-03 2011-03-17 Tokyo Electron Ltd 載置台構造及び処理装置
JP5416570B2 (ja) * 2009-12-15 2014-02-12 住友電気工業株式会社 加熱冷却デバイスおよびそれを搭載した装置
JP2011222931A (ja) * 2009-12-28 2011-11-04 Tokyo Electron Ltd 載置台構造及び処理装置
JP5732284B2 (ja) * 2010-08-27 2015-06-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US20120085747A1 (en) * 2010-10-07 2012-04-12 Benson Chao Heater assembly and wafer processing apparatus using the same
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
KR101312592B1 (ko) * 2012-04-10 2013-09-30 주식회사 유진테크 히터 승강형 기판 처리 장치
US9088085B2 (en) * 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US10000847B2 (en) * 2014-09-24 2018-06-19 Applied Materials, Inc. Graphite susceptor
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69007733T2 (de) * 1989-05-08 1994-09-29 Philips Nv Vorrichtung und verfahren zur behandlung eines flachen, scheibenförmigen substrates unter niedrigem druck.
US5094885A (en) * 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5060354A (en) * 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
WO1993013241A1 (en) * 1991-12-23 1993-07-08 Genus, Inc. Purge gas in wafer coating area selection

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100638406B1 (ko) * 1999-03-04 2006-10-24 어플라이드 머티어리얼스, 인코포레이티드 기판 지지대 내의 열구배를 감소시키기 위한 방법 및 장치
KR101165477B1 (ko) * 2003-12-01 2012-07-13 가부시키가이샤 브리지스톤 세라믹 히터 유닛
KR101315412B1 (ko) * 2006-09-27 2013-10-07 엘아이지에이디피 주식회사 기판증착기 및 이를 이용한 증착방법
WO2012015140A1 (ko) * 2010-07-28 2012-02-02 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
KR101205433B1 (ko) * 2010-07-28 2012-11-28 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
CN103026465A (zh) * 2010-07-28 2013-04-03 国际电气高丽株式会社 基板衬托器及具有其的沉积装置
CN103026465B (zh) * 2010-07-28 2015-08-19 国际电气高丽株式会社 基板衬托器及具有其的沉积装置
US9567673B2 (en) 2010-07-28 2017-02-14 Kookje Electric Korea Co., Ltd. Substrate susceptor and deposition apparatus having same
WO2019093657A1 (ko) * 2017-11-09 2019-05-16 주식회사 미코 마운트, 상기 마운트를 포함하는 히터 및 상기 히터를 포함하는 증착 장치
KR20190052804A (ko) * 2017-11-09 2019-05-17 주식회사 미코 마운트, 상기 마운트를 포함하는 히터 및 상기 히터를 포함하는 증착 장치
KR102441541B1 (ko) * 2017-11-09 2022-09-08 주식회사 미코세라믹스 마운트, 상기 마운트를 포함하는 히터 및 상기 히터를 포함하는 증착 장치

Also Published As

Publication number Publication date
GB2279366B (en) 1996-12-18
TW280940B (ko) 1996-07-11
KR950001878A (ko) 1995-01-04
JPH0778766A (ja) 1995-03-20
GB2279366A (en) 1995-01-04
US5462603A (en) 1995-10-31
JP3165938B2 (ja) 2001-05-14
GB9412704D0 (en) 1994-08-17
SG46325A1 (en) 1998-02-20

Similar Documents

Publication Publication Date Title
KR100260119B1 (ko) 반도체 처리장치
KR0129663B1 (ko) 에칭 장치 및 방법
US6537422B2 (en) Single-substrate-heat-processing apparatus for semiconductor process
KR101012910B1 (ko) 플라즈마 처리 장치 및 그것에 이용하는 기판 가열 기구
US4640224A (en) CVD heat source
US6448536B2 (en) Single-substrate-heat-processing apparatus for semiconductor process
WO2009116472A1 (ja) 載置台構造及び熱処理装置
US20050011441A1 (en) Processing system, processing method and mounting member
US8055125B2 (en) Substrate stage mechanism and substrate processing apparatus
US6303906B1 (en) Resistively heated single wafer furnace
KR102076643B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP2003059848A (ja) マルチゾーン抵抗ヒータ
JP2009170822A (ja) 載置台装置、処理装置、温度制御方法及び記憶媒体
KR20110027621A (ko) 탑재대 구조체 및 처리 장치
JP2000339039A (ja) 加熱手段の温度制御方法、その装置及び熱処理装置
US20080280048A1 (en) Single wafer processing unit
KR102416868B1 (ko) 기판을 열처리하는 장치 및 방법
TW564503B (en) Heat treatment method and device
KR102294007B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US7141765B2 (en) Heat treating device
KR20010101716A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP3276471B2 (ja) 熱処理装置及び熱処理方法
KR20240042452A (ko) 지지구, 기판 처리 장치, 온도 측정 방법, 반도체 장치의 제조 방법 및 기록 매체
JPH1025577A (ja) 成膜処理装置
JP2006114638A (ja) 熱処理装置、熱処理方法及び昇温レートの算出方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110318

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee