JP2020014007A - ケイ素含有膜の堆積のための組成物及びそれを使用した方法 - Google Patents

ケイ素含有膜の堆積のための組成物及びそれを使用した方法 Download PDF

Info

Publication number
JP2020014007A
JP2020014007A JP2019164049A JP2019164049A JP2020014007A JP 2020014007 A JP2020014007 A JP 2020014007A JP 2019164049 A JP2019164049 A JP 2019164049A JP 2019164049 A JP2019164049 A JP 2019164049A JP 2020014007 A JP2020014007 A JP 2020014007A
Authority
JP
Japan
Prior art keywords
group
branched
compound
linear
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019164049A
Other languages
English (en)
Other versions
JP6949912B2 (ja
Inventor
リー ジエンホゥオン
Jianheng Li
リー ジエンホゥオン
フランシス レーマン ジョン
John Francis Lehmann
フランシス レーマン ジョン
レイ シンジエン
Ray Shinjien
レイ シンジエン
レイモンド ニコラス バーティス
Raymond Nicholas Vrtis
ニコラス バーティス レイモンド
ゴードン リッジウェイ ロバート
Robert Gordon Ridgeway
ゴードン リッジウェイ ロバート
ロバート エントリー ウィリアム
Robert Entley William
ロバート エントリー ウィリアム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of JP2020014007A publication Critical patent/JP2020014007A/ja
Priority to JP2021152399A priority Critical patent/JP7152576B2/ja
Application granted granted Critical
Publication of JP6949912B2 publication Critical patent/JP6949912B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Silicon Polymers (AREA)

Abstract

【課題】より低いSi−H結合密度、より低い膜エッチ速度、又はそれらの組み合わせを持つケイ素含有膜を製造するための代替的な前駆体化合物を提供するニーズが存在する。【解決手段】本明細書で説明されるのは、ケイ素含有膜、例えば、限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭素ドープ窒化ケイ素、又は炭素ドープ酸化ケイ素の膜を、表面特徴を有する基材の少なくとも表面上に形成するための組成物及びそれを使用する方法である。1つの態様において、組成物は、シロキサン、トリシリルアミン系化合物、オルガノアミノジシラン化合物、及び環状トリシラザン化合物からなる群より選択される少なくとも1つの化合物を含む。【選択図】図1

Description

本出願は、2014年10月24日に出願された、出願番号第62/068248号の利益を主張する。出願番号第62/068248号の開示は、参照することにより、本明細書に組み込まれる。
本明細書で説明されるのは、電子デバイスの製作のためのプロセスである。より具体的には、本明細書で説明されるのは、堆積プロセス、例えば、限定されないが、流動性化学気相堆積において、ケイ素含有膜を形成するための組成物である。本明細書で説明される組成物及び方法を使用して堆積することができる例示的なケイ素含有膜としては、限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素又は炭素ドープ酸化ケイ素若しくは炭素ドープ窒化ケイ素の膜が挙げられる。
流動性酸化物の堆積方法では、典型的に、制御された加水分解及び縮合反応により堆積されるケイ素含有膜のための前駆体として、アルコキシシラン化合物を使用する。そのような膜は、例えば、任意選択で溶媒並びに/又は界面活性剤及びポロゲンのような他の添加剤を含む、水とアルコキシシランとの混合物を、基材上に適用することによって基材上に堆積することができる。これらの混合物の適用のための典型的な方法としては、限定されないが、スピンコーティング、ディップコーティング、スプレーコーティング、スクリーン印刷、共縮合、及びインクジェット印刷が挙げられる。基材への適用の後に、並びに、1つ又は複数のエネルギー源、例えば、限定されないが、熱、プラズマ、及び/又は他の源の適用の際に、混合物中の水が、アルコキシシランと反応し、アルコキシド基及び/又はアリールオキシド基を加水分解して、シラノール種を作り出すことができ、それにより、他の加水分解した分子でさらに縮合され、オリゴマー構造又はネットワーク構造が形成される。
基材への前駆体の物理的堆積又は適用に加えて、流動性誘電体堆積(FCVD)のためのケイ素含有気相源及び水を使用した気相堆積プロセスは、例えば、米国特許第8481403号、同第8580697号、同第8685867号;米国特許出願公開第2013/0230987号(A1);米国特許第7498273号、同第7074690号、同第7582555号、同第7888233号及び同第7915131号の各明細書で説明されている。典型的な方法は、一般的に、ギャップの中に流動性膜を形成することにより、固体誘電体材料で基材上のギャップを充填することに関する。Si−C結合を有することがある誘電体前駆体が酸化剤と反応することで流動性膜が形成され、誘電体材料を形成する。幾つかの実施形態において、誘電体前駆体は縮合し、その後、酸化剤と反応して誘電体材料を形成する。幾つかの実施形態において、気相の反応剤が反応して、縮合された流動性膜を形成する。Si−C結合は、水との反応に対して比較的不活性であるため、得られる膜に所望の化学及び物理特性を付与する有機官能基で、得られるネットワークを有益に機能化することができる。例えば、ネットワークへの炭素の追加は、得られた膜の誘電率を下げることができる。
流動性化学気相堆積プロセスを使用して酸化ケイ素膜を堆積するための別アプローチは、気相重合である。例えば、従来技術では、トリシリルアミン(TSA)のような化合物を使用して、オゾンばく露を用い、その後にSiOx膜に酸化されるSi、H、N含有オリゴマーを堆積すること、が注目されてきた。そのようなアプローチの例としては、米国特許出願公開第2014/073144号、同第2013/230987号;米国特許第7521378号、同第7557420号、同第8575040及び同第7825040号の各明細書が挙げられる。
H.Kimらによる参考文献「サブ20nm層間絶縁体のための新規の流動性CVDプロセス技術(Novel Flowable CVD Process Technology for sub−20nm Interlayer Dielectric)」(Interconnect Technology Conference(IITC),2012 IEEE International,San Jose,CA)では、低温堆積及びオゾン処理中に遠隔プラズマを使用して膜を安定化する、流動性CVDを説明している。その文献中でまた説明されるのは、酸化又は拡散バリアとしてのSi34ストッパー層の除去がもたらされる、Si又は電極を酸化しない流動性CVDプロセスである。20nmのDRAM ILDへの流動性CVDの適用の後、その文献では、ビット線負荷容量を15%減らすことができるだけでなく、同等の生産性を促進することもできる。サブ20nmのDRAM ILDギャップ充填プロセスの成功した開発を通じて、流動性CVDは、サブ20nmの次世代のデバイスにおいて、大量製造に値するILDに対して期待できる候補として良好に実施された。
当技術分野の最近の動向は、流動性化学気相堆積及び他の膜堆積プロセスに関連していたにもかかわらず、問題が未だ残っている。これらの問題の1つは、膜の組成に関する。例えば、気相重合プロセスで前駆体トリシリルアミン(TSA)から堆積された流動性酸化物膜は、高密度のSi−H結合を持つ膜を作り、高品質の熱酸化物より2.2〜2.5倍速い、希釈HF溶液中でのウェットエッチ速度を有する。したがって、より低いSi−H結合密度、より低い膜エッチ速度、又はそれらの組み合わせを持つケイ素含有膜を製造するための代替的な前駆体化合物を提供するニーズが存在する。
本明細書で説明される組成物又は配合物及びそれらを使用した方法では、酸素含有源を用いる後の堆積処理において、望ましい膜特性を提供する基材表面の少なくとも一部に、ケイ素含有膜を堆積することにより、従来技術の問題を克服する。幾つかの実施形態において、基材は表面特徴を含む。「表面特徴」という用語は、本明細書で使用される場合、基材が以下の、孔、トレンチ、シャロートレンチアイソレーション(STI)、ビア、凹部特徴などのうち1つ又は複数を含むことを意味する。組成物は、事前混合された組成物、事前混合物(堆積プロセスで使用される前に混合される)、又はその場(in−situ)混合物(堆積プロセス中に混合される)であることができる。したがって、本開示において、「混合物」、「配合物」、及び「組成物」という用語は交換可能である。
1つの態様において、ケイ素含有膜を堆積するための組成物は、表面特徴を含む基材の少なくとも1つの表面上に、
(a)以下からなる群より選択されるシロキサン化合物と、
(b)以下からなる群より選択されるトリシリルアミン系化合物であって、
式中、置換基Rが、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される、トリシリルアミン系化合物と、
(c)以下の式IIIを有するオルガノアミノジシラン化合物であって、
式中、置換基R1及びR2が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1及びR2が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができ、n=1又は2である、オルガノアミノジシラン化合物と、
(d)以下の式IVを有するシクロシラザン化合物であって、
式中、置換基R1、R2及びR3が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1、R2及びR3のうち任意の1つ又は複数が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができる、シクロシラザン化合物と
からなる群より選択される少なくとも1つの化合物を含む。1つの特定の実施形態において、組成物はシロキサン化合物を含む。別の特定の実施形態において、組成物は、トリシリルアミン系化合物を含む。更なる実施形態において、組成物はオルガノアミノジシランを含む。また更なる実施形態において、組成物は、シクロシラザン化合物を含む。
別の1つの態様において、
反応器中に、表面特徴を含む基材を設置する工程であって、基材が、約−20℃〜約400℃の範囲の1つ又は複数の温度で維持され、反応器の圧力が、100torr以下で維持される工程と、
(a)以下からなる群より選択されるシロキサン化合物と、
(b)以下からなる群より選択されるトリシリルアミン系化合物であって、
式中、置換基Rが、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される、トリシリルアミン系化合物と、
(c)以下の式IIIを有するオルガノアミノジシラン化合物であって、
式中、置換基R1及びR2が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1及びR2が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができ、n=1又は2である、オルガノアミノジシラン化合物と、
(d)以下の式IVを有するシクロシラザン化合物であって、
式中、置換基R1、R2及びR3が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1、R2及びR3のうち任意の1つ又は複数が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができる、シクロシラザン化合物と
からなる群より選択される少なくとも1つの化合物と、窒素源とを反応器中に導入する工程であって、少なくとも1つの化合物がその窒素源と反応して、表面特徴の少なくとも一部に窒化ケイ素含有膜を形成する、工程と、
約20〜約1000℃の範囲の1つ又は複数の温度で、酸素源を用いて基材を処理して、表面特徴の少なくとも一部に酸化ケイ素膜を形成する工程と
を含む、ケイ素含有膜を堆積するための方法が提供される。
本明細書で説明する方法及び組成物を使用して、例1において堆積した膜上の、断面の走査型電子顕微鏡(SEM)の画像と、基材上の幾つかの表面特徴へのその影響を提供する。 本明細書で説明する方法及び組成物を使用して、例2において堆積した炭窒化ケイ素膜上の、断面の走査型電子顕微鏡(SEM)の画像と、基材上の幾つかの表面特徴へのその影響を提供する。
本明細書で説明されるのは、化学気相堆積(CVD)プロセスにより、1つ又は複数の表面特徴を含む基材の少なくとも一部に、流動性酸化物膜を堆積するための前駆体及びそれを使用した方法である。幾つかの従来技術のプロセスでは、前駆体トリシリルアミン(TSA)を使用し、それは、ガスとして反応チャンバー中に運ばれ、アンモニアと混合され、遠隔プラズマ反応器中で励起され、NH2、NH、H及び/又はNラジカル若しくはイオンを作り出す。TSAは、プラズマ励起アンモニアと反応しオリゴマー化し始め、より高い分子量のTSAダイマー及びトリマー、又は、Si、N及びHを含有する他の種を形成する。基材は、反応器中に設置され、あるチャンバー圧力で約0〜約50℃の範囲の1つ又は複数の温度に冷却され、TSA/励起アンモニア混合物は、それらがトレンチ表面特徴を充填するために「流れる」ことができるように、ウエハの表面上でオリゴマーに縮合し始める。このように、Si、N及びHを含有する材料がウエハ上に堆積され、トレンチを充填する。幾つかの実施形態において、膜をよりSiN的になることを可能とするように、事前アニール工程が行われる。次のプロセスの工程が、オゾン又は水を使用した、100℃〜700℃の範囲の1つ又は複数の温度での酸化であるため、SiN材料を有することが望ましい。SiNの結合距離及び角度のため、SiNがSiO2に酸化された場合に、膜が収縮するのを防ぐ単位セルの容量増加が存在することが知られている。膜の収縮は、それが希釈HF溶液中での膜のウェットエッチ速度を増加させる引張応力を引き起こすため、望ましくない。オゾンを利用して緻密膜を完全に酸化することは一般的に困難であり、残留Si−H含有量はまた、ウェットエッチ速度の増加をもたらすため、Si−H含有量を最小化することがまた望ましい。したがって、膜収縮を最小化し、引張応力を下げ、Si−H含有量を最小化し、及び/又は膜のウェットエッチ速度に不利に影響を与えない、方法及び組成物を提供する、従来技術におけるニーズが存在する。
本明細書で説明される方法及び組成物は、以下の対象のうち1つ又は複数を達成する。幾つかの実施形態において、本明細書で説明される方法及び組成物は、Si−C結合は、窒化ケイ素膜を形成する導入工程において除去することが難しく、酸化工程において膜収縮を引き起こすことがあり、及び/又は酸化した膜中に欠陥を引き起こすことがあるため、Si−C結合を有する前駆体化合物を使用するのを避ける。この又は他の実施形態において、本明細書で説明される方法及び組成物は、前駆体中の水素に対するケイ素の比を増加させる環構造を導入することにより、ケイ素に対するヘテロ原子の比を増加することで、膜のSiH含有量をさらに減らす。それに加えて、本明細書で説明される方法及び組成物は、モノマーとしてウエハ表面上に縮合され、次いで、例えば、アンモニアNH3のような窒素系プラズマ又は水素及び窒素を含むプラズマを使用して表面上でポリマー化して、次いで、酸素含有源、例えば、オゾン、酸素又は水で処理して、酸化物を形成する、TSAより高い沸点を有する前駆体化合物を使用することで、オリゴマー化プロセス(例えば、窒化ケイ素膜が形成される方法の導入工程)を制御するのを助ける。方法の幾つかの実施形態において、パルス化プロセスを使用して、交互に起こる縮合及びプラズマポリマー化により、窒化ケイ素膜の厚さをゆっくり成長させることができる。これらの実施形態において、パルス化プロセスにより、処理工程において酸素源へさらされる際に、より緻密な酸化ケイ素膜を作り出すことができるより薄い膜(例えば、10ナノメートル(nm)以下)が成長される。
幾つかの実施形態において、本明細書で説明される組成物は、
(a)以下からなる群より選択されるシロキサン化合物と、
(b)以下からなる群より選択されるトリシリルアミン系化合物であって、
式中、置換基Rが、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される、トリシリルアミン系化合物と、
(c)以下の式IIIを有するオルガノアミノジシラン化合物であって、
式中、置換基R1及びR2が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1及びR2が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができ、n=1又は2である、オルガノアミノジシラン化合物と、
(d)以下の式IVを有するシクロシラザン化合物であって、
式中、置換基R1、R2及びR3が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1、R2及びR3のうち任意の1つ又は複数が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができる、シクロシラザン化合物と
からなる群より選択される少なくとも1つの化合物を含む。
前駆体化合物がシロキサン前駆体を含む実施形態において、シロキサン前駆体の例としては、限定されないが、ジシロキサン、トリシロキサン及びそれらの組み合わせ、例えば、式IA〜IDで提供されるものが挙げられる。更なる実施形態は、例えば、式IE及びIFのように、シロキサンの特徴とシラザンの特徴を組み合わせる。理論に拘束されるわけではないが、本明細書で説明されるシロキサン前駆体は、反応して酸窒化系物膜を形成し、2次元に伝播して、より良好な流動性及び酸化のしやすさを提供し、Si−H結合を除去すると考えられる。さらに、これらの実施形態において、炭素がないことは、膜硬化の際に膜収縮を減らすことを助ける。
他の実施形態において、前駆体化合物は、トリシリルアミン(TSA)系化合物、例えば、式IIA〜IIDに示される化合物を含む。この化合物の1つの例は、ビス(ジシリルアミノ)シラン(IIB)を含む。この実施形態の別の例は、式IIC化合物のトリス(エチルシリル)アミンであり、エチレンは、流動性化学気相堆積(FCVD)プロセスにおいて、追加のSi反応部位を作り、同時に前駆体中のSi−H含有量を減らす脱離基として作用する。理論に拘束されるわけではないが、これらの実施形態において、窒素源の存在下で、導入工程においてシランの除去を通じて形成される、より高いオーダーのTSAのオリゴマー(2X〜4X)は、前駆体中のSiに対するHの比と、次いで、堆積され硬化した膜でのSi−H結合密度を減らすその後の膜中のSiに対するHの比とを減らす。
代替実施形態において、前駆体化合物は、オルガノアミノジシラン化合物、例えば、式IIIで示される化合物を含む。そのような化合物の例は、ジ−secブチルアミノジシランである。理論に拘束されるわけではないが、Si−Si結合への酸素の挿入により、膜の容積を増加させて、膜硬化の際の容積減少を埋め合わせることができるため、これらの前駆体を酸化物に直接変えることができる。これは、オゾンのような酸素源へのばく露の際に広がるSi−Si結合を含有しない、Si−N−H膜ネットワークを作り出すのに使用されるTSAの使用とは異なる。
また更なる実施形態において、前駆体化合物は、式IVのように、1つ又は複数の置換シラザン前駆体を含み、これらの前駆体の例としては、以下の構造:1,3,5−トリメチル−、1,3,5−トリアザ−、2,4,6−トリシラ−シクロヘキサンのうちの1つを有する化合物が挙げられる。これらの実施形態において、環構造が、より高い密度の酸化物膜を形成するために有利である、膜中のSiH含有量を減らすと考えられる。
本明細書で説明されるケイ素前駆体化合物は、様々な方法で、CVD又はALD反応器のような反応チャンバーに送ることができる。1つの実施形態において、液体輸送システムを利用することができる。代替実施形態において、低い揮発性の材料が容量輸送されることができるようにし、前駆体の熱分解なく再現可能な移送及び堆積をもたらす、複合液体輸送及びフラッシュ気化プロセスユニットを利用することができ、それは、例えば、Shoreview,MNのMSP Crporation製のターボ気化装置である。液体輸送配合物において、本明細書で説明される前駆体は、原液の液体形態で運ぶことができるか、又は代替的に、それを含む溶媒の配合物又は組成物中で用いることができる。したがって、幾つかの実施形態において、前駆体配合物は、基材上に膜を形成するために、所与の最終的な使用用途において望ましく、有利であることができるように、適切な性質の1つ又は複数の溶媒成分を含むことができる。
ケイ素前駆体化合物は、好ましくは、塩化物のようなハライドイオン又はAlのような金属イオンを実質的に含まない。本明細書で使用される場合、「実質的に含まない」という用語は、それが、塩化物、フッ化物、臭化物、ヨウ化物のようなハライドイオン(又はハライド)、Al3+イオン、Fe2+、Fe3+、Ni2+、Cr3+に関する場合は、5wtppm未満、好ましくは3wtppm未満、より好ましくは1wtppm未満、最も好ましくは0wtppmを意味する。塩化物又は金属イオンは、ケイ素前駆体に対して分解触媒として作用すると知られている。最終製品中の塩化物の有意なレベルは、ケイ素前駆体を劣化させる場合がある。ケイ素前駆体の徐々の劣化は、膜堆積プロセスに直接影響することがあり、半導体製造者が膜の仕様を満たすことを難しくさせる。それに加えて、貯蔵寿命又は安定性は、ケイ素前駆体のより高い劣化速度によって悪影響を受け、それによって、1〜2年の貯蔵寿命を保証するのを難しくする。さらに、ケイ素前駆体は、分解の際に可燃性及び/又は自然発火性のガス、例えば、水素及びシランを形成することが知られている。したがって、ケイ素前駆体の加速した分解により、これらの可燃性及び/又は自然発火性のガス副産物の形成に関する安全及び性能の懸念事項が存在する。
ハライドを実質的に含まない本発明に係る組成物は、最終的な浄化製品が塩化物を実質的に含まないように、(1)化学合成の際に塩化物源を減らす若しくは除去する、及び/又は(2)粗製品から塩化物を除去する有効な浄化プロセスを実施することで、得ることができる。クロロジシラン、ブロモジシラン、又はヨードジシランのようなハライドを含有しない反応剤を使用し、それによって、ハライドイオンを含有する副産物の製造を防止することで、塩化物源を合成の際に減らすことができる。それに加えて、前述した反応剤は、得られた粗製品が塩化物不純物を実質的に含まないように、塩化物不純物を実質的に含まないべきである。同様の方法において、合成では、受け入れられない高レベルのハライド不純物を含有する溶媒、触媒、又はハライド系溶媒を使用すべきでない。粗製品はまた、最終製品が塩化物のようなハライドを実質的に含まないようにするために、様々な浄化方法によって処理することができる。そのような方法は、従来技術で良く説明され、限定されないが、蒸留、又は吸着のような浄化プロセスを挙げることができる。蒸留は、沸点の差を利用することで所望の製品から不純物を分離するために一般的に使用される。吸着はまた、最終製品がハライドを実質的に含まないように、分離を達成するために、成分の異なる吸着特性を有効に活用するために使用することができる。商業的に利用可能であるMgO−Al23配合物のような吸着剤は、塩化物のようなハライドを除去するために使用することができる。
本明細書で説明する少なくとも1つの化合物及び1つ又は複数の溶媒を含む組成物に関連するそれらの実施形態について、選択される溶媒又はそれらの混合物は、ケイ素化合物と反応しない。組成物中の重量パーセントでの溶媒の量は、0.5〜99.5wt%又は10〜75wt%の範囲である。この又は他の実施形態において、溶媒は、式I、II、III及びIVのケイ素前駆体のb.p.と同様な沸点(b.p.)を有するか、又は溶媒のb.p.と式IIのケイ素前駆体のb.p.との間の差は40℃以下、30℃以下、又は20℃以下、10℃以下、又は5℃以下である。代替的に、沸点間の差は、以下の端点:0、10、20、30、又は40℃のうち任意の1つ又は複数からの範囲を取る。b.p.の差の適切な範囲の例としては、限定されないが、0〜40℃、20〜30℃、又は10〜30℃が挙げられる。組成物中の適切な溶媒の例としては、限定されないが、エーテル(例えば、1,4−ジオキサン、ジブチルエーテル)、3級アミン(例えば、ピリジン、1−メチルピペリジン、1−エチルピペリジン、N,N’−ジメチルピペリジン、N,N,N’,N’−テトラメチルエチレンジアミン)、ニトリル(例えば、ベンゾニトリル)、アルキル炭化水素(例えば、オクタン、ノナン、ドデカン、エチルシクロヘキサン)、芳香族炭化水素(例えば、トルエン、メシチレン)、3級アミノエーテル(例えば、ビス(2−ジメチルアミノエチル)エーテル)、又はそれらの混合物が挙げられる。幾つかの非限定的な例示の組成物としては、限定されないが、ビス(ジシリルアミノ)シラン(b.p.約135℃)及びオクタン(b.p.125〜126℃)を含む組成物;ビス(ジシリルアミノ)シラン(b.p.約135℃)及びエチルシクロヘキサン(b.p.130〜132℃)を含む組成物;ビス(ジシリルアミノ)シラン(b.p.約135℃)及びトルエン(b.p.115℃)を含む組成物;ビス(ジシリルアミノ)シラン(b.p.約135℃)及びシクロオクタン(b.p.149℃)を含む組成物が挙げられる。
上記の式及び説明を通して、「直鎖状アルキル」という用語は、1〜10個、3〜10個、又は1〜6個の炭素原子を有する直鎖状官能基を示す。上記の式及び説明を通して、「分枝状アルキル」という用語は、3〜10個、又は1〜6個の炭素原子を有する直鎖状官能基を示す。例示的な直鎖状アルキル基としては、限定されないが、メチル、エチル、プロピル、ブチル、ペンチル、及びヘキシル基が挙げられる。例示的な分枝状アルキル基としては、限定されないが、イソプロピル、イソブチル、sec−ブチル、tert−ブチル、イソ−ペンチル、tert−ペンチル、イソヘキシル、及びネオヘキシルが挙げられる。幾つかの実施形態において、アルキル基は、1つ又は複数の官能基、例えば、限定されないが、それらに付着した、アルコキシ基、ジアルキルアミノ基又はそれらの組み合わせを有することができる。他の実施形態において、アルキル基は、それらに付着する1つ又は複数の官能基を有しない。アルキル基は、飽和又は代替的に不飽和であることができる。
上記の式及び説明を通して、「ハライド」という用語は、塩化物、臭化物、ヨウ化物又はフッ化物イオンを示す。
上記の式及び説明を通して、「環状アルキル」という用語は3〜10個又は5〜10個の原子を有する環状基を示す。例示的な環状アルキル基としては、限定されないが、シクロブチル、シクロペンチル、シクロヘキシル、及びシクロオクチル基が挙げられる。幾つかの実施形態において、環状アルキル基は、1つ又は複数のC1〜C10の直鎖状、分枝状置換基、又は酸素又は窒素原子を含有する置換基を有することができる。この又は他の実施形態において、環状アルキル基は、置換基として、1つ又は複数の直鎖状又は分枝状アルキル又はアルコキシ基、例えば、メチルシクロヘキシル基又はメトキシシクロヘキシル基を有することができる。
上記の式及び説明を通して、「アリール」という用語は、3〜10個の炭素原子、5〜10個の炭素原子、又は6〜10個の炭素原子を有する芳香族環状官能基を示す。例示的なアリール基としては、限定されないが、フェニル、ベンジル、クロロベンジル、トリル、及びo−キシリルが挙げられる。
上記の式及び説明を通して、「アルケニル基」という用語は、1つ又は複数の炭素−炭素二重結合を有し、2〜12個、2〜10個、又は2〜6個の炭素原子を有する基を示す。例示的なアルケニル基としては、限定されないが、ビニル又はアリル基が挙げられる。
「アルキニル基」という用語は、1つ又は複数の炭素−炭素三重結合を有し、2〜12個又は2〜6個の炭素原子を有する基を示す。
上記の式及び説明を通して、「不飽和」という用語は、本明細書で使用される場合、官能基、置換基、環又はブリッジが1つ又は複数の炭素二重結合又は三重結合を有することを意味する。不飽和環の例は、限定されないが、フェニル環のような芳香環であることができる。「飽和」という用語は、官能基、置換基、環又はブリッジが1つ又は複数の炭素二重結合又は三重結合を有しないことを意味する。
幾つかの実施形態において、式中のアルキル基、アルケニル基、アルキニル基、アリール基、及び/又は芳香族基のうち1つ又は複数は、「置換基」であるか、又は、例えば、水素原子の位置で置換された1つ又は複数の原子又は原子の群を有することができる。例示的な置換基としては、限定されないが、酸素、硫黄、ハロゲン原子(例えば、F、Cl、I又はBr)、窒素、アルキル基、亜リン酸が挙げられる。他の実施形態において、式中のアルキル基、アルケニル基、アルキニル基、芳香族及び/又はアリール基のうち1つ又は複数は非置換であることができる。
幾つかの実施形態において、上で説明した式中の置換基R1、R2及びR3のうち任意の1つ又は複数は、それらが水素でない場合、環構造を形成するために上の式中のC−C結合と結合することができる。当業者が理解するように、置換基は、直鎖状又は分枝状C1〜C10アルキレン部;C2〜C12アルケニレン部;C2〜C12アルキニレン部;C4〜C10環状アルキル部;及びC6〜C10アリーレン部から選択することができる。これらの実施形態において、環構造は不飽和、例えば、環状アルキル環であり、又は飽和、例えば、アリール環であることができる。さらに、これらの実施形態において、環構造はまた、置換又は非置換であることができる。他の実施形態において、置換基R1、R2及びR3のうち任意の1つ又は複数は結合されない。
本明細書で説明される膜又はコーティングを形成するために使用される方法は、堆積プロセスである。本明細書で説明される方法のために適切な堆積プロセスの例としては、限定されないが、化学気相堆積(CVD)、又はプラズマ周期的CVD(PECCVD)プロセスが挙げられる。本明細書で使用される場合、「化学気相堆積プロセス」という用語は、基材が1つ又は複数の揮発性の前駆体にさらされ、それにより基材表面上で反応及び/又は分解して、膜又は材料を製造する、任意のプロセスを言い表す。本明細書で使用される前駆体、反応剤及び源は、時折、「ガス状」と説明されることがあるが、前駆体は、不活性ガスを用いて又は用いずに、直接、蒸留、バブリング又は昇華により反応器中に輸送される液体又は固体のいずれかであることができることが理解される。幾つかの場合において、蒸発した前駆体はプラズマ生成器を通過することができる。1つの実施形態において、膜は、プラズマ系(例えば、遠隔で製造される又はその場での)CVDプロセスを使用して堆積される。別の実施形態において、膜はCCVDプロセスを使用して堆積される。更なる実施形態において、膜は熱CVDプロセスを使用して堆積される。「反応器」という用語としては、本明細書で使用される場合は、限定されないが、反応チャンバー又は堆積チャンバーが挙げられる。
幾つかの実施形態において、基材は、1つ又は複数の膜の特性に影響を与えるために、1つ又は複数の事前堆積処理、例えば、限定されないが、プラズマ処理、熱処理、化学的処理、紫外線ばく露、電子ビームばく露、及びそれらの組み合わせにさらすことができる。これらの事前堆積処理は、不活性、酸化、及び/又は還元から選択される雰囲気下で行うことができる。
反応を誘導し、ケイ素含有膜又はコーティングを基材上に形成するために、エネルギーを少なくとも1つの化合物、窒素含有源、酸素源、他の前駆体又はそれらの組み合わせに適用することができる。そのようなエネルギーは、限定されないが、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子ビーム、光子、遠隔プラズマ法、及びそれらの組み合わせによって与えることができる。幾つかの実施形態において、二次RF周波数源を使用して、基材表面でプラズマ特性を改質することができる。堆積がプラズマを伴う実施形態において、プラズマ生成プロセスは、プラズマが反応器中で直接生成される直接プラズマ生成プロセス、又は代替的に、プラズマが反応器の外側で生成されて反応器中に供給される遠隔プラズマ生成プロセス、を含むことができる。
前に述べたように、方法は、表面特徴を含む基材の表面の少なくとも一部に膜を堆積する。基材は反応器中に設置され、基材は約−20℃〜約400℃の範囲の1つ又は複数の温度で維持される。1つの特定の実施形態において、基材の温度は、チャンバーの壁未満である。基材の温度は、100℃未満の温度、好ましくは25℃未満の温度、最も好ましくは10℃未満かつ−20℃超の温度で保たれる。
前に述べたように、基材は1つ又は複数の表面特徴を含む。1つの特定の実施形態において、1つ又は複数の表面特徴は、100μm以下の幅、1μm以下の幅、又は0.5μmの幅を有する。この又は他の実施形態において、表面特徴のアスペクト比(深さ:厚さの比)は、もし存在するならば、0.1超:1、又は1超:1、又は10超:1、又は20超:1、又は40超:1である。基材は単結晶シリコンウエハ、炭化ケイ素のウエハ、酸化アルミニウム(サファイア)のウエハ、ガラス板、金属箔、有機ポリマー膜であることができるか又はポリマー、ガラス、シリコン若しくは金属3次元の物品であることができる。基材は、当技術分野で良く知られている様々な材料、例えば、酸化ケイ素、窒化ケイ素、アモルファス炭素、酸炭化ケイ素、酸窒化ケイ素、炭化ケイ素、ガリウム砒素、ガリウムナイトライドなどの膜で、コーティングすることができる。これらのコーティングは、基材を完全にコーティングすることができ、様々な材料の複数の層中にあることができ、材料の下地層を露出するために部分的にエッチングすることができる。表面はまた、パターンと共に露出され、基材を部分的にコーティングするために作られたフォトレジスト材料をその上に有することができる。
幾つかの実施形態において、反応器は大気圧未満若しくは750torr(105パスカル(Pa))以下、又は100torr(13332Pa)以下の圧力である。別の実施形態において、反応器の圧力は約0.1torr(13Pa)〜約10torr(1333Pa)の範囲に維持される。
1つの特定の実施形態において、少なくとも1つの化合物及び窒素源が反応器中に導入される、導入工程は、0〜1000℃、又は約400〜約1000℃、又は約400〜約600℃、450〜約600℃、又は約450〜約550℃の範囲の1つ又は複数の温度で行われる。これらの又は他の実施形態において、基材は、表面特徴を含む半導体基材を含む。窒素含有源は、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素、窒素プラズマ、窒素/水素プラズマ、窒素/ヘリウムプラズマ、窒素/アルゴンプラズマ、アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、アンモニア/窒素プラズマ、NF3、NF3プラズマ、有機アミンプラズマ、及びそれらの混合物からなる群より選択することができる。少なくとも1つの化合物及び窒素源は反応して、表面特徴の少なくとも一部及び基材上に窒化ケイ素膜(非化学量論的である)を形成する。
窒化ケイ素膜が堆積された後、基材は、任意選択で、窒化ケイ素膜を酸化ケイ素又は酸窒化ケイ素膜に形成するのに十分な特定のプロセス条件下で、酸素含有源で処理される。酸素含有源は、水(H2O)、酸素(O2)、酸素プラズマ、オゾン(O3)、NO、N2O、一酸化炭素(CO)、二酸化炭素(CO2)、N2Oプラズマ、一酸化炭素(CO)プラズマ、二酸化炭素(CO2)プラズマ、及びそれらの組み合わせからなる群より選択することができる。
1つの特定の実施形態において、流動性化学気相堆積プロセスにおいて、酸化ケイ素膜又は炭素ドープ酸化ケイ素膜を堆積するための方法は、
−20℃〜約400℃の範囲の温度で維持された反応器中に、表面特徴を有する基材を設置する工程と、
(a)以下からなる群より選択されるシロキサン化合物と、
(b)以下からなる群より選択されるトリシリルアミン系化合物であって、
式中、置換基Rが、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される、トリシリルアミン系化合物と、
(c)以下の式IIIを有するオルガノアミノジシラン化合物であって、
式中、置換基R1及びR2が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1及びR2が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができ、n=1又は2である、オルガノアミノジシラン化合物と、
(d)以下の式IVを有するシクロシラザン化合物であって、
式中、置換基R1、R2及びR3が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1、R2及びR3のうち任意の1つ又は複数が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができる、シクロシラザン化合物と
からなる群より選択される少なくとも1つの化合物と、窒素源とを反応器中に導入する工程であって、少なくとも1つの化合物がその窒素源と反応して、表面特徴の少なくとも一部に窒化ケイ素含有膜を形成する、工程と、
約100〜約1000℃の範囲の1つ又は複数の温度で、酸素源を用いて基材を処理して、表面特徴の少なくとも一部に酸化ケイ素膜を形成し、酸化ケイ素膜を提供する工程と、を含む。代替的に、膜は酸素源にさらされることがあり、約100〜約1000℃の範囲の温度でUV照射にさらされることがある。プロセスの工程は、表面特徴が高品質の酸化ケイ素膜で充填されるまで繰り返すことができる。
本明細書で説明される方法の更なる実施形態において、膜は、流動性CVDプロセスを使用して堆積される。この実施形態において、方法は、
−20℃〜約400℃の範囲の温度に加熱され、100torr以下の圧力で維持された反応器中に、表面特徴を含む1つ又は複数の基材を設置する工程と、
(a)以下からなる群より選択されるシロキサン化合物と、
(b)以下からなる群より選択されるトリシリルアミン系化合物であって、
式中、置換基Rが、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される、トリシリルアミン系化合物と、
(c)以下の式IIIを有するオルガノアミノジシラン化合物であって、
式中、置換基R1及びR2が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1及びR2が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができ、n=1又は2である、オルガノアミノジシラン化合物と、
(d)以下の式IVを有するシクロシラザン化合物であって、
式中、置換基R1、R2及びR3が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1、R2及びR3のうち任意の1つ又は複数が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができる、シクロシラザン化合物と
からなる群より選択される少なくとも1つの化合物を導入する工程と、
反応器中に酸素源を提供して、少なくとも1つの化合物と反応させて、膜を形成し、表面特徴の少なくとも一部を被覆する工程と、
約100℃〜1000℃、好ましくは100℃〜400℃の1つ又は複数の温度で膜をアニールし、ケイ素含有膜が基材表面の少なくとも一部をコーティングすることができる工程と、を含む。この実施形態の酸素源は、水蒸気、水プラズマ、オゾン、酸素、酸素プラズマ、酸素/ヘリウムプラズマ、酸素/アルゴンプラズマ、窒素酸化物プラズマ、二酸化炭素プラズマ、過酸化水素、有機過酸化物、及びそれらの混合物からなる群より選択される。プロセスは、表面特徴がケイ素含有膜で充填されるまで繰り返すことができる。この実施形態において、水蒸気が酸素源として用いられる場合は、基材の温度は、好ましくは−20〜40℃、最も好ましくは−10〜25℃である。
本明細書で説明される方法のまた更なる実施形態において、窒化ケイ素、炭素ドープ窒化ケイ素、酸窒化ケイ素、及び炭素ドープ酸窒化ケイ素膜からなる群より選択されるケイ素含有膜は、流動性プラズマCVDプロセスを使用して堆積される。この実施形態において、方法は、
−20℃〜約400℃の範囲の温度に加熱され、100torr以下の圧力で維持された反応器中に、表面特徴を含む1つ又は複数の基材を設置する工程と、
(a)以下からなる群より選択されるシロキサン化合物と、
(b)以下からなる群より選択されるトリシリルアミン系化合物であって、
式中、置換基Rが、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される、トリシリルアミン系化合物と、
(c)以下の式IIIを有するオルガノアミノジシラン化合物であって、
式中、置換基R1及びR2が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1及びR2が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができ、n=1又は2である、オルガノアミノジシラン化合物と、
(d)以下の式IVを有するシクロシラザン化合物であって、
式中、置換基R1、R2及びR3が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1、R2及びR3のうち任意の1つ又は複数が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができる、シクロシラザン化合物と
からなる群より選択される少なくとも1つの化合物を導入する工程と、
反応器中にプラズマ源を提供して、化合物と反応させて、表面特徴の少なくとも一部にコーティングを形成する工程と、
約100℃〜1000℃、又は約100℃〜400℃の1つ又は複数の温度でコーティングをアニールし、表面特徴の少なくとも一部にケイ素含有膜を形成する工程と、を含む。この実施形態のためのプラズマは、窒素プラズマ、窒素/ヘリウムプラズマ、窒素/アルゴンプラズマ、アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、ヘリウムプラズマ、アルゴンプラズマ、水素プラズマ、水素/ヘリウムプラズマ、窒素/水素プラズマ、水素/アルゴンプラズマ、有機アミンプラズマ、及びそれらの混合物からなる群より選択される。流動性プラズマCVDについては、プロセスは、ビア又はトレンチが1つ又は複数の緻密化膜で充填されるまで、複数回繰り返すことができる。
上記の工程は、本明細書で説明される方法についての1つのサイクルを規定し、サイクルは、所望の厚さのケイ素含有膜が得られるまで繰り返すことができる。この又は他の実施形態において、本明細書で説明される方法の工程は、様々な順序で行うことができ、連続して又は同時に(例えば、別の工程の少なくとも一部中に)行うことができ、それらの任意の組み合わせであることができることが理解される。化合物及び他の反応剤を供給する各々の工程は、得られるケイ素含有膜の化学量論組成を変えるためにそれらを供給する時間を変えることによって行うことができる。
幾つかの実施形態において、得られるケイ素含有膜又はコーティングは、膜の1つ又は複数の特性に影響を与えるために、事後堆積処理、例えば、限定されないが、プラズマ処理、化学的処理、紫外光ばく露、赤外線ばく露、電子ビームばく露及び/又は他の処理にさらすことができる。
説明を通じて、「有機アミン」という用語は、本明細書で使用される場合、少なくとも1つの窒素原子を有する有機化合物を説明している。有機アミンの例としては、限定されないが、メチルアミン、エチルアミン、プロピルアミン、イソ−プロピルアミン、tert−ブチルアミン、sec−ブチルアミン、tert−アミルアミン、エチレンジアミン、ジメチルアミン、トリメチルアミン、ジエチルアミン、ピロール、2,6−ジメチルピペリジン、ジ−n−プロピルアミン、ジ−イソ−プロピルアミン、エチルメチルアミン、N−メチルアニリン、ピリジン、及びトリエチルアミンが挙げられる。
説明を通じて、「窒化ケイ素」という用語は、本明細書で使用される場合、化学当量又は非化学当量の窒化ケイ素、炭窒化ケイ素、炭酸窒化ケイ素、窒化アルミニウムケイ素(silicon aluminum nitride)及びそれらの混合物からなる群より選択されるケイ素及び窒素を含む膜を言い表す。
説明を通じて、「酸化ケイ素」という用語は、本明細書で使用される場合、化学当量又は非化学当量の酸化ケイ素、炭素ドープ酸化ケイ素、炭酸窒化ケイ素及びそれらの混合物からなる群より選択されるケイ素及び酸素を含む膜を言い表す。
以下の例は、本明細書で説明されるケイ素含有膜を堆積するための組成物及び方法を例示するが、任意の方法でそれを限定することを意図しない。
一般的な堆積条件
流動性化学気相堆積(CVD)膜を中抵抗値(8〜12Ωcm)の単結晶シリコンウエハ基材上及びAlパターンウエハ上に堆積した。
シラン又はTEOSのプロセスキットのいずれかを使用して、200mmのDXZチャンバー中で、アプライドマテリアルズのPrecision5000システムを用いて堆積を行った。プラズマ化学気相堆積(PECVD)チャンバーは、直接液体注入(DLI)の輸送機能を備えていた。前駆体は、前駆体の沸点により決まる輸送温度を持つ液体であった。初期の流動性窒化物膜を堆積するために、典型的な液体前駆体の流量は、約100〜約5000mg/分の範囲であり、その場でのプラズマ電力密度は約0.25〜約3.5W/cm2であり、圧力は約0.75〜12Torrの範囲であった。最初に堆積した、流動性窒化物膜を酸化物膜に変えるために、膜を、約25℃〜約300℃の範囲の温度で、オゾンを含む酸素源にさらした。堆積した膜を、UV処理及び周囲をN2(O2<10ppm)にして800℃の熱アニールにより緻密化した。初期の流動性窒化物膜を高品質の窒化物膜に変えるために、膜を、室温〜400℃のNH3又はN2プラズマ及びUV硬化により処理した。厚さ及び632nmでの屈折率(RI)を、反射率計又はエリプソメーターで測定した。典型的な膜の厚さは、約10〜約2000nmの範囲であった。ケイ素系膜の接合性水素含有量(Si−H、C−H及びN−H)を、Nicolet変換器のフーリエ変換赤外線分光(FTIR)ツールにより測定し分析した。全ての密度測定を、X線反射性(XRR)を使用して行った。X線光電子分光(XPS)及び二次イオン質量分光(SIMS)分析を行い、膜の元素組成を決定した。ウェットエッチ速度(WER)を、100:1希釈HF溶液中で測定した。水銀プローブを、誘電率、漏れ電流及び絶縁破壊電界を含む電気特性の測定のために採用した。Alパターン化ウエハへの流動性及びギャップ充填の効果を、2.0nmの分解能の日立S−4700システムを使用して、断面の走査型電子顕微鏡(SEM)によって観察した。
流動性CVD堆積を、実験計画法(DOE)手段を使用して行った。実験計画は、約100〜約5000mg/分、又は約1000〜約2000mg/分の範囲の前駆体流;約100〜約1000sccm、好ましくは約100〜約300sccmのNH3流;0.75〜12Torr、好ましくは約8〜12Torrの範囲の圧力;RF(およそ13.56MHz)及び約100〜1000W、又は約50〜約200Wの電力;低周波数(LLF)及び約0〜100Wの範囲の電力;及び約150〜約550℃、又は約0〜100℃の範囲の堆積温度、を含んでいた。DOE実験を使用して、良好な流動性を持つ最適な膜を製造するプロセスパラメータが何かを決定した。
実験1:ビス(ジシリルアミノ)シラン(又はジシリルトリシラザン)式IIBを使用した酸化ケイ素膜の堆積
幾つかの酸化ケイ素膜を、前駆体としてビス(ジシリルアミノ)シランを使用して、8インチのケイ素基材及びパターン化基材(例えば、表面特徴を有する)上に堆積して、相対流動性、膜密度、及び膜のウェットエッチ速度を比較した。
ビス(ジシリルアミノ)シランにより堆積した初期の流動性窒化物膜の中で、最も好ましい膜特性を提供するのに使用したプロセス条件は以下のようであった:ビス(ジシリルアミノ)シラン流(800〜2000mg/分)、NH3流(200〜500sccm)、He(100〜300sccm)、圧力(8〜10torr)、RF(80〜120W)及び温度(40〜50℃)。表1は、ビス(ジシリルアミノ)シランを使用して、選択された流動性膜の堆積についての堆積条件を示す。
堆積した窒化ケイ素膜を、酸化のために酸素源のオゾンO3にさらした。パターンウエハへの流動性及びギャップ充填の効果は図1において示される。より大きい表面特徴上における断面のSEM画像の目視での検査では、トレンチ中で良好な流動性を示し、それは、特徴が、ボイドがなく、継ぎ目がなく、ボトムアップな充填であったことを意味している。より小さい表面特徴上における断面のSEM画像は、流動性ビス(ジシリルアミノ)シラン膜の良好なギャップ充填の効果を示した。堆積した膜を、10〜15分間紫外線(UV)で硬化することで処理して、1〜2時間、約600〜約800℃の範囲の1つ又は複数の温度での熱アニールにより緻密化した。1.45の反射率及びFT−IRスペクトルは、酸化物膜が高品質な酸化物膜であることを示した。事後アニールした膜のウェットエッチ速度(WER)を、膜を100:1希釈HF中に浸すことで試験し、熱酸化物膜と比較した。酸化ケイ素膜のWERは、4.2〜4.7nm/分であった。比較すると、熱酸化物膜のWERはおよそ2.2nm/分であった。ビス(ジシリルアミノ)シランを使用して堆積した酸化ケイ素のWERは、熱酸化物膜のWERのおよそ1.9〜2.1倍であり、高品質な酸化ケイ素を、ビス(ジシリルアミノ)シランを使用して達成することができることを示した。比較すると、トリシリルアミン(TSA)から堆積した酸化ケイ素のWERは、熱酸化物の約2.2〜2.5倍であった。
例2:1,1,3,3,5,5−ヘキサメチルシクロトリシラザン、式IVを使用した炭窒化ケイ素膜の堆積
幾つかの炭窒化ケイ素膜を、前駆体として1,1,3,3,5,5−ヘキサメチルシクロトリシラザンを使用して、8インチのケイ素基材及びパターン化基材上に堆積して、流動性を比較した。
1,1,3,3,5,5−ヘキサメチルシクロトリシラザンにより堆積した流動性炭窒化ケイ素膜の中で、最も好ましい膜特性を提供するのに使用したプロセス条件は以下のようであった:1,1,3,3,5,5−ヘキサメチルシクロトリシラザン流(800〜1500mg/分);He(100〜300sccmの範囲);圧力(4〜10torrの範囲);RF(100〜500Wの範囲);及び温度(30〜40℃の範囲)。表2は、1,1,3,3,5,5−ヘキサメチルシクロトリシラザンを使用して、選択された流動性膜の堆積についての堆積条件を示す。
堆積した炭窒化ケイ素膜を、250〜400℃で熱処理した。パターンウエハへの流動性及びギャップ充填の効果は図2において示される。より大きい表面特徴上における断面のSEM画像の目視での検査では、トレンチ中で良好な流動性を示し、それは、特徴が、ボイドがなく、継ぎ目がなく、ボトムアップな充填であったことを意味する。より小さい表面特徴上の断面のSEM画像は、流動性1,1,3,3,5,5−ヘキサメチルシクロトリシラザン膜のボトムアップのギャップ充填効果を示した。

Claims (16)

  1. 流動性化学気相堆積を使用して、表面特徴を含む基材の少なくとも表面上にケイ素含有膜を堆積するための組成物であって、
    (a)以下からなる群より選択されるシロキサン化合物と、
    (b)以下からなる群より選択されるトリシリルアミン系化合物であって、
    式中、置換基Rが、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される、トリシリルアミン系化合物と、
    (c)以下の式IIIを有するオルガノアミノジシラン化合物であって、
    式中、置換基R1及びR2が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1及びR2が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができ、n=1又は2である、オルガノアミノジシラン化合物と、
    (d)以下の式IVを有するシクロシラザン化合物であって、
    式中、置換基R1、R2及びR3が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1、R2及びR3のうち任意の1つ又は複数が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができる、シクロシラザン化合物と
    を含む、組成物。
  2. 前記少なくとも1つの化合物がシロキサン化合物を含む、請求項1に記載の組成物。
  3. 前記少なくとも1つの化合物がトリシリルアミン系化合物を含む、請求項1に記載の組成物。
  4. 前記少なくとも1つの化合物がオルガノアミノジシラン化合物を含む、請求項1に記載の組成物。
  5. 前記少なくとも1つの化合物がシクロシラザン化合物を含む、請求項1に記載の化合物。
  6. エーテル、3級アミン、アルキル炭化水素、芳香族炭化水素、及び3級アミノエーテルからなる群より選択される少なくとも1つの溶媒をさらに含む、請求項1に記載の組成物。
  7. オクタン、エチルシクロヘキサン、シクロオクタン、及びトルエンからなる群より選択される少なくとも1つの溶媒をさらに含む、請求項1に記載の組成物。
  8. 流動性化学気相堆積を使用して、酸化ケイ素膜を堆積するための方法であって、
    表面特徴を含む基材を反応器中に設置する工程であって、前記基材が、約−20〜約400℃の範囲の1つ又は複数の温度で維持され、前記反応器の圧力が100torr以下で維持される工程と、
    (a)以下からなる群より選択されるシロキサン化合物と、
    (b)以下からなる群より選択されるトリシリルアミン系化合物であって、
    式中、置換基Rが、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される、トリシリルアミン系化合物と、
    (c)以下の式IIIを有するオルガノアミノジシラン化合物であって、
    式中、置換基R1及びR2が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1及びR2が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができ、n=1又は2である、オルガノアミノジシラン化合物と、
    (d)以下の式IVを有するシクロシラザン化合物であって、
    式中、置換基R1、R2及びR3が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1、R2及びR3のうち任意の1つ又は複数が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができる、シクロシラザン化合物と
    からなる群より選択される少なくとも1つの化合物を導入する工程であって、前記少なくとも1つの化合物が、前記表面特徴の少なくとも一部を被覆するオリゴマーポリシラザン種を形成する、工程と、
    約100〜約1000℃の範囲の1つ又は複数の温度で、酸素源を用いて前記オリゴマーポリシラザン種を処理して、前記表面特徴の少なくとも一部に膜を形成する工程と
    を含む、方法。
  9. 請求項8の酸素源が、水(H2O)、酸素(O2)、酸素プラズマ、オゾン(O3)、NO、N2O、一酸化炭素(CO)、二酸化炭素(CO2)、N2Oプラズマ、一酸化炭素(CO)プラズマ、二酸化炭素(CO2)プラズマ、及びそれらの組み合わせからなる群より選択される。
  10. 堆積プロセスにおいて、酸化ケイ素膜を堆積するための方法であって、
    約−20〜約400℃の範囲の1つ又は複数の温度で維持される反応器中に、表面特徴を有する基材を設置する工程と、
    (a)以下からなる群より選択されるシロキサン化合物と、
    (b)以下からなる群より選択されるトリシリルアミン系化合物であって、
    式中、置換基Rが、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される、トリシリルアミン系化合物と、
    (c)以下の式IIIを有するオルガノアミノジシラン化合物であって、
    式中、置換基R1及びR2が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1及びR2が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができ、n=1又は2である、オルガノアミノジシラン化合物と、
    (d)以下の式IVを有するシクロシラザン化合物であって、
    式中、置換基R1、R2及びR3が、それぞれ独立して、水素原子、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択され、任意選択で、R1、R2及びR3のうち任意の1つ又は複数が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができ、任意選択で、R1、R2及びR3のうち任意の1つ又は複数が、共に結合して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成することができる、シクロシラザン化合物と
    からなる群より選択される少なくとも1つの化合物と、窒素源とを前記反応器中に導入する工程であって、前記少なくとも1つの化合物が前記窒素源と反応して、前記表面特徴の少なくとも一部に窒化物含有膜を形成する、工程と、
    約100〜約1000℃の範囲の1つ又は複数の温度で、酸素源を用いて前記基材を処理して、前記表面特徴の少なくとも一部に酸化ケイ素膜を形成して、酸化ケイ素膜を提供する工程と
    を含む、方法。
  11. 前記窒素源が、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素、窒素プラズマ、窒素/水素プラズマ、窒素/ヘリウムプラズマ、窒素/アルゴンプラズマ、アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、アンモニア/窒素プラズマ、NF3、NF3プラズマ、有機アミンプラズマ、及びそれらの混合物からなる群より選択される、請求項10に記載の方法。
  12. 前記堆積プロセスがプラズマ化学気相堆積であり、前記プラズマがその場で生成される、請求項10に記載の方法。
  13. 前記堆積プロセスがプラズマ化学気相堆積であり、前記プラズマが遠隔で生成される、請求項10に記載の方法。
  14. 前記酸素源が、水(H2O)、酸素(O2)、酸素プラズマ、オゾン(O3)、NO、N2O、一酸化炭素(CO)、二酸化炭素(CO2)、N2Oプラズマ、一酸化炭素(CO)プラズマ、二酸化炭素(CO2)プラズマ、及びそれらの組み合わせからなる群より選択される、請求項10に記載の方法。
  15. 前記酸化ケイ素膜があるウェットエッチ速度を有し、希釈HF中において、前記ウェットエッチ速度が、熱酸化物膜のウェットエッチ速度の2.2倍未満である、請求項10に記載の方法。
  16. プラズマ、紫外線、赤外線、又はそれらの組み合わせから選択される少なくとも1つで、前記酸化ケイ素膜を処理することをさらに含む、請求項10に記載の方法。
JP2019164049A 2014-10-24 2019-09-09 ケイ素含有膜の堆積のための組成物及びそれを使用した方法 Active JP6949912B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2021152399A JP7152576B2 (ja) 2014-10-24 2021-09-17 ケイ素含有膜の堆積のための組成物及びそれを使用した方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462068248P 2014-10-24 2014-10-24
US62/068,248 2014-10-24
JP2017522032A JP2017535077A (ja) 2014-10-24 2015-10-23 ケイ素含有膜の堆積のための組成物及びそれを使用した方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017522032A Division JP2017535077A (ja) 2014-10-24 2015-10-23 ケイ素含有膜の堆積のための組成物及びそれを使用した方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021152399A Division JP7152576B2 (ja) 2014-10-24 2021-09-17 ケイ素含有膜の堆積のための組成物及びそれを使用した方法

Publications (2)

Publication Number Publication Date
JP2020014007A true JP2020014007A (ja) 2020-01-23
JP6949912B2 JP6949912B2 (ja) 2021-10-13

Family

ID=54477308

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2017522023A Active JP6871161B2 (ja) 2014-10-24 2015-10-23 ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP2017522032A Withdrawn JP2017535077A (ja) 2014-10-24 2015-10-23 ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP2019164049A Active JP6949912B2 (ja) 2014-10-24 2019-09-09 ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP2021152399A Active JP7152576B2 (ja) 2014-10-24 2021-09-17 ケイ素含有膜の堆積のための組成物及びそれを使用した方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2017522023A Active JP6871161B2 (ja) 2014-10-24 2015-10-23 ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP2017522032A Withdrawn JP2017535077A (ja) 2014-10-24 2015-10-23 ケイ素含有膜の堆積のための組成物及びそれを使用した方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2021152399A Active JP7152576B2 (ja) 2014-10-24 2021-09-17 ケイ素含有膜の堆積のための組成物及びそれを使用した方法

Country Status (8)

Country Link
US (4) US10316407B2 (ja)
EP (3) EP3209813B1 (ja)
JP (4) JP6871161B2 (ja)
KR (3) KR102332415B1 (ja)
CN (4) CN107257867B (ja)
SG (3) SG11201703196WA (ja)
TW (3) TWI575102B (ja)
WO (2) WO2016065221A1 (ja)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9920077B2 (en) 2013-09-27 2018-03-20 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
EP3209813B1 (en) * 2014-10-24 2019-03-13 Versum Materials US, LLC Compositions and methods using same for deposition of silicon-containing film
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
TWI716333B (zh) * 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
EP3307745B1 (en) * 2015-06-12 2020-04-01 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition processes for forming silicon- and nitrogen-containing thin films
EP3307744B1 (en) * 2015-06-12 2020-09-02 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition processes for forming silicon- and oxygen-containing thin films
US20170114465A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
WO2017223323A1 (en) * 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable amorphous silicon films for gapfill applications
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10811251B2 (en) * 2016-09-30 2020-10-20 Intel Corporation Dielectric gap-fill material deposition
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US11591692B2 (en) * 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10822458B2 (en) * 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR102271768B1 (ko) 2017-04-07 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 반응성 어닐링을 사용하는 갭충전
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11177127B2 (en) * 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
TWI633201B (zh) * 2017-10-24 2018-08-21 國立交通大學 非晶碳薄膜、其製造方法與包含其之光學系統
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR20230144106A (ko) 2017-11-11 2023-10-13 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理***的冷凝器***
US10460930B2 (en) * 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
WO2019147400A1 (en) 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
JP7033667B2 (ja) 2018-02-21 2022-03-10 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ペルヒドロポリシラザン組成物及びそれを使用する酸化物膜の形成方法
WO2019164636A1 (en) * 2018-02-22 2019-08-29 Applied Materials, Inc. Method for processing a mask substrate to enable better film quality
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
JP7113670B2 (ja) * 2018-06-08 2022-08-05 東京エレクトロン株式会社 Ald成膜方法およびald成膜装置
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
US20210140040A1 (en) * 2018-06-15 2021-05-13 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
KR102555932B1 (ko) * 2018-06-15 2023-07-13 버슘머트리얼즈 유에스, 엘엘씨 실록산 조성물 및 이 조성물을 실리콘 함유 필름을 증착시키기 위해 사용하는 방법
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
JP6909762B2 (ja) 2018-07-23 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) * 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN116288249A (zh) * 2018-08-10 2023-06-23 弗萨姆材料美国有限责任公司 硅化合物和使用硅化合物沉积膜的方法
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
WO2020101935A1 (en) 2018-11-16 2020-05-22 Applied Materials, Inc. Film deposition using enhanced diffusion process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
SG11202105970RA (en) * 2018-12-21 2021-07-29 Air Liquide PRECURSORS AND PROCESSES FOR DEPOSITION OF SI-CONTAINING FILMS USING ALD AT TEMPERATURE OF 550ºC OR HIGHER
KR20210114546A (ko) * 2019-02-05 2021-09-23 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 산화규소의 증착
CN114174553A (zh) * 2019-06-21 2022-03-11 弗萨姆材料美国有限责任公司 组合物和使用该组合物沉积含硅膜的方法
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11674222B2 (en) * 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
CN115565861A (zh) * 2021-07-02 2023-01-03 长鑫存储技术有限公司 一种薄膜沉积方法及半导体器件
WO2023096894A1 (en) * 2021-11-24 2023-06-01 Entegris, Inc. Organotin precursor compounds

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04329639A (ja) * 1991-05-01 1992-11-18 Kojundo Chem Lab Co Ltd 半導体装置のシリコン酸化膜の製造方法
JPH07115092A (ja) * 1993-08-26 1995-05-02 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
JPH0870042A (ja) * 1994-08-30 1996-03-12 Fujitsu Ltd 絶縁膜の形成方法
JP2006100833A (ja) * 2004-09-28 2006-04-13 Air Products & Chemicals Inc 多孔質の低誘電率組成物並びにそれを作製及び使用するための方法
JP2006199593A (ja) * 2005-01-18 2006-08-03 Adeka Corp シロキサン化合物及びフェノール化合物を含有してなる組成物
JP2009500864A (ja) * 2005-07-09 2009-01-08 アヴィザ テクノロジー インコーポレイテッド 均一バッチ膜被着工程および、それに従って生産されるフィルム
JP2011040741A (ja) * 2005-05-16 2011-02-24 Air Products & Chemicals Inc 化学気相成長用組成物
JP2011511881A (ja) * 2007-06-28 2011-04-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 二酸化ケイ素ギャップ充填材のための前駆体
JP2012124492A (ja) * 2010-12-09 2012-06-28 Air Products & Chemicals Inc CVD及びALDのSiO2膜のためのアミノビニルシラン
JP2013515355A (ja) * 2009-12-21 2013-05-02 アプライド マテリアルズ インコーポレイテッド 流動性cvd処理から形成された誘電体材料上で実行される湿式酸化処理

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US5008422A (en) * 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
EP0218117A3 (en) * 1985-10-11 1989-11-23 Allied Corporation Cyclosilazane polymers as dielectric films in integrated circuit fabrication technology
JPH0662775B2 (ja) * 1987-06-12 1994-08-17 チッソ株式会社 新規ポリシラザン及びその製造方法
JPH06310493A (ja) 1993-04-23 1994-11-04 Kawasaki Steel Corp 半導体装置の製造装置
US5567661A (en) * 1993-08-26 1996-10-22 Fujitsu Limited Formation of planarized insulating film by plasma-enhanced CVD of organic silicon compound
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US5968611A (en) * 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
KR100533198B1 (ko) * 2000-08-18 2005-12-05 동경 엘렉트론 주식회사 저유전성 질화규소막 및 그 형성 방법, 반도체 장치 및 그제조 방법
JP2003203800A (ja) * 2001-09-14 2003-07-18 Sekisui Chem Co Ltd 常圧プラズマ処理方法および装置
US6969769B2 (en) * 2002-06-14 2005-11-29 Vanson Halosource, Inc. N-halamine siloxanes for use in biocidal coatings and materials
JP4217870B2 (ja) 2002-07-15 2009-02-04 日本電気株式会社 有機シロキサン共重合体膜、その製造方法、成長装置、ならびに該共重合体膜を用いた半導体装置
US7125582B2 (en) * 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP3666751B2 (ja) 2003-11-28 2005-06-29 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜形成システム
DE102004001288A1 (de) * 2004-01-07 2005-08-11 Clariant International Limited Hydrophile Beschichtung auf Polysilazanbasis
US7470450B2 (en) * 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050239295A1 (en) * 2004-04-27 2005-10-27 Wang Pei-L Chemical treatment of material surfaces
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
EP1811056A4 (en) 2004-10-19 2013-11-27 Toray Industries METHOD OF FORMING A FILM, AND FILM
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
KR101283835B1 (ko) * 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
WO2007008653A2 (en) * 2005-07-08 2007-01-18 Aviza Technology, Inc. Method for depositing silicon-containing films
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7547796B2 (en) * 2005-09-29 2009-06-16 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009032962A (ja) 2007-07-27 2009-02-12 Panasonic Corp 半導体装置及びその製造方法
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
JP5559988B2 (ja) 2009-06-03 2014-07-23 東京エレクトロン株式会社 シリコン酸化膜用成膜原料およびそれを用いたシリコン酸化膜の成膜方法
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
JP5011355B2 (ja) * 2009-07-30 2012-08-29 東京エレクトロン株式会社 成膜方法
WO2011024619A1 (en) 2009-08-25 2011-03-03 Semiconductor Energy Laboratory Co., Ltd. Method for reprocessing semiconductor substrate, method for manufacturing reprocessed semiconductor substrate, and method for manufacturing soi substrate
US8415259B2 (en) * 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8946672B2 (en) * 2009-11-11 2015-02-03 Nec Corporation Resistance changing element capable of operating at low voltage, semiconductor device, and method for forming resistance change element
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
JP5623296B2 (ja) * 2010-01-15 2014-11-12 信越化学工業株式会社 トリシリルアミンの製造方法
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
EP2362411A1 (en) * 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8455768B2 (en) * 2010-11-15 2013-06-04 International Business Machines Corporation Back-end-of-line planar resistor
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US8530983B2 (en) * 2011-04-08 2013-09-10 Georgia Tech Research Corporation Piezo-phototronic effect devices
US8592005B2 (en) * 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
DE102011075974A1 (de) * 2011-05-17 2012-11-22 Evonik Degussa Gmbh Verfahren zur Herstellung von Trisilylamin in der Gasphase
KR101615584B1 (ko) * 2011-11-21 2016-04-26 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 장치, 반도체 장치의 제조 방법 및 기록 매체
US20130209343A1 (en) * 2012-02-10 2013-08-15 American Air Liquide, Inc. Liquid phase synthesis of trisilylamine
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
KR102140719B1 (ko) * 2012-03-09 2020-08-03 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 디스플레이 디바이스를 위한 배리어 물질
US20130260575A1 (en) * 2012-03-28 2013-10-03 Air Products And Chemicals, Inc. Silicon precursors and compositions comprising same for depositing low dielectric constant films
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9371338B2 (en) * 2012-07-20 2016-06-21 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
DE102012214290A1 (de) * 2012-08-10 2014-02-13 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Polysilazanen und Trisilylamin
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9878234B2 (en) * 2012-12-10 2018-01-30 Arlen Moller Incorporating objective assessments of fantasy-team-owners' physical activity into fantasy sport platforms
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
KR101583232B1 (ko) 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
KR101599952B1 (ko) * 2012-12-31 2016-03-04 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
DE102013209802A1 (de) * 2013-05-27 2014-11-27 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Trisilylamin und Polysilazanen mit einer Molmasse bis 500 g/mol
KR101600337B1 (ko) * 2013-06-07 2016-03-08 (주)디엔에프 신규한 아미노실릴아민 화합물, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
US9920077B2 (en) * 2013-09-27 2018-03-20 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
JP6345006B2 (ja) * 2014-07-08 2018-06-20 キヤノン株式会社 インクジェット記録ヘッド用基板の製造方法
US20160079034A1 (en) * 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
US20160156066A1 (en) * 2014-10-20 2016-06-02 Massachusetts Institute Of Technology Polymer electrolytes for electrochemical cells
EP3209813B1 (en) * 2014-10-24 2019-03-13 Versum Materials US, LLC Compositions and methods using same for deposition of silicon-containing film
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US11001599B2 (en) * 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) * 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
KR20170019668A (ko) * 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US20170114465A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
KR102613423B1 (ko) * 2015-12-21 2023-12-12 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 막의 증착을 위한 조성물 및 이를 사용하는 방법
KR102482618B1 (ko) * 2016-02-26 2022-12-28 버슘머트리얼즈 유에스, 엘엘씨 규소 함유 막의 증착을 위한 조성물, 및 이를 이용한 방법
US10384944B2 (en) * 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US11017998B2 (en) * 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10647578B2 (en) * 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
EP3682041B1 (en) * 2017-09-14 2022-04-20 Versum Materials US, LLC Methods for depositing silicon-containing films
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US11078569B2 (en) * 2017-09-19 2021-08-03 Versum Materials Us, Llc Trisilylamine derivatives as precursors for high growth rate silicon-containing films
US20190318925A1 (en) * 2018-04-11 2019-10-17 Versum Materials Us, Llc Monoorganoaminodisilane Precursors and Methods for Depositing Films Comprising Same
US20210140040A1 (en) * 2018-06-15 2021-05-13 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
KR20220038750A (ko) * 2019-07-25 2022-03-29 버슘머트리얼즈 유에스, 엘엘씨 실라시클로알칸을 포함하는 조성물 및 이를 이용한 규소 함유 필름의 증착 방법

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04329639A (ja) * 1991-05-01 1992-11-18 Kojundo Chem Lab Co Ltd 半導体装置のシリコン酸化膜の製造方法
JPH07115092A (ja) * 1993-08-26 1995-05-02 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
JPH0870042A (ja) * 1994-08-30 1996-03-12 Fujitsu Ltd 絶縁膜の形成方法
JP2006100833A (ja) * 2004-09-28 2006-04-13 Air Products & Chemicals Inc 多孔質の低誘電率組成物並びにそれを作製及び使用するための方法
JP2006199593A (ja) * 2005-01-18 2006-08-03 Adeka Corp シロキサン化合物及びフェノール化合物を含有してなる組成物
JP2011040741A (ja) * 2005-05-16 2011-02-24 Air Products & Chemicals Inc 化学気相成長用組成物
JP2009500864A (ja) * 2005-07-09 2009-01-08 アヴィザ テクノロジー インコーポレイテッド 均一バッチ膜被着工程および、それに従って生産されるフィルム
JP2011511881A (ja) * 2007-06-28 2011-04-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 二酸化ケイ素ギャップ充填材のための前駆体
JP2013515355A (ja) * 2009-12-21 2013-05-02 アプライド マテリアルズ インコーポレイテッド 流動性cvd処理から形成された誘電体材料上で実行される湿式酸化処理
JP2012124492A (ja) * 2010-12-09 2012-06-28 Air Products & Chemicals Inc CVD及びALDのSiO2膜のためのアミノビニルシラン

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
HONGGUN KIM, SEUNGHEON LEE ET AL: "Novel Flowable CVD Process Technology for sub-20nm Interlayer Dielectrics", 2012 IEEE INTERNATIONAL INTERCONNECT TECHNOLOGY CONFERENCE, JPN6018044057, 2012, US, ISSN: 0004419819 *

Also Published As

Publication number Publication date
US10316407B2 (en) 2019-06-11
CN113025992A (zh) 2021-06-25
WO2016065219A1 (en) 2016-04-28
KR20170074958A (ko) 2017-06-30
US20170335449A1 (en) 2017-11-23
CN113025992B (zh) 2024-02-02
US20190271075A1 (en) 2019-09-05
EP3209814A1 (en) 2017-08-30
EP3209813B1 (en) 2019-03-13
EP3431629A1 (en) 2019-01-23
CN107257867B (zh) 2021-03-16
EP3209813A1 (en) 2017-08-30
US20190017167A1 (en) 2019-01-17
US20170338109A1 (en) 2017-11-23
JP6949912B2 (ja) 2021-10-13
JP2017533589A (ja) 2017-11-09
JP6871161B2 (ja) 2021-05-12
CN107429391A (zh) 2017-12-01
CN107257867A (zh) 2017-10-17
SG11201703195QA (en) 2017-05-30
JP7152576B2 (ja) 2022-10-12
TW201615880A (zh) 2016-05-01
TWI575102B (zh) 2017-03-21
JP2022000913A (ja) 2022-01-04
KR102188751B1 (ko) 2020-12-08
KR102079501B1 (ko) 2020-02-20
KR102332415B1 (ko) 2021-12-01
WO2016065221A1 (en) 2016-04-28
JP2017535077A (ja) 2017-11-24
EP3431629B1 (en) 2021-11-24
CN113373428B (zh) 2023-07-14
TWI579399B (zh) 2017-04-21
CN113373428A (zh) 2021-09-10
CN107429391B (zh) 2021-06-25
EP3209814B1 (en) 2018-09-05
KR20200137054A (ko) 2020-12-08
TW201720953A (zh) 2017-06-16
US10106890B2 (en) 2018-10-23
TW201615886A (zh) 2016-05-01
TWI658168B (zh) 2019-05-01
SG10202000545RA (en) 2020-03-30
SG11201703196WA (en) 2017-05-30
KR20170075766A (ko) 2017-07-03

Similar Documents

Publication Publication Date Title
JP7152576B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを使用した方法
US20220157601A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
JP7139475B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを用いた方法
US20190055645A1 (en) Compositions and methods using same for deposition of silicon-containing film
US20210043446A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191008

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191008

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210323

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210803

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210902

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210922

R150 Certificate of patent or registration of utility model

Ref document number: 6949912

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150