CN107257867A - 组合物和使用所述组合物沉积含硅膜的方法 - Google Patents

组合物和使用所述组合物沉积含硅膜的方法 Download PDF

Info

Publication number
CN107257867A
CN107257867A CN201580067222.8A CN201580067222A CN107257867A CN 107257867 A CN107257867 A CN 107257867A CN 201580067222 A CN201580067222 A CN 201580067222A CN 107257867 A CN107257867 A CN 107257867A
Authority
CN
China
Prior art keywords
plasma
silicon
straight
nitrogen
branched
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580067222.8A
Other languages
English (en)
Other versions
CN107257867B (zh
Inventor
雷新建
金武性
M·R·麦克唐纳
萧满超
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Priority to CN202110244436.5A priority Critical patent/CN113025992B/zh
Publication of CN107257867A publication Critical patent/CN107257867A/zh
Application granted granted Critical
Publication of CN107257867B publication Critical patent/CN107257867B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Silicon Polymers (AREA)

Abstract

本文描述了用于在含硅膜的半导体沉积工艺(例如,但不限于,等离子体增强原子层沉积)中形成含硅膜或材料(例如,但不限于,氧化硅、氮化硅、氧氮化硅、碳掺杂氮化硅或碳掺杂氧化硅膜)的组合物和使用所述组合物的方法。

Description

组合物和使用所述组合物沉积含硅膜的方法
相关申请的交叉引用
本申请要求2014年10月24日提交的申请号62/068,248的权益。申请号62/068,248的公开内容通过引用并入本文。
背景技术
本文描述了用于制造电子器件的方法和组合物。更具体地,本文描述了用于在等离子体增强原子层沉积(PEALD)工艺中形成含硅膜的组合物。可以使用本文所述的组合物和方法沉积的示例性含硅膜包括,但不限于,化学计量或非化学计量的,氮化硅、碳氮化硅、氧氮化硅、氮化铝硅、氧化硅和碳氧化硅(silicon carboxide)膜。
氮化硅通常用作制造集成电路中的绝缘体和化学屏障,以使不同结构电隔离或作为体型微加工中的蚀刻掩模。作为微芯片的钝化层,它优于二氧化硅,因为它是对抗水分子和钠离子(微电子器件中腐蚀和不稳定性的两个主要来源)的明显更好的扩散屏障。它也用作模拟芯片的电容器中的多晶硅层之间的电介质。
用于形成氮化硅或膜的商业方法之一采用二氯硅烷和氨作为前体反应物。使用前体如二氯硅烷和氨的低压化学气相沉积(LPCVD)需要高沉积温度以获得最佳的膜性质。例如,可能需要大于750℃的温度以获得合理的生长速率和均匀性。其他加工问题涉及氯和氯副产物的有害方面。
许多较新型的半导体器件需要具有低蚀刻速率、高膜应力或两者的氮化硅膜。还优选并且有时必须在低于600℃的温度下形成膜,同时保持良好的电特性。膜硬度是电气部件设计中又一个有待考虑的因素,而氮化硅膜确实提供了非常硬的膜。
在低温(例如约500℃或更低或者约400℃或更低的温度)下沉积保形的、化学计量和非化学计量的氮化硅膜(其符合被认为是高质量膜的一个或多个标准)已经是长期存在的行业挑战。在半导体领域中存在需要高质量膜的多种应用,例如高级图案化或逆电流器(spacer)。如果氮化硅膜具有以下特性中的一个或多个,则认为其是“高质量”膜:与其它氮化硅膜相比,密度为2.0克/立方厘米(g/cc)或更高,低湿蚀刻速率(如在稀氢氟酸(HF)中测量的)及其组合。在这些或其他实施方式中,氮化硅膜的折射率应为1.8或更高。
因此,本领域需要提供一种用于沉积保形的、高质量的氮化硅膜的低温(例如,加工温度为约500℃或更低)方法,其中所述膜具有以下特性中的一个或多个:与使用其他沉积方法或前体的其他氮化硅膜相比,折射率为1.8或更高,密度为2.0克/立方厘米(g/cc)或更高,低湿蚀刻速率(如在稀氢氟酸(HF)中测量的)及其组合。
发明内容
本文描述了用于在衬底的至少一部分上形成化学计量或非化学计量的氮化硅膜的方法和组合物,所述氮化硅膜可以进一步包含碳、氧或其组合。在一个方面,用于沉积氮化硅膜的组合物包含:选自以下的至少一种硅前体化合物:
其中取代基R独立地选自氢、卤素原子、直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基。在替代性实施方式中,本文所述的具有式IIA至IID的硅前体化合物可用于沉积其它含硅膜或材料,例如但不限于氧化硅膜。
在另一个方面,提供了一种用于形成含硅材料的组合物,其包含:(a)选自以下的至少一种硅前体化合物:
其中取代基R独立地选自氢、卤素原子、直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;和(b)溶剂,其中所述溶剂具有沸点,并且其中所述溶剂的沸点与所述至少一种前体化合物的沸点之差为40℃或更小,并且其中所述组合物基本上不含卤离子。在本文所述组合物的某些实施方式中,示例性溶剂可以包括但不限于醚、叔胺、烷基烃、芳族烃,叔氨基醚及其组合。
在另一方面,提供了一种用于沉积氮化硅膜的方法,所述方法包括:
a.将一个或多个衬底置于反应器中;
b.引入选自以下的至少一种硅前体化合物:
其中取代基R独立地选自氢、卤素原子、直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基,其中所述化合物中的至少一部分在足以提供化学吸附层的加工条件下反应;
c.用吹扫气体吹扫所述反应器;
d.将包含氮的等离子体源引入所述反应器以与所述化学吸附层的至少一部分反应,其中所述等离子体以约0.01至约1.5W/cm2范围内的功率密度产生;和
e.任选地用惰性气体吹扫所述反应器;并且其中重复步骤b至e,直到得到期望厚度的所述氮化硅膜。
附图说明
图1提供了以埃度量的氮化硅膜厚度与使用式IIB前体化合物和实施例2中所述方法的氮化硅等离子体增强原子层沉积的温度之间的关系。
图2提供了以度量的沉积膜厚度的生长与用于实施例2中所述前体化合物和方法的硅前体脉冲时间(以秒度量)之间的关系。
图3提供了以度量的氮化硅膜厚度与实施例2中所述使用式IIB前体和300℃的氮等离子体的循环数的关系。
具体实施方式
在低温(例如500℃或更低或者400℃或更低的温度)下沉积保形的、化学计量和非化学计量的氮化硅膜(其满足一个或多个标准而被认为是高质量膜)是长期存在的行业挑战。在整个说明书中,本文所用的术语“氮化硅”是指包含硅和氮的膜,其选自化学计量或非化学计量的氮化硅、碳氮化硅、碳氧氮化硅、氮化铝硅及其混合物。如果氮化硅膜具有以下特性中的一个或多个,则认为其是“高质量”膜:与其它氮化硅膜相比,密度为2.0克/立方厘米(g/cc)或更高,低湿蚀刻速率(如在稀氢氟酸(HF)中测量的)及其组合。在这些或其他实施方式中,氮化硅膜的折射率应为1.8或更高。在一个实施方式中,本文描述了使用具有式IIA至IID的硅前体化合物以形成含硅膜或材料的组合物。本文还描述了使用本文所述式IIA至IID,在包括氮和任选地稀有或惰性气体的等离子体工艺中,在低温或约20℃至约500℃的一个或多个沉积温度下沉积氮化硅膜的原子层沉积(ALD)或ALD样方法。
本文描述了用于在衬底的至少一部分上形成包含硅和氮的化学计量或非化学计量的氮化硅膜的方法。在某些实施方式中,氮化硅膜还可以包含碳。在某些实施方式中,氮化硅膜还可以包含铝,例如氮化铝硅膜。在某些实施方式中,氮化硅膜还包含氧,例如氧氮化硅膜。在这个或其他实施方式中,氮化硅膜包含氧和碳,例如碳氧氮化硅膜。
在替代性实施方式中,包含具有式IIA至IID的至少一种硅前体化合物的组合物可用于沉积氧化硅材料或膜。在整个说明书中,本文所用的术语“氧化硅”是指包含硅和氮的膜,其选自化学计量或非化学计量的氧化硅、碳掺杂氧化硅、碳氧氮化硅及其混合物。
本文所述的氮化硅膜使用由下式IIA至IID表示的至少一种硅前体化合物沉积:
其中取代基R独立地选自氢、卤素原子、直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基。
尽管不受理论束缚,但据信在式IIA、IIB和IID中具有三个或更多个Si-N键和任选地三个或更多个Si-H3基团的硅前体化合物对于衬底表面的至少一部分具有更高的反应性,从而在沉积过程中将更多的硅片段锚定在表面上。这进而将增加膜的生长速率以及为包含表面特征(例如但不限于孔、沟槽和/或通孔)的衬底提供更好的表面覆盖,从而允许在表面上沉积保形的氮化硅或其他含硅膜。式IIB化合物的实例是双(二甲硅烷基氨基)硅烷(又名N,N’-二甲硅烷基三硅氮烷)。式IIC化合物的实例是三(乙基甲硅烷基)胺。在其中硅前体化合物是三(乙基甲硅烷基)胺的实施方式中,据信亚乙基在沉积过程中充当离去基团,从而产生另外的Si反应性位点,同时降低前体中的Si-H含量。
在上述式IIA至IID和整个说明书中,术语“直链烷基”表示具有1至10、3至10或1至6个碳原子的直链官能团。示例性直链烷基包括但不限于甲基、乙基、丙基、丁基、戊基和己基。在上述式IIA至IID和整个说明书中,术语“支链烷基”表示具有3至10或1至6个碳原子的支链官能团。示例性支链烷基包括但不限于异丙基、异丁基、仲丁基、叔丁基、异戊基、叔戊基、异己基和新己基。在某些实施方式中,烷基可以具有与其连接的一个或多个官能团,例如但不限于烷氧基、二烷基氨基或其组合。在其它实施方式中,烷基不具有与其连接的一个或多个官能团。烷基可以是饱和的,或者是不饱和的。
在上述式IIA至IID和整个说明书中,术语“卤离子”表示氯离子、溴离子、碘离子或氟离子。
在上述式IIA至IID和整个说明书中,术语“环烷基”表示具有3至10或5至10个原子的环状基团。示例性环烷基包括但不限于环丁基、环戊基、环己基和环辛基。在某些实施方式中,环烷基可以具有一个或多个C1-C10直链、支链取代基,或者含有氧或氮原子的取代基。在这个或其它实施方式中,环烷基可以具有一个或多个直链或支链烷基或烷氧基作为取代基,例如甲基环己基或甲氧基环己基。
在上述式IIA至IID和整个说明书中,术语“芳基”表示具有3至10个碳原子、5至10个碳原子或6至10个碳原子的芳族环状官能团。示例性芳基包括但不限于苯基、苄基、氯苄基、甲苯基和邻二甲苯基。
在上述式IIA至IID和整个说明书中,术语“烯基”表示具有一个或多个碳-碳双键并具有2至12个、2至10个或2至6个碳原子的基团。示例性烯基包括但不限于乙烯基或烯丙基。
在上述式IIA至IID和整个说明书中,术语“炔基”表示具有一个或多个碳-碳三键并具有2至12个或2至6个碳原子的基团。
在上述式IIA至IID和整个说明书中,本文所用的术语“不饱和的”是指官能团、取代基、环或桥具有一个或多个碳双键或三键。不饱和环的实例可以是,但不限于芳族环,如苯环。术语“饱和的”是指官能团、取代基、环或桥不具有一个或多个双键或三键。
在某些实施方式中,式中的烷基、烯基、炔基、烷氧基甲硅烷基烷基,烷氧基、芳氧基、芳酰氧基、芳基和/或芳族基团中的一个或多个可以是“取代的”或具有替代例如氢原子的一个或多个原子或原子团。示例性取代基包括但不限于氧、硫、卤素原子(例如F、Cl、I或Br)、氮、烷基和磷。在其它实施方式中,式中的烷基、烯基、炔基、烷氧基烷基、烷氧基、烷基氨基烷基、芳族基团和/或芳基中的一个或多个可以是未取代的。
用于形成本文所述的含硅材料和膜的方法是沉积工艺。本文公开的方法的适合沉积工艺的实例包括但不限于等离子体增强ALD(PEALD)或等离子体增强循环CVD(PECCVD)工艺。如本文所用,术语“化学气相沉积工艺”是指其中衬底暴露于一种或多种挥发性前体的任何工艺,所述挥发性前体在衬底表面上反应和/或分解以产生期望的沉积。如本文所使用的,术语“原子层沉积工艺”是指将含硅膜或材料沉积到不同组成的衬底上的自限式(例如,在各个反应循环中沉积的膜材料的量是恒定的)、连续表面化学反应。虽然本文使用的前体、试剂和源有时可以被描述为“气态的”,但应理解,前体可以是液体或固体,其通过直接蒸发、鼓泡或升华在有或没有惰性气体的情况下输送到反应器中。在一些情况下,气化的前体可以通过等离子体发生器。在一个实施方式中,氮化硅膜使用等离子体增强ALD工艺沉积。在另一个实施方式中,氮化硅膜使用等离子增强CCVD工艺沉积。本文所用的术语“反应器”包括但不限于反应室或沉积室。ALD样工艺在本文中定义为在衬底上提供高保形氮化硅膜如氮化硅或碳氮化硅的循环CVD工艺,如通过具有以下至少一者所示:如由椭率计测量的不均匀度百分比为约5%或更小,沉积速率为或更大,或其组合。
具有式IIA至IID的硅前体化合物可以以多种方式递送至反应室,例如CVD或ALD反应器。在一个实施方式中,可以使用液体递送***。在替代性实施方式中,可以采用组合的液体递送和闪蒸工艺单元,例如由MSP Corporation,Shoreview,MN制造的涡轮蒸发器,以使低挥发性材料能够被定量地(volumetrically)递送,这导致可重现的运输和沉积而不使前体热分解。在液体递送方式中,本文所述的前体可以以纯液体形式递送,或者可以用于包含该前体的溶剂制剂或组合物中。因此,在某些实施方式中,前体制剂可以包含具有适合特性的溶剂组分,如可以在在衬底上形成膜的给定最终用途应用中期望和有利的适合特性。
在本文所述的方法的一个实施方式中,将具有含硅膜或材料的至少一部分沉积于其上的表面的衬底置于反应器沉积室中。可以将衬底的温度控制为低于反应器的壁。将衬底温度保持在约室温(例如20℃)至约500℃的温度下。衬底温度的可选范围具有以下端点中的一个或多个:20、50、75、100、125、150、175、200、225、250、275、300、325、350、375、400、425、450、475和500℃。示例性温度范围包括以下:20至475℃,100至400℃或175至350℃。
取决于沉积方法,在某些实施方式中,一种或多种含硅前体化合物可以以预定摩尔体积或约0.1至约1000微摩尔引入反应器中。在这个或其他实施方式中,可以将包含式IIA至IID的硅前体或者硅前体和溶剂引入反应器中预定的时间段。在某些实施方式中,该时间段为约0.001至约500秒。
在某些实施方式中,含硅膜包含氮化硅。在这些实施方式中,使用本文所述的方法沉积的含硅膜在含氮源的存在下形成。含氮源可以以至少一种含氮源的形式引入反应器中,和/或可以附带地存在于沉积工艺中使用的其它前体中。适合的含氮源气体可以包括例如氨、肼、单烷基肼、二烷基肼、包含氮的等离子体、包含氮和氢的等离子体、包含氮和氦的等离子体、包含氮和氩的等离子体、氨等离子体、包含氮和氨的等离子体、包含氨和氦的等离子体、包含氨和氩的等离子体、NF3等离子体、有机胺等离子体及其混合物。在其它实施方式,等离子体选自氢等离子体、氦等离子体、氖等离子体、氩等离子体、氙等离子体、氢/氦等离子体、氢/氩等离子体及其混合物。在一个具体实施方式中,含氮源基本上不含(例如,具有2重量%(wt.%)或更少的)氢以避免将另外的氢引入最终的氮化硅膜中,并且选自氮等离子体、氮/氦等离子体、氮/氩等离子体。在另一个实施方式中,含氮源选自单烷基肼、二烷基肼。对于碳氮化硅的沉积,含氮源可以选自有机胺等离子体,如甲胺等离子体、二甲胺等离子体、三甲胺等离子体、乙胺等离子体、二乙胺等离子体、三甲胺等离子体、乙二胺等离子体。在整个说明书中,本文所用的术语“有机胺”描述了具有至少一个氮原子的有机化合物。有机胺的实例包括,不限于甲胺、乙胺、丙胺、异丙胺、叔丁胺、仲丁胺、叔戊胺、乙二胺、二甲胺、三甲胺、二乙胺、吡咯、2,6-二甲基哌啶、二正丙胺、二异丙胺、乙基甲基胺、N-甲基苯胺、吡啶、三乙胺。类似地,在整个说明书中,本文所用的术语“有机氨基”是指由源自如上所述的有机仲或伯胺的至少一个氮原子组成的有机基团。“有机氨基”不包括-NH2基团。
在某些实施方式中,含氮源以约1至约2000标准立方厘米(sccm)或约1至约1000sccm的流速引入反应器中。含氮源可以引入约0.1至约100秒范围的时间。在其中通过ALD或循环CVD工艺沉积膜的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,并且含氮源可以具有小于0.01秒的脉冲持续时间。在又一个实施方式中,脉冲之间的吹扫持续时间可以低至0秒,或者在没有之间的吹扫的情况下连续脉冲。
在某些实施方式中,使用本文所述的方法沉积的含硅膜在氧的存在下使用含氧源、包含氧的试剂或前体形成。含氧源可以以至少一种含氧源的形式引入反应器中。在这个或其它实施方式中,含氧源可以附带地存在于沉积工艺中使用的其它前体中。适合的含氧源气体可包括例如水(H2O)(例如去离子水、纯化水和/或蒸馏水)、氧(O2)、氧等离子体、臭氧(O3)、NO、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)及其组合。在某些实施方式中,含氧源以约1至约2000标准立方厘米(sccm)或约1至约1000sccm的流速引入反应器中。含氧源可以引入约0.1至约100秒范围的时间。在一个具体实施方式中,含氧源包括温度为10℃或更高的水。在其中通过ALD或循环CVD工艺沉积膜的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,并且含氧源可以具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以有小于0.01秒的脉冲持续时间。在又一个实施方式中,脉冲之间的吹扫持续时间可以低至0秒,或者在没有之间的吹扫的情况下连续脉冲。含氧源或试剂以与硅前体小于1:1的分子比率的量提供,使得至少一些碳保留在这样沉积的含硅膜中。
在某些实施方式中,引入步骤中反应器的温度为约室温(例如20℃)至约500℃范围中的一个或多个温度。衬底温度的可选范围具有以下端点中的一个或多个:20、50、75、100、125、150、175、200、225、250、275、300、325、350、375、400、425、450、475和500℃。示例性温度范围包括以下:20至475℃,100至400℃或175至350℃。
将能量施加到前体化合物、含氮源、含氧源、其它试剂或其组合中的至少一者以引起反应并在衬底的至少一部分上形成含硅膜或涂层或化学吸附层。这样的能量可以由但不限于热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合提供。在某些实施方式中,次级RF射频源可用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体产生方法可以包括直接等离子体产生方法(其中等离子体在反应器中直接产生)或者远程等离子体产生方法(其中等离子体在反应器外部产生并供应到反应器中)。在本文所述的方法的某些实施方式中,等离子体以约0.01至约1.5W/cm2的功率密度产生。
本文公开的沉积方法可以涉及一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体。示例性吹扫气体包括但不限于氩气(Ar)、氮气(N2)、氦气(He)、氖气、氢气(H2)及其混合物。在某些实施方式中,吹扫气体以约10至约2000sccm的流速供应到反应器中约0.1至1000秒,从而吹扫可能留在反应器中的未反应的材料和任何副产物。
供应前体、含氧源、含氮源和/或其它前体、源气体和/或试剂的相应步骤可以通过改变供应它们的时间来进行以改变所得膜或材料的化学计量组成。可以使用氩和/或其它气体的流作为载气,以帮助在前体脉冲期间将至少一种前体化合物的蒸气递送到反应室。在某些实施方式中,反应室工艺压力为约10托或更低、5托或更低、2托或更低、1托或更低。
在本文所述ALD或CCVD方法的一个实施方式中,将衬底在反应室中的加热台上加热,其在开始时暴露于前体化合物以使化合物化学吸附到衬底表面上。吹扫气体如氮气、氩气或其他惰性气体从工艺室吹扫掉未吸附的过量前体化合物。在充分吹扫之后,可以将含氮源引入反应室中以与吸附的表面反应,然后进行另一气体吹扫以从室中除去反应副产物。可以重复该工艺循环以获得期望的膜厚度。在其它实施方式中,真空抽吸可用于从工艺室中除去未吸附的过量前体化合物。在抽吸下充分排空之后,可以将含氮源引入反应室中以与吸附的表面反应,然后进行另一抽吸吹扫以从室中除去反应副产物。在又一个实施方式中,前体化合物和含氮源可以共同流入反应室中以在衬底表面上反应而沉积氮化硅。在循环CVD的特定实施方式中,不使用吹扫步骤。
在这个或其他实施方式中,应理解,本文所述的方法的步骤可以以多种顺序进行,可以连续或同时(例如,在另一步骤的至少一部分的过程中)进行及其任何组合方式。供应前体和含氮源气体的相应步骤可以通过改变供应它们的持续时间而进行以改变所得含硅膜的化学计量组成。
在一个方面,提供一种形成氮化硅膜的方法,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.向所述反应器中引入选自以下的至少一种硅前体化合物:
其中取代基R独立地选自氢、卤素原子、直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基,其中所述化合物的至少一部分在足以提供化学吸附层的工艺条件下反应;
c.用吹扫气体吹扫所述反应器;
d.将包含氮的等离子体引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点;和
e.任选地用惰性气体吹扫所述反应器;并且其中重复步骤b至e,直到得到期望厚度的氮化硅膜。
本文所述的硅前体和根据本发明的包含由式IIA至IID表示的具有三个或更多个Si-N键和任选地三个或更多个Si-H3基团的硅前体的组合物优选基本上不含卤离子如氯离子,或金属离子如Al。如本文所使用的,术语“基本上不含”在其涉及卤素离子(或卤离子)(如氯离子、氟离子、溴离子、碘离子)、Al3+离子、Fe2+、Fe3+、Ni2+、Cr3+时是指低于5ppm(按重量计),优选低于3ppm,更优选低于1ppm,最优选为0ppm。氯离子或金属离子已知充当硅前体的分解催化剂。最终产物中显著水平的氯离子可导致硅前体降解。硅前体的逐渐降解可以直接影响膜沉积工艺,使得半导体制造商难以达到膜规格。此外,保存期或稳定性受到硅前体的较高降解速率的不利影响,因此使得难以保证1-2年的保存期。此外,硅前体已知在分解时形成易燃和/或自燃气体,例如氢气和硅烷。因此,硅前体的加速分解提出了与这些易燃和/或自燃气态副产物的形成有关的安全性和性能的顾虑。
基本上不含卤离子的根据本发明的组合物可以通过(1)在化学合成过程中减少或消除氯离子源,和/或(2)实施有效的纯化处理以从粗产物中除去氯离子,使得最终纯化产物基本上不含氯离子而实现。通过使用不含卤离子的试剂,如氯乙硅烷、溴乙硅烷或碘乙硅烷,可以在合成过程中减少氯离子源,从而避免产生含有卤素离子的副产物。此外,上述试剂应基本上不含氯离子杂质,使得所得粗产物基本上不含氯离子杂质。以类似的方式,合成应不使用含有不可接受的高水平卤离子污染的基于卤化物的溶剂、催化剂或溶剂。粗产物也可以通过各种纯化方法处理以使最终产物基本上不含卤离子,如氯离子。这样的方法在现有技术中充分描述,并且可以包括但不限于纯化过程,如蒸馏或吸附。蒸馏通常用于通过利用沸点差异分离杂质与期望的产物。也可以使用吸附以利用组分的吸附性质差异实现分离,使得最终产物基本上不含卤离子。吸附剂,例如市售MgO-Al2O3共混物,可用于除去卤离子,如氯离子。
对于涉及包含溶剂和具有本文所述的式IIA至IID的硅前体的组合物的那些实施方式,所选择的溶剂或其混合物不与硅前体反应。组合物中溶剂的重量%的量为0.5重量%至99.5重量%或10重量%至75重量%。在这个或其他实施方式中,溶剂的沸点(b.p.)与式II的硅前体的b.p.相似,或者溶剂的b.p.与式II的硅前体的b.p.之差为40℃或更小、30℃或更小、或20℃或更小、10℃或更小或5℃或更小。或者,沸点之差在任一个或多个以下端点的范围内:0、10、20、30或40℃。b.p.之差的适合范围的实例包括但不限于0至40℃,20至30℃或10至30℃。组合物中适合溶剂的实例包括但不限于醚(如1,4-二噁烷、二丁醚),叔胺(如吡啶、1-甲基哌啶、1-乙基哌啶、N,N’二甲基哌嗪、N,N,N’,N’-四甲基乙二胺),腈(如苄腈),烷基烃(如辛烷、壬烷、十二烷、乙基环己烷),芳族烃(如甲苯、均三甲苯),叔氨基醚(如双(2-二甲基氨基乙基)醚),或其混合物。一些非限制性示例组合物包括但不限于包含双(二甲硅烷基氨基)硅烷(b.p.为约135℃)和辛烷(b.p.为125至126℃)的组合物;包含双(二甲硅烷基氨基)硅烷(b.p.为约135℃)和乙基环己烷(b.p.为130-132℃)的组合物;包含双(二甲硅烷基氨基)硅烷(b.p.为约135℃)和环辛烷(b.p.为149℃)的组合物;包含双(二甲硅烷基氨基)硅烷(b.p.为约135℃)和甲苯(b.p.为115℃)的组合物。
在另一个实施方式中,本文描述了用于沉积含硅膜的容器,其包含具有式IIA至IID的一种或多种硅前体化合物。在一个具体实施方式中,容器包括装配有适当阀和配件的至少一个可加压容器(优选不锈钢的),以允许将一种或多种前体递送到CVD或ALD工艺的反应器。在这个或其他实施方式中,硅前体化合物在由不锈钢构成的可加压容器中提供,并且硅前体的纯度为98重量%或更高或者99.5%或更高,该纯度适用于大多数半导体应用。在某些实施方式中,如果期望,这样的容器还可以具有用于将前体与一种或多种另外的前体混合的装置。在这些或其它实施方式中,容器的内容物可以与另外的前体预混合。或者,本文所述的硅前体化合物和/或其它前体可以保持在分开的容器中或具有分隔装置的单一容器中,以保持具有式IIA至IID的硅前体在储存期间与其它前体分开。
在某些实施方式中,本文所述的方法还包括除具有上述式IIA至IID的硅前体以外的一种或多种另外的含硅前体。另外的含硅前体的实例包括但不限于单氨基硅烷,如二异丙基氨基硅烷、二仲丁基氨基硅烷、苯基甲基氨基硅烷;有机硅化合物,如三甲硅烷基胺(TSA);单氨基硅烷(二异丙基氨基硅烷、二仲丁基氨基硅烷、苯基甲基氨基硅烷);硅氧烷(如六甲基二硅氧烷(HMDSO)和二甲基硅氧烷(DMSO));有机硅烷(如甲基硅烷、二甲基硅烷、二乙基硅烷、乙烯基三甲基硅烷、三甲基硅烷、四甲基硅烷、乙基硅烷、二甲硅烷基甲烷、2,4-二硅杂戊烷、1,4-二杂硅丁烷、2,5-二硅杂己烷、2,2-二甲硅烷基丙烷、1,3,5-三硅杂环己烷和这些化合物的氟化衍生物);含苯基有机硅化合物(如二甲基苯基硅烷和二苯基甲基硅烷);含氧有机硅化合物,如二甲基二甲氧基硅烷、1,3,5,7-四甲基环四硅氧烷、1,1,3,3-四甲基二硅氧烷、1,3,5,7-四硅杂-4-氧代-庚烷、2,4,6,8-四硅杂-3,7-二氧代-壬烷、2,2-二甲基-2,4,6,8-四硅杂-3,7-二氧代-壬烷、八甲基环四硅氧烷、[1,3,5,7,9]-五甲基环戊硅氧烷、1,3,5,7-四硅杂-2,6-二氧代-环辛烷、六甲基环三硅氧烷、1,3-二甲基二硅氧烷、1,3,5,7,9-五甲基环戊硅氧烷、六甲氧基二硅氧烷和这些化合物的氟化衍生物。
在某些实施方式中,本文所述的具有式IIA至IID的硅前体也可用作含金属膜(例如但不限于金属氧化物膜或金属氮化物膜)的掺杂剂。在这些实施方式中,含金属膜使用ALD或CVD工艺,例如本文所述的那些工艺,使用金属醇盐、金属酰胺或挥发性有机金属前体沉积。可以用于本文公开的方法的适合的金属醇盐前体的实例包括但不限于第3至6族金属醇盐、具有烷氧基和烷基取代的环戊二烯基配体的第3至6族金属络合物、具有烷氧基和烷基取代的吡咯基配体的第3至6族金属复合物,具有烷氧基和二酮(diketonate)配体两者的第3至6族金属络合物;具有烷氧基和酮酯配体两者的第3至6族金属络合物。可以用于本文公开的方法的适合的金属酰胺前体的实例包括但不限于AlCl3,三甲基铝(TMA),三乙基铝,甲基氯化铝,三(二甲基氨基)铝(TDMAA),三(二甲基氨基)铝(TDMAA)和三(二乙基氨基)铝(TDEAA)及其他挥发性铝前体,四(二甲基氨基)锆(TDMAZ),四(二乙基氨基)锆(TDEAZ),四(乙基甲基氨基)锆(TEMAZ),四(二甲基氨基)铪(TDMAH),四(二乙基氨基)铪(TDEAH)和四(乙基甲基氨基)铪(TEMAH),四(二甲基氨基)钛(TDMAT),四(二乙基氨基)钛(TDEAT),四(乙基甲基氨基)钛(TEMAT),叔丁基亚氨基三(二乙基氨基)钽(TBTDET),叔丁基亚氨基三(二甲基氨基)钽(TBTDMT),叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT),乙基亚氨基三(二乙基氨基)钽(EITDET),乙基亚氨基三(二甲基氨基)钽(EITDMT),乙基亚胺基三(乙基甲基氨基)钽(EITEMT),叔戊基亚氨基三(二甲基氨基)钽(TAIMAT),叔戊基亚氨基三(二乙基氨基)钽,五(二甲基氨基)钽,叔戊基亚氨基三(乙基甲基氨基)钽,双(叔丁基亚氨基)双(二甲基氨基)钨(BTBMW),双(叔丁基亚氨基)双(二乙基氨基)钨,双(叔丁基亚氨基)双(乙基甲基氨基)钨及其组合。可以用于本文公开的方法的适合的有机金属前体的实例包括但不限于第3族金属环戊二烯基化物或烷基环戊二烯基化物。本文中的示例性第3至6族金属包括但不限于Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo和W。
如前所述,本文所述的方法可用于在衬底的至少一部分上沉积氮化硅膜。适合衬底的实例包括但不限于硅、SiO2、Si3N4、OSG、FSG、碳化硅、氢化碳化硅、氮化硅、氢化氮化硅、碳氮化硅、氢化碳氮化硅、硼氮化物、抗反射涂层、光致抗蚀剂、柔性衬底如IGZO、有机聚合物、多孔有机和无机材料、金属如铜和铝,以及扩散屏障层,例如但不限于TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。膜与多种后续加工步骤相容,例如化学机械平面化(CMP)和各向异性蚀刻处理。
沉积的膜具有应用,其包括但不限于计算机芯片、光学器件、磁性信息存储器、支撑材料或衬底上的涂层、微机电***(MEMS)、纳米机电***、薄膜晶体管(TFT)、发光二极管(LED)、有机发光二极管(OLED)、IGZO和液晶显示器(LCD)。
在某些实施方式中,衬底具有表面特征。在一个具体实施方式中,衬底任选地在其上具有宽度小于100μm,宽度优选小于1μm,宽度最优选小于0.5μm的小尺寸的特征。特征(如果存在)的纵横比(深宽比)大于1:1,优选大于4:1,最优选大于8:1。
衬底可以是单晶硅晶片、碳化硅晶片、氧化铝(蓝宝石)晶片、玻璃片、金属箔、有机聚合物膜,或者可以是聚合物、玻璃、硅或金属三维制品。衬底可以涂布有本领域公知的多种材料,包括氧化硅、氮化硅、无定形碳、氧碳化硅、氧氮化硅、碳化硅、砷化镓、氮化镓等的膜。这些涂层可以完全涂布衬底,可以是各种材料的多个层,并且可以被部分蚀刻以暴露下层材料层。表面也可以在其上具有光致抗蚀剂材料,其以图案曝光并显影以部分地覆盖衬底。
以下实施例说明了本文所述的用于沉积含硅材料或膜的方法,并不意图以任何方式限制它。
工作实施例
在以下实施例中,除非另有说明,否则性能是从在中等电阻率(14-17Ω-cm)的单晶硅晶片衬底上沉积的样品膜获得的。所有的膜沉积都是使用具有喷头设计并使用13.56MHz直接等离子体的CN-1反应器或者不具有等离子体的错流型CN-1反应器(用于比较例)进行。在典型的工艺条件下,除非另有说明,室压力固定在约1至约5托的压力。使用另外的惰性气体如氩气或氮气以维持室压力。所用典型RF功率在150mm晶片基座的电极区域上为125W,以提供0.7W/cm2的功率密度。
实施例1.双(二甲硅烷基氨基)硅烷(又名N,N’-二甲硅烷基三硅氮烷,式IIB)的合成
在氮气保护下,向含有三甲硅烷基胺(500g,4.66摩尔(mol))的1升(L)圆底烧瓶中加入2.5克的B(C6F5)3在十二烷中的溶液(0.2重量%(wt.%),9.8×10-6摩尔(mol))。硅烷气体立即作为气泡开始释放。将反应溶液搅拌约1小时,同时保持内部温度为20℃。一旦反应溶液质量降低30%,则加入4,4-联吡啶(1.25g,8.00×10-3摩尔)作为催化剂毒物,并快速停止鼓泡。在将淬灭的反应混合物搅拌2小时后,将挥发物真空转移(25-35℃/1托)至冷却至-78℃的第二1升烧瓶中。收集的粗液体通过气相色谱(GC)和气相色谱-质谱(GC-MS)测定为三甲硅烷基胺与N,N’-二甲硅烷基三硅氮烷的约1:1混合物。通过真空分馏(58℃/50托)纯化得到164g N,N’-二甲硅烷基三硅氮烷作为纯度>99%的无色液体。沸点(b.p.)=135℃。GC-MS显示以下质谱峰:181(M-1),149,119,104,91,72。
实施例2.使用双(二甲硅烷基氨基)硅烷(又名N,N’-二甲硅烷基三硅氮烷,式IIB)和氮等离子体的PEALD氮化硅膜
将硅晶片装载到配有喷头设计且具有13.56MHz直接等离子体的CN-1反应器中,并加热至300℃,室压力为2托。使用双(二甲硅烷基氨基)硅烷作为硅前体和氮等离子体作为等离子体源。使用以下工艺参数进行ALD循环。
a.准备反应器并加载晶片
√室压力:2托
b.向反应器引入硅前体
√氮气总流速:1000标准立方厘米(sccm)
√硅前体脉冲:1秒
c.吹扫
√氮气总流速:1000sccm
√吹扫时间:10秒
d.引入等离子体
√氮气总流速:1000sccm
√等离子体功率:125W
√等离子体脉冲:10秒
e.吹扫
√氮气总流速:1000sccm
√吹扫时间:10秒
步骤b至e重复300个循环。所得氮化硅膜的折射率为2.0,而生长/循环(GPC)为约,证明可以使用双(二甲硅烷基氨基)硅烷前体化合物获得高质量氮化硅。
设计了另外的实验以进一步确认双(二甲硅烷基氨基)硅烷作为硅前体的PEALD性能。图1显示了使用式IIB前体和氮等离子体的氮化硅等离子体增强原子层沉积的温度依赖性,表明该前体的ALD窗口为至少高至约400℃。图2显示了在300℃下使用氮等离子体时,这样沉积的氮化硅的膜厚度相对于式IIB前体的脉冲时间,证明甚至以0.1s的自限式行为,并表明式IIB前体的高反应性。图3示出了在300℃下使用式IIB前体和氮等离子体时,这样沉积的氮化硅的膜厚度相对于循环数,证明每循环的生长为约
在进一步的实验中,步骤b至e重复300个循环,除了步骤d中的等离子体功率设定为250瓦特。所得氮化硅膜厚度为相当于生长/循环(GPC)为。氮化硅膜的折射率为2.0。
实施例3.使用双(二甲硅烷基氨基)硅烷(又名N,N’-二甲硅烷基三硅氮烷,式IIB)和氨等离子体的PEALD氮化硅膜
将硅晶片装载到配有喷头设计且具有13.56MHz直接等离子体的CN-1反应器中,并加热至300℃,室压力为2托。使用双(二甲硅烷基氨基)硅烷作为硅前体和氨等离子体作为等离子体源。使用以下工艺参数进行ALD循环。
a.准备反应器并加载晶片
√室压力:2托
b.向反应器引入硅前体
√氩气总流速:1000sccm
√硅前体脉冲:0.2秒
c.吹扫
√氩气总流速:1000sccm
√吹扫时间:10秒
d.引入等离子体
√氩气总流速:1000sccm
√氨总流速:500sccm
√等离子体功率:125W
√等离子体脉冲:10秒
e.吹扫
√氮气总流速:1000sccm
√吹扫时间:10秒
步骤b至e重复300个循环。这样沉积的氮化硅的厚度为约表明与类似条件(如实施例2中的那些)下的氮等离子体相比,氨等离子体不如氮源好。
比较例3.使用双(二甲硅烷基氨基)硅烷(又名N,N’-二甲硅烷基三硅氮烷,式IIB)和氨的热ALD氮化硅膜
将硅晶片装载到配有喷头设计且具有13.56MHz直接等离子体的CN-1反应器中,并加热至350℃,室压力为2托。使用双(二甲硅烷基氨基)硅烷作为硅前体。使用以下工艺参数进行ALD循环。
a.准备反应器并加载晶片
√室压力:2托
b.向反应器引入硅前体
√氩气总流速:1000sccm
√硅前体脉冲:0.2秒
c.吹扫
√氩气总流速:1000sccm
√吹扫时间:10秒
d.引入氨
√氩气总流速:1000sccm
√氨总流速:500sccm
√脉冲:10秒
e.吹扫
√氩气总流速:1000sccm
√吹扫时间:10秒
步骤b至e重复200个循环。当与实施例3相比不使用等离子体时,在衬底上没有观察到沉积。
实施例4.使用双(二甲硅烷基氨基)硅烷(又名N,N’-二甲硅烷基三硅氮烷,式IIB)和氢/氮等离子体的PEALD氮化硅膜
将硅晶片装载到配有喷头设计且具有13.56MHz直接等离子体的CN-1反应器中,并加热至300℃,室压力为2托。使用双(二甲硅烷基氨基)硅烷作为硅前体和氮等离子体作为等离子体源。使用以下工艺参数进行ALD循环。
a.准备反应器并加载晶片
√室压力:2托
b.向反应器引入硅前体
√氮气总流速:1000sccm
√硅前体脉冲:0.2秒
c.吹扫
√氮气总流速:1000sccm
√吹扫时间:10秒
d.引入等离子体
√氮气总流速:1000sccm
√氢气总流速:500sccm
√等离子体功率:125W
√等离子体脉冲:10秒
e.吹扫
√氮气总流速:1000sccm
√吹扫时间:10秒
步骤b至e重复300个循环。这样沉积的氮化硅的厚度为约对应于GPC为。该实验表明与类似条件下的氮等离子体(如实施例2)相比,氢/氮等离子体不是好的氮源。
实施例5.使用式IIB的双(二甲硅烷基氨基)硅烷(又名N,N’-二甲硅烷基三硅氮烷)和氢/氮等离子体的PEALD氮化硅膜
将硅晶片装载到配有喷头设计且具有13.56MHz直接等离子体的CN-1反应器中,并加热至300℃,室压力为2托。使用双(二甲硅烷基氨基)硅烷作为硅前体和氮等离子体作为等离子体源。使用以下工艺参数进行ALD循环。
a.准备反应器并加载晶片
√室压力:2托
b.向反应器引入硅前体
√氮气总流速:500sccm
√氢气总流速:500sccm
√硅前体脉冲:0.2秒
c.吹扫
√氮气总流速:500sccm
√氢气总流速:500sccm
√吹扫时间:10秒
d.引入等离子体
√氮气总流速:500sccm
√氢气总流速:500sccm
√等离子体功率:125W
√等离子体脉冲:10秒
e.吹扫
√氮气总流速:1000sccm
√吹扫时间:10秒
步骤b至e重复300个循环。这样沉积的氮化硅的厚度为约对应于GPC为。该实验表明改变氢气与氮气的比率可以提高氮化硅的沉积速率,然而生长/循环仍然比实施例2中所示的氮等离子体低得多。另一个实验是在步骤b中使用1秒的硅前体脉冲,这样沉积的氮化硅的厚度为约对应于GPC为

Claims (10)

1.一种用于沉积氮化硅或氧化硅膜的组合物,所述组合物包含:
具有下式IIA至IIB的至少一种硅前体化合物:
其中取代基R独立地选自氢、卤素原子、直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基。
2.一种用于形成含硅材料的组合物:
(a)具有下式IIA至IID的至少一种硅前体化合物:
其中取代基R独立地选自氢、卤素原子、直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;和
(b)溶剂,其中所述溶剂具有沸点,并且所述溶剂的沸点与所述硅前体的沸点之间的差为40℃或更小。
3.根据权利要求2所述的组合物,其中所述化合物包含选自双(二甲硅烷基氨基)硅烷和三(乙基甲硅烷基)胺中的至少一种。
4.根据权利要求3所述的组合物,其中所述化合物包含双(二甲硅烷基氨基)硅烷。
5.根据权利要求2所述的组合物,其包含选自醚、叔胺、烷基烃、芳族烃和叔氨基醚中的至少一种。
6.根据权利要求2所述的组合物,其中所述溶剂包含选自辛烷、乙基环己烷、环辛烷和甲苯中的至少一种。
7.一种在衬底表面的至少一部分上沉积氮化硅膜的方法,所述方法包括:
a.将所述衬底置于反应器中;
b.在足以提供化学吸附层的条件下向所述反应器中引入具有下式IIA至IID的至少一种硅前体化合物:
其中取代基R独立地选自氢、卤素原子、直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
c.用吹扫气体吹扫所述反应器;
d.将包含氮的等离子体源引入所述反应器以与所述化学吸附层的至少一部分反应;和
e.任选地用惰性气体吹扫所述反应器;并且其中重复步骤b至e,直到得到期望厚度的所述氮化硅膜。
8.根据权利要求7所述的方法,其中所述等离子体源选自氮等离子体、氮/氦等离子体、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氦等离子体、氩等离子体、氢等离子体、氢/氦等离子体、氢/氩等离子体、有机胺等离子体及其混合物。
9.根据权利要求7所述的方法,其中所述至少一种硅前体化合物包含双(二甲硅烷基氨基)硅烷。
10.根据权利要求7所述的方法,其中步骤d中的所述等离子体以约0.01至约1.5W/cm2范围内的功率密度产生。
CN201580067222.8A 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法 Active CN107257867B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110244436.5A CN113025992B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462068248P 2014-10-24 2014-10-24
US62/068,248 2014-10-24
PCT/US2015/057045 WO2016065221A1 (en) 2014-10-24 2015-10-23 Compositions and methods using same for deposition of silicon-containing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202110244436.5A Division CN113025992B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法

Publications (2)

Publication Number Publication Date
CN107257867A true CN107257867A (zh) 2017-10-17
CN107257867B CN107257867B (zh) 2021-03-16

Family

ID=54477308

Family Applications (4)

Application Number Title Priority Date Filing Date
CN201580067146.0A Active CN107429391B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法
CN202110661668.0A Active CN113373428B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法
CN201580067222.8A Active CN107257867B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法
CN202110244436.5A Active CN113025992B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN201580067146.0A Active CN107429391B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法
CN202110661668.0A Active CN113373428B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202110244436.5A Active CN113025992B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法

Country Status (8)

Country Link
US (3) US10316407B2 (zh)
EP (3) EP3209814B1 (zh)
JP (4) JP6871161B2 (zh)
KR (3) KR102188751B1 (zh)
CN (4) CN107429391B (zh)
SG (3) SG10202000545RA (zh)
TW (3) TWI658168B (zh)
WO (2) WO2016065221A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110776639A (zh) * 2018-07-26 2020-02-11 Asm Ip控股有限公司 用于形成热稳定有机硅聚合物膜的方法
CN110891956A (zh) * 2017-05-24 2020-03-17 弗萨姆材料美国有限责任公司 作为用于高生长速率含硅膜的前体的官能化环硅氮烷
CN110952074A (zh) * 2018-08-10 2020-04-03 弗萨姆材料美国有限责任公司 硅化合物和使用硅化合物沉积膜的方法
CN114174553A (zh) * 2019-06-21 2022-03-11 弗萨姆材料美国有限责任公司 组合物和使用该组合物沉积含硅膜的方法
CN114959653A (zh) * 2018-08-29 2022-08-30 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9920077B2 (en) 2013-09-27 2018-03-20 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
CN107429391B (zh) * 2014-10-24 2021-06-25 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
TWI716333B (zh) * 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
EP3307744B1 (en) * 2015-06-12 2020-09-02 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition processes for forming silicon- and oxygen-containing thin films
EP3307745B1 (en) * 2015-06-12 2020-04-01 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition processes for forming silicon- and nitrogen-containing thin films
CN108140555B (zh) * 2015-10-22 2024-03-15 应用材料公司 沉积包含SiO及SiN的可流动薄膜的方法
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US20170372919A1 (en) * 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable Amorphous Silicon Films For Gapfill Applications
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10811251B2 (en) * 2016-09-30 2020-10-20 Intel Corporation Dielectric gap-fill material deposition
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10647578B2 (en) * 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US11591692B2 (en) * 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10822458B2 (en) * 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR102271768B1 (ko) 2017-04-07 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 반응성 어닐링을 사용하는 갭충전
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
TWI633201B (zh) * 2017-10-24 2018-08-21 國立交通大學 非晶碳薄膜、其製造方法與包含其之光學系統
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送***
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
SG11202007789UA (en) 2018-02-21 2020-09-29 Air Liquide Perhydropolysilazane compositions and methods for forming oxide films using same
JP7379353B2 (ja) * 2018-02-22 2023-11-14 アプライド マテリアルズ インコーポレイテッド より優れた膜品質を可能にするためにマスク基板を処理する方法
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
JP7113670B2 (ja) * 2018-06-08 2022-08-05 東京エレクトロン株式会社 Ald成膜方法およびald成膜装置
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
US20210140040A1 (en) * 2018-06-15 2021-05-13 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US20190382886A1 (en) * 2018-06-15 2019-12-19 Versum Materials Us, Llc Siloxane Compositions and Methods for Using the Compositions to Deposit Silicon Containing Films
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
JP6909762B2 (ja) 2018-07-23 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
SG11202103763QA (en) 2018-11-16 2021-05-28 Applied Materials Inc Film deposition using enhanced diffusion process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN113169070A (zh) * 2018-12-21 2021-07-23 乔治洛德方法研究和开发液化空气有限公司 在550℃或更高的温度下使用ALD沉积含Si膜的前体和工艺
JP2022519295A (ja) * 2019-02-05 2022-03-22 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープされた酸化ケイ素の堆積
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11674222B2 (en) * 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
CN115565861A (zh) * 2021-07-02 2023-01-03 长鑫存储技术有限公司 一种薄膜沉积方法及半导体器件
CN118284718A (zh) * 2021-11-24 2024-07-02 恩特格里斯公司 有机锡前驱化合物

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101488452A (zh) * 2008-01-19 2009-07-22 东京毅力科创株式会社 半导体处理用的成膜方法和装置
US20120220139A1 (en) * 2009-10-14 2012-08-30 Asm Japan K.K. Method of depositing dielectric film by modified peald method
US20140256160A1 (en) * 2011-11-21 2014-09-11 Hitachi Kokusai Electric Inc. Apparatus for Manufacturing Semiconductor Device, Method of Manufacturing Semiconductor Device, and Recording Medium

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) * 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US5008422A (en) 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
EP0218117A3 (en) 1985-10-11 1989-11-23 Allied Corporation Cyclosilazane polymers as dielectric films in integrated circuit fabrication technology
JPH0662775B2 (ja) 1987-06-12 1994-08-17 チッソ株式会社 新規ポリシラザン及びその製造方法
JP3060185B2 (ja) * 1991-05-01 2000-07-10 株式会社高純度化学研究所 半導体装置のシリコン酸化膜の製造方法
JPH06310493A (ja) * 1993-04-23 1994-11-04 Kawasaki Steel Corp 半導体装置の製造装置
JP3401322B2 (ja) * 1993-08-26 2003-04-28 富士通株式会社 絶縁膜を有する半導体装置の製造方法
US5567661A (en) 1993-08-26 1996-10-22 Fujitsu Limited Formation of planarized insulating film by plasma-enhanced CVD of organic silicon compound
JP3396791B2 (ja) * 1994-08-30 2003-04-14 富士通株式会社 絶縁膜の形成方法
FR2759362B1 (fr) * 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US5968611A (en) * 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP4048112B2 (ja) 2000-08-18 2008-02-13 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置
JP2003203800A (ja) * 2001-09-14 2003-07-18 Sekisui Chem Co Ltd 常圧プラズマ処理方法および装置
JP4217870B2 (ja) 2002-07-15 2009-02-04 日本電気株式会社 有機シロキサン共重合体膜、その製造方法、成長装置、ならびに該共重合体膜を用いた半導体装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP3666751B2 (ja) 2003-11-28 2005-06-29 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜形成システム
DE102004001288A1 (de) * 2004-01-07 2005-08-11 Clariant International Limited Hydrophile Beschichtung auf Polysilazanbasis
US7470450B2 (en) * 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
JP4924039B2 (ja) * 2004-10-19 2012-04-25 東レ株式会社 フィルムの製造方法、および、フィルム
JP3788624B1 (ja) * 2005-01-18 2006-06-21 旭電化工業株式会社 シロキサン化合物及びフェノール化合物を含有してなる組成物
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
EP1907599A2 (en) * 2005-07-08 2008-04-09 Aviza Technology, Inc. Method for depositing silicon-containing films
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7547796B2 (en) * 2005-09-29 2009-06-16 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2011511881A (ja) * 2007-06-28 2011-04-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 二酸化ケイ素ギャップ充填材のための前駆体
JP2009032962A (ja) 2007-07-27 2009-02-12 Panasonic Corp 半導体装置及びその製造方法
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
JP5559988B2 (ja) * 2009-06-03 2014-07-23 東京エレクトロン株式会社 シリコン酸化膜用成膜原料およびそれを用いたシリコン酸化膜の成膜方法
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
KR101752901B1 (ko) * 2009-08-25 2017-06-30 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기판의 재생 방법, 재생 반도체 기판의 제작 방법, 및 soi 기판의 제작 방법
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
US8461367B2 (en) * 2010-01-15 2013-06-11 Shin-Etsu Chemical Co., Ltd. Preparation process of trisilylamine
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US8530983B2 (en) * 2011-04-08 2013-09-10 Georgia Tech Research Corporation Piezo-phototronic effect devices
DE102011075974A1 (de) * 2011-05-17 2012-11-22 Evonik Degussa Gmbh Verfahren zur Herstellung von Trisilylamin in der Gasphase
US20130209343A1 (en) * 2012-02-10 2013-08-15 American Air Liquide, Inc. Liquid phase synthesis of trisilylamine
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
KR102140719B1 (ko) 2012-03-09 2020-08-03 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 디스플레이 디바이스를 위한 배리어 물질
US20130260575A1 (en) * 2012-03-28 2013-10-03 Air Products And Chemicals, Inc. Silicon precursors and compositions comprising same for depositing low dielectric constant films
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
DE102012214290A1 (de) * 2012-08-10 2014-02-13 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Polysilazanen und Trisilylamin
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9878234B2 (en) * 2012-12-10 2018-01-30 Arlen Moller Incorporating objective assessments of fantasy-team-owners' physical activity into fantasy sport platforms
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
KR101599952B1 (ko) 2012-12-31 2016-03-04 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
KR101583232B1 (ko) 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
DE102013209802A1 (de) * 2013-05-27 2014-11-27 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Trisilylamin und Polysilazanen mit einer Molmasse bis 500 g/mol
KR101600337B1 (ko) 2013-06-07 2016-03-08 (주)디엔에프 신규한 아미노실릴아민 화합물, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
US9920077B2 (en) * 2013-09-27 2018-03-20 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
US9905415B2 (en) 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
CN107429391B (zh) 2014-10-24 2021-06-25 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US11124876B2 (en) * 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
KR20170019668A (ko) 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
CN108140555B (zh) * 2015-10-22 2024-03-15 应用材料公司 沉积包含SiO及SiN的可流动薄膜的方法
CN108603287B (zh) * 2015-12-21 2021-11-02 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物及使用其的方法
SG11201807211XA (en) 2016-02-26 2018-09-27 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10384944B2 (en) * 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US11017998B2 (en) * 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10647578B2 (en) * 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
EP3682041B1 (en) * 2017-09-14 2022-04-20 Versum Materials US, LLC Methods for depositing silicon-containing films
US11078569B2 (en) * 2017-09-19 2021-08-03 Versum Materials Us, Llc Trisilylamine derivatives as precursors for high growth rate silicon-containing films
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US20190318925A1 (en) * 2018-04-11 2019-10-17 Versum Materials Us, Llc Monoorganoaminodisilane Precursors and Methods for Depositing Films Comprising Same
US20210140040A1 (en) * 2018-06-15 2021-05-13 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
CN114174555A (zh) * 2019-07-25 2022-03-11 弗萨姆材料美国有限责任公司 用于沉积含硅膜的包含硅杂环烷烃的组合物及其使用方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101488452A (zh) * 2008-01-19 2009-07-22 东京毅力科创株式会社 半导体处理用的成膜方法和装置
US20120220139A1 (en) * 2009-10-14 2012-08-30 Asm Japan K.K. Method of depositing dielectric film by modified peald method
US20140256160A1 (en) * 2011-11-21 2014-09-11 Hitachi Kokusai Electric Inc. Apparatus for Manufacturing Semiconductor Device, Method of Manufacturing Semiconductor Device, and Recording Medium

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110891956A (zh) * 2017-05-24 2020-03-17 弗萨姆材料美国有限责任公司 作为用于高生长速率含硅膜的前体的官能化环硅氮烷
CN110891956B (zh) * 2017-05-24 2023-08-25 弗萨姆材料美国有限责任公司 作为用于高生长速率含硅膜的前体的官能化环硅氮烷
CN110776639A (zh) * 2018-07-26 2020-02-11 Asm Ip控股有限公司 用于形成热稳定有机硅聚合物膜的方法
CN110952074A (zh) * 2018-08-10 2020-04-03 弗萨姆材料美国有限责任公司 硅化合物和使用硅化合物沉积膜的方法
CN110952074B (zh) * 2018-08-10 2023-06-13 弗萨姆材料美国有限责任公司 硅化合物和使用硅化合物沉积膜的方法
CN114959653A (zh) * 2018-08-29 2022-08-30 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
CN114174553A (zh) * 2019-06-21 2022-03-11 弗萨姆材料美国有限责任公司 组合物和使用该组合物沉积含硅膜的方法

Also Published As

Publication number Publication date
US20170338109A1 (en) 2017-11-23
KR20170074958A (ko) 2017-06-30
EP3209814A1 (en) 2017-08-30
EP3431629A1 (en) 2019-01-23
EP3209813B1 (en) 2019-03-13
US20170335449A1 (en) 2017-11-23
EP3209813A1 (en) 2017-08-30
JP2022000913A (ja) 2022-01-04
CN113373428B (zh) 2023-07-14
JP2017533589A (ja) 2017-11-09
JP6871161B2 (ja) 2021-05-12
CN113025992A (zh) 2021-06-25
KR20200137054A (ko) 2020-12-08
CN107429391B (zh) 2021-06-25
SG11201703195QA (en) 2017-05-30
JP2020014007A (ja) 2020-01-23
KR102079501B1 (ko) 2020-02-20
KR102332415B1 (ko) 2021-12-01
JP7152576B2 (ja) 2022-10-12
WO2016065219A1 (en) 2016-04-28
JP2017535077A (ja) 2017-11-24
US20190017167A1 (en) 2019-01-17
CN113373428A (zh) 2021-09-10
US10316407B2 (en) 2019-06-11
KR20170075766A (ko) 2017-07-03
SG10202000545RA (en) 2020-03-30
TWI575102B (zh) 2017-03-21
CN113025992B (zh) 2024-02-02
WO2016065221A1 (en) 2016-04-28
KR102188751B1 (ko) 2020-12-08
TW201615880A (zh) 2016-05-01
TWI579399B (zh) 2017-04-21
CN107257867B (zh) 2021-03-16
EP3431629B1 (en) 2021-11-24
SG11201703196WA (en) 2017-05-30
CN107429391A (zh) 2017-12-01
TW201615886A (zh) 2016-05-01
US10106890B2 (en) 2018-10-23
JP6949912B2 (ja) 2021-10-13
TW201720953A (zh) 2017-06-16
US20190271075A1 (en) 2019-09-05
TWI658168B (zh) 2019-05-01
EP3209814B1 (en) 2018-09-05

Similar Documents

Publication Publication Date Title
CN107257867A (zh) 组合物和使用所述组合物沉积含硅膜的方法
US11605535B2 (en) Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US10077364B2 (en) Organoaminodisilane precursors and methods for depositing films comprising same
EP2818474B1 (en) Aza-polysilane precursors and methods for depositing films comprising same
KR102044666B1 (ko) 유기아미노디실란 전구체 및 이를 포함하는 막을 증착시키는 방법
EP2860182B1 (en) Organoaminosilane precursors and methods for depositing films comprising same
US20230183272A1 (en) Compositions and methods using same for silicon containing films

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant