TW201720953A - 用於含矽膜的沉積方法 - Google Patents

用於含矽膜的沉積方法 Download PDF

Info

Publication number
TW201720953A
TW201720953A TW106103536A TW106103536A TW201720953A TW 201720953 A TW201720953 A TW 201720953A TW 106103536 A TW106103536 A TW 106103536A TW 106103536 A TW106103536 A TW 106103536A TW 201720953 A TW201720953 A TW 201720953A
Authority
TW
Taiwan
Prior art keywords
group
plasma
branched
linear
film
Prior art date
Application number
TW106103536A
Other languages
English (en)
Other versions
TWI658168B (zh
Inventor
李建恒
約翰 法蘭西斯 雷曼
新建 雷
雷蒙 尼克勞斯 孟提
羅伯特 戈登 瑞吉
威廉 羅伯特 恩特利
Original Assignee
氣體產品及化學品股份公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 氣體產品及化學品股份公司 filed Critical 氣體產品及化學品股份公司
Publication of TW201720953A publication Critical patent/TW201720953A/zh
Application granted granted Critical
Publication of TWI658168B publication Critical patent/TWI658168B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Silicon Polymers (AREA)

Abstract

本文所述的是用於將含矽膜例如但不限於氧化矽、氮化矽、氧氮化矽、摻碳的氮化矽或摻碳的氧化矽膜形成於具有表面特徵的基材的至少一表面上之組合物及其方法。在一態樣中,該組合物包含至少一化合物,該至少一化合物係選自由矽氧烷、以三矽烷基胺為基礎的化合物、有機胺基二矽烷化合物及環狀三矽氮烷化合物所組成的群組。

Description

用於含矽膜的沉積方法 相關申請案之交互參照
本案請求2014年,10月24日申請的申請案第62/068,248號之權益。在此以引用的方式將該申請案第62/068,248號的揭示內容併入。
本文所述的是用於將含矽膜例如但不限於氧化矽、氮化矽、氧氮化矽、摻碳的氮化矽或摻碳的氧化矽膜形成於具有表面特徵的基材的至少一表面上之組合物及其方法。
本文所述的是一種用於製造電子裝置的製程。更明確地說,本文所述的是用於以沉積製程,例如,但不限於,流動式化學氣相沉積,形成含矽膜的組合物。能使用本文所述的組合物及方法來沉積的示範含矽膜包括,但不限於,氧化矽、氮化矽、氧氮化矽或摻碳的氮化矽或摻碳的氧化矽膜。
流動式氧化物沉積法經常使用烷氧基矽烷化合物當含矽膜的前驅物,該等烷氧基矽烷化合物係藉由控制水解及縮合反應來沉積。這樣的膜能,舉例來說,藉由將水和 烷氧基矽烷的混合物,任意地加上溶劑及/或其他添加物例如表面活性劑和致孔劑,施於基板上沉積於基板上。用於施加這些混合物的典型方法包括,但不限於,旋塗、浸塗、噴塗、網版印刷、共縮合(co-condensation)及噴墨印刷。等到施加於該基板之後而且當施加一或更多能量來源例如,但不限於熱電漿,及/或其他來源時,該混合物內的水能與該烷氧基矽烷反應以將該烷氧基及/或芳氧基水解並且產生矽烷醇物種,其進一步與其他水解分子縮合並且形成寡聚合性或網狀結構。
除了將該前驅物物理沉積或施於該基材以外,例如,美國專利第8,481,403號;第8,580,697號;第8,685,867號;美國公開案第2013/0230987 A1號;第7,498,273號;第7,074,690號;第7,582,555號;第7,888,233號;及第7,915,131號中有描述使用水和含矽蒸氣來源供流動式介電沉積(FCVD)用的氣相沉積製程。典型方法大體上關於藉由將流動式膜形成於縫隙中而達成以固態介電材料填充基材上的縫隙。該流動式膜係藉由使可能有Si-C鍵的介電前驅物與氧化劑反應形成介電材料而形成。在某些具體實施例中,該介電前驅物縮合並且後繼與該氧化劑反應形成介電材料。在某些具體實施例中,氣相反應物反應形成縮合的流動式膜。因為該Si-C鍵對與水的反應較具惰性,所以結果產生的網狀結構可以能賦予結果產生的膜預期的化學和物理性質之有機官能基加以有益地官能化。舉例來說,將碳加於該網狀結構可能使結果產生的膜介電常數降低。
利用流動式化學氣相沉積製程沉積氧化矽膜的 另一種方法係氣相聚合。舉例來說,先前技藝把焦點對準使用化合物例如三矽烷基胺(TSA)來沉積含Si、H、N的寡聚物,該等寡聚物後繼利用臭氧暴露氧化成SiOx膜。這樣的方法的實例包括:美國公開案第2014/073144號;第美國公開案第2013/230987號;美國專利第7,521,378號、第US 7,557,420號及第8,575,040號;及美國專利第7,825,040號。
參考文章“Novel Flowable CVD Process Technology for sub-20nm Interlayer Dielectric”,H.Kim et al.,Interconnect Technology Conference(IITC),2012 IEEE International,San Jose,CA描述一種流動式CVD製程,其於低溫沉積及臭氧處理的期間使用遠距電漿使該膜安定化。該參考資料中也述及一種流動式CVD製程,其不會將矽或電極氧化,導致Si3N4阻擋層當氧化或擴散阻障物的移除。等到流動式CVD應用於20nm DRAM ILD之後,創造者不僅可能將位元線的電容負載降低15%,而且還能增進相當多生產力。透過成功發展次-20nm DRAM ILD縫隙填充製程,成功地證實流動式CVD為用於量產次-20nm下個世代裝置中的ILD之有希望的候選方法。
儘管此技藝最近的活動關係到流動式化學氣相沉積及其他膜沉積製程,但是仍然有問題。這些問題之一係關於膜組合物。舉例來說,以氣相製程由該前驅物三矽烷基胺(TSA)所沉積的流動式氧化物膜帶來具有高密度Si-H鍵的膜,而且其於稀HF中的濕式蝕刻速率比高品質熱氧化物更快2.2至2.5倍。因此,必需提供可供選擇的前驅物化合物來生 產具有較低Si-H鍵密度、較低膜蝕刻速率或其組合的含矽膜。
本文所述的組合物或配方及其使用方法藉由將含矽膜沉積於該基材表面的至少一部分上克服了先前技藝的問題,該含矽膜在利用含氧來源進行後段沉積處理之後提供期望的膜性質。在某些具體實施例中,該基材包含表面特徵。本文所用的措辭“表面特徵”意指該基材包含一或更多下列細孔、溝槽、淺溝槽隔離層(STI)、導孔或凹形特徵等。該等組合物可能是預混合的組合物、預混合物(用於該沉積製程之前混合)或現場混合物(在該沉積製程期間混合)。因此,在此揭露內容中該等措辭"混合物"、"配方"及“組合物”能相互交換。
在一態樣中,該用於將含矽膜沉積於包含表面特徵的基材的至少一表面上之組合物包含:選自由以下化合物所組成的群組中之至少一化合物:(a)選自由以下所組成的群組之矽氧烷化合物:
(b)選自由以下所組成的群組之以三矽烷基胺為基礎的化合物: 其中取代基R係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;(c)具有下列式III的有機胺基二矽烷化合物: 其中取代基R1及R2係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1及R2能任意連在一起形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環中之環;而且n=1或2;(d)具有下列式IV的環矽氮烷化合物: 其中取代基R1、R2及R3係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1、R2及R3中的任一或多者能任意地連在一起形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環中之環。在一特定具體實施例中,該組合物包含該矽氧烷化合物。在另一特定具體實施例中,該組合物包含該以三矽烷基胺為基礎的化合物。在另一具體實施例中,該組合物包含該有機胺基二矽烷。在又另一具體實施例中,該組合物包含環矽氮烷化合物。
在另一態樣中,提供一種用於沉積氧化矽膜之方 法,該方法包含:將包含表面特徵的基材置於反應器中,其中將該基材保持於介於-20℃至約400℃的一或更多溫度而且將該反應器的壓力保持於100托耳或更低;將選自由以下化合物所組成的群組中之至少一化合物及一氮來源引進該反應器:(a)選自由以下所組成的群組之矽氧烷化合物:
(b)選自由以下所組成的群組之以三矽烷基胺為基礎的化合物: 其中取代基R係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;(c)具有下列式III的有機胺基二矽烷化合物: 其中取代基R1及R2係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1及R2能任意連在一起形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環中之環;而且n=1或2;(d)具有下列式IV的環矽氮烷化合物: 其中取代基R1、R2及R3係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1、R2及R3中的任一或多者能任意地連在一起形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環中之環,其中該至少一化合物與該氮來源反應形成該表面特徵至少一部分上的含氮化矽膜;而且於介於約20℃至約1000℃的一或更多溫度下以氧來源處理該基材以將該氧化矽膜形成於該表面特徵至少一部分上。
圖1提供根據利用本文所述的方法及組合物在實施例1所沉積的膜之截面掃描式電子顯微檢查(SEM)影像及其對該基材的某些表面特徵之影響。
圖2提供根據利用本文所述的方法及組合物在實施例2所沉積的碳氮化矽膜之截面掃描式電子顯微檢查(SEM)影像及其對該基材的某些表面特徵之影響。
本文所述的是經由化學氣相沉積(CVD)製程將流動式氧化物膜沉積於該包含一或更多表面特徵的基材的至少一部分上之前驅物及其方法。某些先前技藝製程使用該前驅物三矽烷基胺(TSA),呈氣體形態將其運送至該反應艙中,與氨混合,並且在遠距電漿反應器中活化產生NH2、NH、H及/或N自由基或離子。該TSA與該經電漿活化的氨反應並且開始寡聚合形成較高分子量TSA二聚物及三聚物或其他含有Si、N及H的物種。將該基材置於該反應器中並且於一定艙壓及TSA/經活化的氨混合物下冷卻至介於約0至約50℃的一或更多溫度使該等寡聚物依照使其能“流動”填滿該溝槽表面特徵的方式開始凝結於晶圓表面上。依此方式,將含有Si、N及H的材料沉積於晶圓上並且填滿該溝槽。在某些具體實施例中,進行預退火步驟以使該膜能更類似SiN。吾人希望擁有SiN材料,因為接下來的製程步驟係於介於100至700℃的一或更多溫度下使用臭氧或水來氧化。由於該SiN鍵距離和角度,於是知道當SiN被氧化成SiO2時使單元晶胞體積增大,防止該膜收縮。膜收縮並非所欲,因為其將引起抗張應力,該抗張應力將使該膜於稀HF溶液中的濕式蝕刻速率提高。吾人也希望將Si-H含量減至最少,因為一般難以利用臭氧將緻密的膜完全氧化而且殘餘的Si-H含量也會造成濕式蝕刻速率提高。因此,在此技藝中必須提供將膜收縮減至最低,降低抗張應力,將該Si-H減至最少,及/或不會負面地影響該膜的濕式蝕刻速率的方法及組合物。
本文所述的方法及組合物完成了下列目標中的一或多者。在某些具體實施例中,本文所述的方法及組合物避免使用具有Si-C鍵的前驅物化合物,因為這些鍵難以於形成該氮化矽膜的引入步驟時移除,可能在氧化步驟時引起膜收縮,及/或造成氧化膜中的缺陷。在各個不同具體實施例中,本文所述的方法及組合物藉由提高其他原子對矽比率,藉由引進環結構提高該前驅物中的矽對氫比率,進一步降低該SiH比率。除此之外,本文所述的方法及組合物藉由使用沸點比TSA更高的前驅物化合物(其可能以單體形態凝結於晶圓表面上),並且接著使用舉例來說以氮為基礎的電漿(例如氨NH3)或包含氫和氮的電漿,並且接著以含氧來源例如臭氧、氧或水處理形成氧化物以協助控制該寡聚合製程(例如,該方法形成該氮化矽膜的引入步驟)。在該方法的某些具體實施例中,能使用脈衝製程,藉由輪流進行凝結和電漿聚合而緩慢地長出該氮化矽膜厚度。在這些具體實施例中,該脈衝製程長出較薄的膜(例如,10奈米(nm)或更小),其可於處理步驟時靠著暴露於該氧來源產出較緻密的氧化矽膜。
在某些具體實施例中,本文所述的組合物包含:選自由以下化合物所組成的群組中之至少一化合物:(a)選自由以下所組成的群組之矽氧烷化合物:
(b)選自由以下所組成的群組之以三矽烷基胺為基礎的化合物: 其中取代基R係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳 基;(c)具有下列式III的有機胺基二矽烷化合物: 其中取代基R1及R2係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1及R2能任意連在一起形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環中之環;而且n=1或2;(d)具有下列式IV的環矽氮烷化合物: 其中取代基R1、R2及R3係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1、R2及R3中的任一或多者能任意地連在一起形成選自經取代或未經取代的芳香族環或經取代或未 經取代的脂肪族環中之環。
該前驅物化合物的具體實施例中包含矽氧烷前驅物,矽氧烷前驅物之實例包括,但不限於,二-和三-矽氧烷及其組合例如式IA至ID提供者。其他具體實施例結合矽氧烷特徵與矽氮烷特徵例如,舉例來說,式IE和IF所示者。不受理論所限,咸相信本文所述的矽氧烷前驅物反應形成類似氧氮化物的膜並且依二維增長以供較佳流動性及輕易氧化以移除Si-H鍵。再者,在這些具體實施例中,碳不存在將有助於降低膜固化期間的膜收縮。
在其他具體實施例中,該前驅物化合物包含以三矽烷基胺(TSA)-為基礎的化合物例如式IIA至IID所示的那些化合物。此化合物之一實例包含雙(二矽烷基胺基)矽烷(IIB)。此具體實施例的另一實例係該式IIC化合物叁(乙基矽烷)胺,其中乙烯在流動式化學氣相沉積(FCVD)製程中扮作離去基,該離去基產生其他Si反應性部位同時降低該前驅物中的Si-H含量。儘管不受理論所限,在這些具體實施例中,咸相信透過該引入步驟在氮來源存在的情形下除去甲矽烷所形成TSA的較高級寡聚物(2X至4X)將降低該前驅物及後繼膜中的H對Si比率,接著能降低沉積及固化膜中的Si-H鍵密度。
在可供選擇的具體實施例中,該前驅物化合物包含有機胺基二矽烷化合物例如式III所示的那些化合物。這樣的化合物之實例係二第二丁基胺基二矽烷。儘管不受理論所限,這些前驅物能直接轉化為氧化物,因為氧***該Si-Si鍵會增大該膜的體積以抵消膜固化期間的體積損失。這與使用 TSA不同,該TSA係用以產生不含暴露於氧來源例如臭氧時會膨脹的Si-Si鍵的Si-N-H膜網狀結構。
在又另一具體實施例中,該前驅物化合物包含經取代的環狀三矽氮烷前驅物,如同在這些前驅物的式IV實例中包括具有下列結構中的一者之化合物:1,3,5-三甲基-1,3,5-三氮雜-2,4,6-三矽雜-環己烷。在這些具體實施例中,咸相信該環結構將會使該膜中的SiH比率降低,其能有益地形成較高密度的氧化物膜。
本文所述的矽前驅物化合物可以各種不同方式運送至該反應艙例如CVD或ALD反應器中。在一具體實施例中,可利用液體運送系統。在一可供選擇的具體實施例中,可運用合併液體運送和閃蒸製程單元,例如,舉例來說,明尼蘇達州,肖爾維市的MSP股份有限公司製造的渦輪汽化器(turbo vaporizer),以使低揮發性材料能依體積運送,導致可再現的輸送和沉積而不會使該前驅物熱分解。在液體運送配方中,本文所述的前驅物可以純液體形式運送,或者,可依溶劑配方或其組合物方式運用。因此,在某些具體實施例中,該等前驅物配方可包括可能想要的適合特性和在特定最終用途應用中有優點的溶劑組分以將膜形成於基材上。
該等矽前驅物化合物較佳為實質上不含鹵素離子例如氯離子或金屬離子例如Al。用於本文時,該措辭“實質上不含”當其闗係到鹵素離子(鹵基)時例如,舉例來說,氯基和氟基、溴基、碘基、Al3+離子、Fe2+、Fe3+、Ni2+、Cr3+,意指少於5ppm(以重量計),較佳為少於3ppm,而且更佳地少 於1ppm,而且最佳為0ppm。據悉氯基可作矽前驅物的分解觸媒用。最終產物中有顯著量的氯基會造成該等矽前驅物降解。該等矽前驅物逐漸降解可能直接衝擊到膜沉積製程使半導體製造廠商難以符合膜的規格。除此之外,該儲存壽命或安定性受到該等矽前驅物較高降解速率的負面衝擊,從而使其難以保證1至2年的儲存壽命。再者,據悉矽前驅物在分解之後會形成可燃性及/或自燃性氣體例如氫和甲矽烷。因此,關於這些可燃性及/或自燃性氣態副產物的形成使該等矽前驅物的加速分解出現安全和性能上的問題。
實質上不含鹵基的根據本發明的組合物能藉由以下達成(1)在化學合成的期間還原或消除氯基來源,及/或(2)實施有效的純化製程以從粗製產物移除氯基使最終純化產物實質上不含氯基。氯基來源可能在合成的期間藉由使用不含鹵基的試劑例如氯二矽烷類、溴二矽烷類或碘二矽烷類而被還原,藉以避免含鹵離子的副產物產生。除此之外,前述試劑理應實質上不含氯基雜質以致於結果產生的粗製產物實質上不含氯基雜質。依類似方式,該合成理應沒使用含有無法接受的高濃度鹵基污染物之以鹵基為基礎的溶劑、觸媒或溶劑。該粗製產物也可藉由不同純化方法來處理使最終產物實質上不含鹵基例如氯基。這樣的方法已經在先前技藝中詳加描述而且,可包括,但不限於,純化製程例如蒸餾或吸附。蒸餾常利用沸點之間的差異用以從期望產物分離出雜質。吸附也可用以利用多組分的差異性吸附性質促成分離使最終產物實質上不含鹵基。吸附劑例如,舉例來說,市售可 得的MgO-Al2O3摻混物能用以移除鹵基例如氯基。
關於包含溶劑及本文所述的至少一化合物之組合物的那些具體實施例,所挑選的溶劑或其混合物不會與該矽化合物反應。在該組合物中以重量百分比計的溶劑量介於0.5重量%至99.5重量%或10重量%至75重量%。在各個不同具體實施例中,該溶劑具有類似於式I、II、III及IV的矽前驅物的沸點之沸點(b.p.)或介於該溶劑的沸點與該式II的矽前驅物的沸點之間的差異係40℃或更低,30℃或更低,或20℃或更低,或10℃或更低,或5℃或更低。或者,該等沸點之間的差異介於以下端點中之任一或更多者:0、10、20、30或40℃。沸點差異適合範圍的實例包括,但不限於,0至40℃、20°至30℃或10°至30℃。該等組合物中的適合溶劑的實例包括,但不限於,醚(例如1,4-二噁烷、二丁基醚)、三級胺(例如吡啶、1-甲基六氫吡啶、1-乙基六氫吡啶、N,N'-二甲基六氫吡嗪、N,N,N',N'-四甲基伸乙二胺)、腈化物(例如苯甲腈)、烷基烴(例如辛烷、壬烷、十二烷、乙基環己烷)、芳香族烴(例如甲苯、均三甲苯)、三級胺基醚(例如雙(2-二甲基胺基乙基)醚)或其混合物。一些非限定示範組合物包括,但不限於,包含雙(二矽烷基胺基)矽烷(沸點約135℃)和辛烷(沸點125至126℃)的組合物;包含雙(二矽烷基胺基)矽烷(沸點約135℃)和乙基環己烷(沸點130至132℃)的組合物;包含雙(二矽烷基胺基)矽烷(沸點約135℃)和甲苯(沸點115℃)的組合物;包含雙(二矽烷基胺基)矽烷(沸點約135℃)和環辛烷(沸點149℃)的組合物。
在上式及整個說明書中,該措辭“線性烷基”表示具有1至10、3至10或1至6個碳原子的線性官能基。在上式及整個說明書中,該措辭“分支烷基”表示具有3至10或1至6個碳原子的分支官能基。示範線性烷基包括,但不限於,甲基、乙基、丙基、丁基、戊基及己基。示範分支烷基包括,但不限於,異丙基、異丁基、第二丁基、第三丁基、異戊基、第三戊基、異己基及新己基。在某些具體實施例中,該烷基可能有一或更多接附於彼的官能基例如,但不限於,烷氧基、二烷基胺基或其組合。在其他具體實施例中,該烷基沒有一或更多接附於彼的官能基。該烷基可為飽和或者不飽和性。
在上式及整個說明書中,該措辭“鹵基”表示氯基、溴基、碘基或氟基離子。
在上式及整個說明書中,該措辭“環狀烷基”表示具有3至10或5至10個原子的環狀基團。示範環狀烷基包括,但不限於,環丁基、環戊基、環己基及環辛基。在某些具體實施例中,該環狀烷基可具有一或更多C1至C10線性、分支取代基,或含有氧或氮原子的取代基。在各個不同具體實施例中,該環狀烷基可具有一或更多線性或分支烷基或烷氧基當取代基,例如,舉例來說,甲基環己基或甲氧基環己基。
在上式及整個說明書中,該措辭“芳基”表示具有3至10個碳原子、5至10個碳原子或6至10個碳原子的芳香族環狀官能基。示範芳基包括,但不限於,苯基、苯甲基、氯苯甲基、甲苯基及鄰-二甲苯基。
在上式及整個說明書中,該措辭“烯基”表示具有一或更多碳-碳雙鍵並且具有2至12,2至10或2至6個碳原子的基團。示範烯基包括,但不限於,乙烯基或烯丙基。
該措辭“炔基”表示具有一或更多碳-碳叁鍵並且具有2至12或2至6個碳原子的基團。
在上式及整個說明書中,用於本文時該措辭“不飽和”意指該官能基、取代基、環或橋具有一或更多碳雙或叁鍵。不飽和環的實例可能是,但不限於,芳香族環例如苯基環。該措辭“飽和”意指該官能基、取代基、環或橋沒有一或更多碳雙或叁鍵。
在某些具體實施例中,該式的烷基、烯基、炔基、芳基及/或芳香族基團中的一或更多者可為“經取代”或有一或更多原子或原子團經取代以代替,舉例來說,氫原子。示範取代基包括,但不限於,氧、硫、鹵素原子(例如,F、Cl、I或Br)、氮、烷基及磷。在其他具體實施例中,該式中的烷基、烯基、炔基、芳香族及/或芳基中的一或更多者可能未經取代。
在某些具體實施例中,當上述式中的取代基R1、R2及R3中的任一或更多者不是氫時其便能與上式中的C-C鍵連接形成一環結構。如技巧純熟者瞭解的,該取代基可選自線性或分支C1至C10伸烷基部分;C2至C12伸烯基部分;C2至C12伸炔基部分;C4至C10環狀烷基部分;及C6至C10伸芳基部分。在這些具體實施例中,該環結構可能為不飽和性例如,舉例來說,環狀烷基環,或飽和性,舉例來說,芳基環。再者,在這些具體實施例中,該環結構也可能經取代或 未經取代的。在其他具體實施例中,取代基R1、R2及R3中的任一或更多者沒連接。
用以形成本文所述的膜或塗層的方法係沉積製程。用於本文所揭示的方法之適當沉積製程的實例包括,但不限於,化學氣相沉積(CVD)電漿強化循環式CVD(PECCVD)製程。如本文所用的,該措辭“化學氣相沉積製程”表示使基材暴露於一或更多揮發性前驅物,該等前驅物於該基材表面上反應及/或分解以產生該膜或材料的任何製程。儘管本文所用的前驅物、試劑及來源有時候可能被描述成“氣態”,但是咸了解該等前驅物可能是液態或固態,該等前驅物係經由直接汽化、起泡或昇華利用或沒用惰性氣體輸送至該反應器中的液體或固體。在一些案例中,該等經汽化的前驅物能通過電漿產生器。在一具體實施例中,該等膜係利用以電漿為基礎(例如,遠距產物或現場)CVD製程來沉積。在另一具體實施例中,該等膜係利用CCVD製程來沉積。在另一具體實施例中,該含矽膜係利用熱CVD製程來沉積。本文所用的措辭“反應器”包括,但不限於,反應艙或沉積艙。
在某些具體實施例中,該基材可暴露於一或更多預沉積處理例如,但不限於,電漿處理、熱處理、化學處理、紫外線曝光、電子束曝光及其組合以促成該等膜的一或更多性質。這些預沉積處理可能在選自惰性、氧化性及/或還原性的氣氛之下發生。
把能量施加於該化合物、含氮來源、氧來源、其他前驅物或其組合中的至少一者以引發反應並且將該含矽膜 或塗層形成於該基材上。此能量可藉由,但不限於,熱、電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合,來提供。在某些具體實施例中,二次射頻頻率來源可用以變更該基材表面處的電漿特徵。在該沉積涉及電漿的具體實施例中,該電漿產生的製程可能包含該電漿直接在該反應器中產生的直接電漿產生製程,或者電漿在該反應器外部產生並且供應至該反應器內的遠距電漿產生方法。
如先前提及的,該方法將膜沉積於包含表面特徵的基材表面的至少一部分上。將該基材置於該反應器中而且使該基材保持於介於-20℃至約400℃的一或更多溫度。在一特定具體實施例中,該基材的溫度比該艙壁低。將該基材溫度維持於低於100℃的溫度,較佳為低於25℃的溫度而且最佳為低於10℃而且高於-20℃。
如先前提及的,該基材包含一或更多表面特徵。在一特定具體實施例中,該(等)表面特徵具有100μm或更小的寬度,1μm或更小的寬度或0.5μm的寬度。在各個不同具體實施例中,該等表面特徵的深寬比(深度對寬度比率),若有的話,係為0.1:1或更大,或1:1或更大,或10:1或更大,或20:1或更大,或40:1或更大。該基材可為單晶矽晶圓、碳化矽晶圓、氧化鋁(藍寶石)晶圓、玻璃板、金屬箔層、有機聚合物膜,或可為聚合性、玻璃、矽或金屬性3-維物件。該基材可以包括氧化矽、氮化矽、非晶性碳、氧碳化矽、氧氮化矽、碳化矽、砷化鎵及氮化鎵等膜類在內之此技藝中眾所 周知的種種不同材料來塗佈。這些塗層可完全地塗佈該基材,可能以多重不同材料層塗佈,而且可經部分蝕刻以露出底下的材料層。該表面上面也可能有光阻劑材料,該光阻劑材料藉著一圖案來曝光並且顯影,以部分塗佈該基材。
在某些具體實施例中,該反應器係於低於大氣壓力或750托耳(105帕斯卡(Pa))或更小,或100托耳(13332Pa)或更小的壓力。在其他具體實施例中,將該反應器的壓力保持於約0.1托耳(13Pa)至約10托耳(1333Pa)的範圍。
在一特定具體實施例中,該引入步驟,其中將該至少一化合物及氮引進該反應器,係於介於0至1000℃,或約400℃至約1000℃,或約400℃至約600℃,450℃至約600℃,或約450℃至約550℃的一或更多溫度下進行。在各個不同具體實施例中,該基材包括含表面特徵的半導體基材。該含氮來源可選自由氨、肼、單烷基肼、二烷基肼、氮、氮電漿、氮/氫電漿、氮/氦電漿、氮/氬電漿、氨電漿、氨/氦電漿、氨/俹電漿、氨/氮電漿、NF3、NF3電漿、有機胺電漿及其混合物所組成的群組。該至少一化合物及氮來源反應並且形成氮化矽膜(其係非化學計量性)於該表面特徵至少一部分及基材上。
等到該氮化矽膜沉積之後,該基材係任意地以含氧來源在足以使該氮化矽膜形成氧化矽或氧氮化矽膜的一定製程條件之下來處理。該含氧來源可選自由,舉例來說,水(H2O)、氧(O2)、氧電漿、臭氧(O3)、NO、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)、二氧化碳(CO2)、N2O電漿、一氧化 碳(CO)電漿、二氧化碳(CO2)電漿及其組合所組成的群組。
在一特定具體實施例中,以流動式化學氣相沉積製程沉積氧化矽或摻碳的氧化矽膜之方法包含:將包含表面特徵的基材置於反應器中,其中將該基材保持於介於-20℃至約400℃的溫度下;引進選自由以下化合物所組成的群組中之至少一化合物及一氮來源:(a)選自由以下所組成的群組之矽氧烷化合物:
(b)選自由以下所組成的群組之以三矽烷基胺為基礎的化合物: 其中取代基R係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;(c)具有下列式III的有機胺基二矽烷化合物: 其中取代基R1及R2係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1及R2能任意連在一起形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環中之環;而且n=1或2;(d)具有下列式IV的環矽氮烷化合物: 其中取代基R1、R2及R3係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1、R2及R3中的任一或多者能任意地連在一起形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環中之環,其中該至少一化合物與該氮來源反應以將含氮化物膜形成於該表面特徵至少一部分上;而且於介於約100℃至約1000℃的一或更多溫度下以氧來源處理該基材,將該氧化矽膜形成於該表面特徵至少一部分上以提供該氧化矽膜。或者,該膜可暴露於氧來源,同時於介於約100℃至約1000℃的溫度下暴露於UV照射。該等製程步驟可重複進行到該等表面特徵被高品質氧化矽膜填滿為止。
在本文所述方法的另一具體實施例中,該膜係利用流動式CVD製程來沉積。在此具體實施例中,該方法包含:將包含表面特徵的一或更多基材置於反應器中,該反應器係加熱至介於-20℃至約400℃的溫度而且保持於100托耳或更低的壓力;引進選自由以下化合物所組成的群組中之至少一化合物: (a)選自由以下所組成的群組之矽氧烷化合物:
(b)選自由以下所組成的群組之以三矽烷基胺為基礎的化合物: 其中取代基R係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;(c)具有下列式III的有機胺基二矽烷化合物: 其中取代基R1及R2係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1及R2能任意連在一起形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環中之環;而且n=1或2;(d)具有下列式IV的環矽氮烷化合物: 其中取代基R1、R2及R3係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至 C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1、R2及R3中的任一或多者能任意地連在一起形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環中之環;將氧來源供入該反應器以與該至少一化合物反應形成一膜並且覆蓋該表面特徵至少一部分;使該膜於介於約100℃至約1000℃,較佳為100℃至400℃的一或更多溫度下退火以使該等含矽膜能塗佈該表面特徵至少一部分。此具體實施例的氧來源係選自由水蒸氣、水電漿、臭氧、氧、氧電漿、氧/氦電漿、氧/氬電漿、氮氧化物電漿、二氧化碳電漿、過氧化氫、有機過氧化物及其混合物所組成的群組。該製程可重複進行到該等表面特徵被含矽膜填滿為止。當此具體實施例中使用水蒸氣當氧來源時,該等基材溫度較佳為介於-20與40℃之間,最佳為介於-10與25℃之間。
在本文所述方法的又另一具體實施例中,使用流動式電漿強化CVD製程來沉積選自由氮化矽、摻碳的氮化矽、氧氮化矽及摻碳的氧氮化矽膜所組成的群組之含矽膜。在此具體實施例中,該方法包含:將包含表面特徵的一或更多基材置於反應器中,該反應器係加熱至介於-20℃至約400℃的溫度而且保持於100托耳或更低的壓力;引進選自由以下化合物所組成的群組中之至少一化合物:(a)選自由以下所組成的群組之矽氧烷化合物:
(b)選自由以下所組成的群組之以三矽烷基胺為基礎的化合物: 其中取代基R係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;(c)具有下列式III的有機胺基二矽烷化合物: 其中取代基R1及R2係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1及R2能任意連在一起形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環中之環;而且n=1或2;(d)具有下列式IV的環矽氮烷化合物: 其中取代基R1、R2及R3係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至 C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1、R2及R3中的任一或多者能任意地連在一起形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環中之環, 將電漿來源供入該反應器以與該化合物反應將塗層形成於該等表面特徵至少一部分上;使該塗層於介於約100℃至約1000℃,或約100℃至400℃的一或更多溫度下退火以將含矽膜形成於該等表面特徵至少一部分上。此具體實施例的電漿係選自由氮電漿、氮/氦電漿、氦/氬電漿、氨電漿、氨/氦電漿、氨/氬電漿、氦電漿、氬電漿、氫電漿、氫/氦電漿、氮/氫電漿、氫/氬電漿、有機胺電漿及其混合物所組成的群組。關於流動式電漿強化CVD,該製程可重複進行數次直到該等導孔或溝槽被密化膜(densified film)填滿為止。
以上的步驟定義本文所述方法的一個周期;而且該周期可重複進行直到獲得預期的含矽膜厚度為止。在各個不同具體實施例中,咸了解本文所述方法的步驟可以多變的順序進行,可依序地或同時地(例如,於另一步驟的至少一部分期間)及其任何組合進行。供應該等化合物和其他藥劑的個別步驟可藉由變化供應彼等的時期來進行以改變所產生的含矽膜的化學計量組成。
在某些具體實施例中,所產生的含矽膜或塗層能暴露於後段沉積處理例如,但不限於,電漿處理、化學處理、紫外線曝光、紅外線曝光、電子束曝光及/或其他處理以引發 該膜的一或更多性質。
在整個說明書中,用於本本時該措辭“有機胺”描述具有至少一氮原子的有機化合物。有機胺的實例包括,但不限於,甲基胺、乙基胺、丙基胺、異丙基胺、第三丁基胺、第二丁基胺、第三戊基胺、伸乙二基胺、二甲基胺、三甲基胺、二乙基胺、吡咯、2,6-二甲基六氫吡啶、二正丙基胺、二異丙基胺、乙基甲基胺、N-甲基苯胺、吡定及三乙基胺。
在整個說明書中,用於本本時該措辭“氮化矽”表示包含矽和氮的膜,該膜係選自由化學計量或非化學計量的氮化矽、碳氮化矽、碳氧氮化矽、氮化矽鋁及其混合物所組成的群組。
在整個說明書中,用於本本時該措辭“氧化矽”表示包含矽和氧的膜,該膜係選自由化學計量或非化學計量的氧化矽、摻碳的氧化矽、碳氧氮化矽及其混合物所組成的群組。
下列實例舉例說明用於沉積本文所述的含矽膜之組合物及方法,而且不欲依任何方式限制彼。
工作實施例
通用沉積條件
該等流動式化學氣相沉積(CVD)的膜係沉積於中等電阻率(8至12Ωcm)單晶矽晶圓基材及Al圖案晶圓上。
沉積皆於200mm DXZ艙中,靠Applied Materials Precision 5000系統,利用矽烷或TEOS製程套組進行。該電 漿強化化學氣相沉積(PECVD)艙具備直接液體注射(DLI)運送能力。該等前驅物依據該等前驅物的沸點藉著運送溫度而為液體。為了沉積初始流動式氮化物膜,典型液體前驅物流速介於約100至約5000mg/min,現場電漿功率密度介於約0.25至約3.5W/cm2,而且壓力介於約0.75至12托耳。為了將初始沉積的流動式氮化物膜轉化成氧化物膜,使該等膜暴露於包含溫度介於約25℃至約300℃的臭氧的氧來源。沉積膜係藉由UV處理及於800℃下在N2周遭氣氛(O2<10ppm)中熱退火而緻密化。為了將該等初始流動式氮化物膜轉化成高品質氮化物膜,該等膜係藉由NH3或N2電漿從室溫至400℃及UV固化來處理。藉由反射計或橢圓儀來測量厚度及於632nm的折射率(RI)。該典型膜厚度介於約10至約2000nm。該等以矽為基礎的膜的鍵結氫含量(Si-H、C-H及N-H)皆藉由Nicolet透射式傅利葉轉換紅外線光譜(FTIR)設備來測量並且分析。所有密度測量皆利用X-射線反射率(XRR)完成。進行X-射線光電子能譜(XPS)及二次離子質譜(SIMS)分析以測定該等膜的元素組成。濕式蝕刻速率(WER)係於100:1稀釋的HF溶液中測量。用水銀探針來進行包括介電常數、洩漏電流及擊穿電場在內的電氣性質測量。在Al圖案化晶圓上的流動性和縫隙填充效果係藉由截面掃描式電子顯微術(SEM)使用Hitachi S-4700系統於2.0nm的解析度下來觀察。
流動式CVD沉積係利用實驗設計(DOE)法進行。該實驗設計包括:介於約100至約5000mg/min或約1000至約2000mg/min的前驅物流量;約100sccm至約1000 sccm,較佳為約100 to 300 about sccm的NH3流量;介於0.75至12托耳,較佳為約8至12托耳的壓力;介於約100至1000W,或約50至約200W的射頻功率(大約13.56MHz);介於約0至100W的低頻(LF)功率;及介於約150至約550℃,或約0至100℃的沉積溫度。該等DOE實驗係用以測定能製造具有良好流動性的最佳膜的製程參數。
實施例1:使用式IIB的雙(二矽烷基胺基)矽烷(或二矽烷基三矽氮烷)來沉積氧化矽膜
使用雙(二矽烷基胺基)矽烷當前驅物將一些氧化矽膜沉積於8吋矽基材及圖案化基材(例如具有表面特徵)上以比較該等膜的相對流動性、膜密度及濕式蝕刻速率。
在雙(二矽烷基胺基)矽烷所沉積的初始流動式氮化物膜當中,用以提供最有利膜性質的製程條件如下:雙(二矽烷基胺基)矽烷流量(800至2000mg/min)、NH3流量(200至500sccm)、He(100至300sccm)、壓力(8至10托耳)、RF(80至120W)及溫度(40至50℃)。表1顯示使用雙(二矽烷基胺基)矽烷進行選定流動式膜沉積的沉積條件:
將沉積的氮化矽膜暴露於該氧來源臭氧O3以便氧化。將圖案晶圓上的流動性及縫隙填充效果顯示於圖1。在較大表面特徵上的截面SEM影像目視概觀顯示在溝槽中的良好流動性,其意指該等特徵無空隙、無接縫、由下而上填滿;在較小表面特徵上的截面SEM影像指出該流動式雙(二矽烷基胺基)矽烷膜的良好縫隙填充效果。該等沉積膜係藉由紫外線(UV)固化經過10至15分鐘來處理,並且藉由於介於約600至約800℃的一或更多溫度下熱退火經過1至2小時而緻密化。1.45的折射率及FT-IR光譜指示該等氧化物膜係高品質氧化物膜。該等經後段退火的膜的濕式蝕刻速率(WER)係藉由將該等膜浸於100:1的稀HF中做測試並且與熱氧化物膜比較。該氧化矽膜的WER係4.2至4.7nm/min。相較之下,該熱氧化物膜的WER係為大約2.2nm/min。使用雙(二矽烷基胺基)矽烷沉積的氧化矽的WER係熱氧化物膜的WER之大約1.9至2.1倍,證實使用雙(二矽烷基胺基)矽烷能達成高品質氧化矽。相較之下,由三矽烷基胺(TSA)沉積的氧化矽的WER係該熱氧化物的約2.2至2.5倍。
實施例2:使用式IV的1,1,3,3,5,5-六甲基環三矽氮烷來沉積碳氮化矽膜
使用1,1,3,3,5,5-六甲基環三矽氮烷當前驅物將一些碳氮化矽膜沉積於8吋矽基材及圖案化基材上以比較流動性。
在1,1,3,3,5,5-六甲基環三矽氮烷所沉積的流動 式碳氮化矽膜當中,用以提供最有利膜性質的製程條件如下:1,1,3,3,5,5-六甲基環三矽氮烷流量(介於800至1500mg/min);He(介於100至300sccm);壓力(介於4至10托耳);RF(介於100至500W);及溫度(介於30至40℃)。表2顯示使用1,1,3,3,5,5-六甲基環三矽氮烷進行選定流動式膜沉積的沉積條件:
於250至400℃下熱處理所沉積的碳氮化矽膜。將圖案晶圓上的流動性及縫隙填充效果顯示於圖2。在較大表面特徵上的截面SEM影像目視概觀顯示在溝槽中的良好流動性,其意指該等特徵無空隙、無接縫、由下而上填滿;在較小表面特徵上的截面SEM影像指出該流動式1,1,3,3,5,5-六甲基環三矽氮烷膜由下而上的縫隙填充效果。

Claims (9)

  1. 一種利用流動式化學氣相沉積製程沉積氧化矽膜之方法,該方法包含:將包含表面特徵的基材置於反應器中,其中將該基材保持於介於-20℃至約400℃的一或更多溫度而且將該反應器的壓力保持於100托耳或更低;引進選自由以下化合物所組成的群組中之至少一化合物:(a)選自由以下所組成的群組之矽氧烷化合物: (b)具有下列式III的有機胺基二矽烷化合物: 其中取代基R1及R2係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1及R2能任意連在一起形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環中之環;而且n=1或2;(c)具有下列式IV的環矽氮烷化合物: 其中取代基R1、R2及R3係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1、R2及R3中的任一或多者能任意地連在一起形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環中之環,而且其中該至少一化合物形成覆蓋於該表面特徵至少一部分上的寡聚合性聚矽氮烷物種;而且於介於約100℃至約1000℃的一或更多溫度下以氧來源處理該寡聚合性聚矽氮烷物種以將該膜形成於該表面特徵至少一部分上。
  2. 如申請專利範圍第1項之方法,其中該氧來源係選自由水(H2O)、氧(O2)、氧電漿、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)、N2O電漿、一氧化碳(CO)電漿、二氧化碳(CO2)電漿及其組合所組成的群組。
  3. 一種以沉積製程沉積氧化矽膜之方法,該方法包含:將具有表面特徵的基材置於反應器中,其中該反應器係保 持於介於-20℃至約400℃的一或更多溫度;將選自由以下化合物所組成的群組中之至少一化合物及一氮來源引進該反應器:(a)選自由以下所組成的群組之矽氧烷化合物: (b)具有下列式III的有機胺基二矽烷化合物: 其中取代基R1及R2係各自獨立地選自氫原子;鹵素原子;線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1及R2能任意連在一起形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環中之環;而且n=1或2;(c)具有下列式IV的環矽氮烷化合物: 其中取代基R1、R2及R3係各自獨立地選自氫原子;鹵素原子; 線性C1至C10烷基;分支C3至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環烷基;及C6至C10芳基;其中R1、R2及R3中的任一或多者能任意地連在一起形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環中之環,其中該至少一化合物與該氮來源反應以將含氮化物膜形成於該表面特徵至少一部分上;而且於介於約100℃至約1000℃的一或更多溫度下以氧來源處理該基材,將該氧化矽膜形成於該表面特徵至少一部分上以提供該氧化矽膜。
  4. 如申請專利範圍第3項之方法,其中該氮來源係選自由氨、肼、單烷基肼、二烷基肼、氮、氮電漿、氮/氫電漿、氮/氦電漿、氮/氬電漿、氨電漿、氨/氦電漿、氨/氬電漿、氨/氮電漿、NF3、NF3電漿、有機胺電漿及其混合物所組成的群組。
  5. 如申請專利範圍第3項之方法,其中該沉積製程係電漿強化化學氣相沉積而且該電漿係在現場產生。
  6. 如申請專利範圍第3項之方法,其中該沉積製程係電漿強化化學氣相沉積而且該電漿係以遠距產生。
  7. 如申請專利範圍第3項之方法,其中該氧來源係選自由水(H2O)、氧(O2)、氧電漿、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)、N2O電漿、一氧化碳(CO)電漿、二氧化碳(CO2) 電漿及其組合所組成的群組。
  8. 如申請專利範圍第3項之方法,其中該氧化矽膜具有一濕式蝕刻速率而且該濕式蝕刻速率小於熱氧化物膜於稀HF中的濕式蝕刻速率的2.2倍。
  9. 如申請專利範圍第3項之方法,其以選自電漿、紫外線、紅外線或其組合中的至少一者處理該氧化矽膜。
TW106103536A 2014-10-24 2015-10-26 用於含矽膜的沉積方法 TWI658168B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462068248P 2014-10-24 2014-10-24
US62/068,248 2014-10-24
PCT/US2015/057040 WO2016065219A1 (en) 2014-10-24 2015-10-23 Compositions and methods using same for deposition of silicon-containing film
??PCT/US15/57040 2015-10-23

Publications (2)

Publication Number Publication Date
TW201720953A true TW201720953A (zh) 2017-06-16
TWI658168B TWI658168B (zh) 2019-05-01

Family

ID=54477308

Family Applications (3)

Application Number Title Priority Date Filing Date
TW106103536A TWI658168B (zh) 2014-10-24 2015-10-26 用於含矽膜的沉積方法
TW104135153A TWI579399B (zh) 2014-10-24 2015-10-26 用於含矽膜的沉積的組合物及其方法
TW104135152A TWI575102B (zh) 2014-10-24 2015-10-26 用於含矽膜的沉積的組合物及其方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW104135153A TWI579399B (zh) 2014-10-24 2015-10-26 用於含矽膜的沉積的組合物及其方法
TW104135152A TWI575102B (zh) 2014-10-24 2015-10-26 用於含矽膜的沉積的組合物及其方法

Country Status (8)

Country Link
US (3) US10316407B2 (zh)
EP (3) EP3209814B1 (zh)
JP (4) JP6871161B2 (zh)
KR (3) KR102188751B1 (zh)
CN (4) CN107429391B (zh)
SG (3) SG10202000545RA (zh)
TW (3) TWI658168B (zh)
WO (2) WO2016065221A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI710847B (zh) * 2018-02-22 2020-11-21 美商應用材料股份有限公司 用於處理遮罩基板以致使較佳膜品質之方法
TWI812757B (zh) * 2018-07-26 2023-08-21 荷蘭商Asm 智慧財產控股公司 形成熱穩定有機矽聚合物膜之方法
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9920077B2 (en) 2013-09-27 2018-03-20 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
CN107429391B (zh) * 2014-10-24 2021-06-25 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
TWI716333B (zh) * 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
EP3307744B1 (en) * 2015-06-12 2020-09-02 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition processes for forming silicon- and oxygen-containing thin films
EP3307745B1 (en) * 2015-06-12 2020-04-01 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition processes for forming silicon- and nitrogen-containing thin films
CN108140555B (zh) * 2015-10-22 2024-03-15 应用材料公司 沉积包含SiO及SiN的可流动薄膜的方法
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US20170372919A1 (en) * 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable Amorphous Silicon Films For Gapfill Applications
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10811251B2 (en) * 2016-09-30 2020-10-20 Intel Corporation Dielectric gap-fill material deposition
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10647578B2 (en) * 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US11591692B2 (en) * 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10822458B2 (en) * 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR102271768B1 (ko) 2017-04-07 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 반응성 어닐링을 사용하는 갭충전
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11177127B2 (en) * 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
TWI633201B (zh) * 2017-10-24 2018-08-21 國立交通大學 非晶碳薄膜、其製造方法與包含其之光學系統
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送***
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
SG11202007789UA (en) 2018-02-21 2020-09-29 Air Liquide Perhydropolysilazane compositions and methods for forming oxide films using same
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
JP7113670B2 (ja) * 2018-06-08 2022-08-05 東京エレクトロン株式会社 Ald成膜方法およびald成膜装置
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
US20210140040A1 (en) * 2018-06-15 2021-05-13 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US20190382886A1 (en) * 2018-06-15 2019-12-19 Versum Materials Us, Llc Siloxane Compositions and Methods for Using the Compositions to Deposit Silicon Containing Films
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
JP6909762B2 (ja) 2018-07-23 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
EP3872223A3 (en) * 2018-08-10 2021-11-17 Versum Materials US, LLC Silicon compounds and methods for depositing films using same
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
SG11202103763QA (en) 2018-11-16 2021-05-28 Applied Materials Inc Film deposition using enhanced diffusion process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN113169070A (zh) * 2018-12-21 2021-07-23 乔治洛德方法研究和开发液化空气有限公司 在550℃或更高的温度下使用ALD沉积含Si膜的前体和工艺
JP2022519295A (ja) * 2019-02-05 2022-03-22 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープされた酸化ケイ素の堆積
JP2022537057A (ja) * 2019-06-21 2022-08-23 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜堆積のための組成物およびそれを用いた方法
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11674222B2 (en) * 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
CN115565861A (zh) * 2021-07-02 2023-01-03 长鑫存储技术有限公司 一种薄膜沉积方法及半导体器件
CN118284718A (zh) * 2021-11-24 2024-07-02 恩特格里斯公司 有机锡前驱化合物

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) * 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US5008422A (en) 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
EP0218117A3 (en) 1985-10-11 1989-11-23 Allied Corporation Cyclosilazane polymers as dielectric films in integrated circuit fabrication technology
JPH0662775B2 (ja) 1987-06-12 1994-08-17 チッソ株式会社 新規ポリシラザン及びその製造方法
JP3060185B2 (ja) * 1991-05-01 2000-07-10 株式会社高純度化学研究所 半導体装置のシリコン酸化膜の製造方法
JPH06310493A (ja) * 1993-04-23 1994-11-04 Kawasaki Steel Corp 半導体装置の製造装置
JP3401322B2 (ja) * 1993-08-26 2003-04-28 富士通株式会社 絶縁膜を有する半導体装置の製造方法
US5567661A (en) 1993-08-26 1996-10-22 Fujitsu Limited Formation of planarized insulating film by plasma-enhanced CVD of organic silicon compound
JP3396791B2 (ja) * 1994-08-30 2003-04-14 富士通株式会社 絶縁膜の形成方法
FR2759362B1 (fr) * 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US5968611A (en) * 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP4048112B2 (ja) 2000-08-18 2008-02-13 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置
JP2003203800A (ja) * 2001-09-14 2003-07-18 Sekisui Chem Co Ltd 常圧プラズマ処理方法および装置
JP4217870B2 (ja) 2002-07-15 2009-02-04 日本電気株式会社 有機シロキサン共重合体膜、その製造方法、成長装置、ならびに該共重合体膜を用いた半導体装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP3666751B2 (ja) 2003-11-28 2005-06-29 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜形成システム
DE102004001288A1 (de) * 2004-01-07 2005-08-11 Clariant International Limited Hydrophile Beschichtung auf Polysilazanbasis
US7470450B2 (en) * 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
JP4924039B2 (ja) * 2004-10-19 2012-04-25 東レ株式会社 フィルムの製造方法、および、フィルム
JP3788624B1 (ja) * 2005-01-18 2006-06-21 旭電化工業株式会社 シロキサン化合物及びフェノール化合物を含有してなる組成物
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
EP1907599A2 (en) * 2005-07-08 2008-04-09 Aviza Technology, Inc. Method for depositing silicon-containing films
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7547796B2 (en) * 2005-09-29 2009-06-16 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2011511881A (ja) * 2007-06-28 2011-04-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 二酸化ケイ素ギャップ充填材のための前駆体
JP2009032962A (ja) 2007-07-27 2009-02-12 Panasonic Corp 半導体装置及びその製造方法
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
JP5559988B2 (ja) * 2009-06-03 2014-07-23 東京エレクトロン株式会社 シリコン酸化膜用成膜原料およびそれを用いたシリコン酸化膜の成膜方法
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
KR101752901B1 (ko) * 2009-08-25 2017-06-30 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기판의 재생 방법, 재생 반도체 기판의 제작 방법, 및 soi 기판의 제작 방법
US8415259B2 (en) * 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
US8461367B2 (en) * 2010-01-15 2013-06-11 Shin-Etsu Chemical Co., Ltd. Preparation process of trisilylamine
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US8530983B2 (en) * 2011-04-08 2013-09-10 Georgia Tech Research Corporation Piezo-phototronic effect devices
DE102011075974A1 (de) * 2011-05-17 2012-11-22 Evonik Degussa Gmbh Verfahren zur Herstellung von Trisilylamin in der Gasphase
WO2013077321A1 (ja) * 2011-11-21 2013-05-30 株式会社日立国際電気 半導体装置の製造装置、半導体装置の製造方法及び記録媒体
US20130209343A1 (en) * 2012-02-10 2013-08-15 American Air Liquide, Inc. Liquid phase synthesis of trisilylamine
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
KR102140719B1 (ko) 2012-03-09 2020-08-03 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 디스플레이 디바이스를 위한 배리어 물질
US20130260575A1 (en) * 2012-03-28 2013-10-03 Air Products And Chemicals, Inc. Silicon precursors and compositions comprising same for depositing low dielectric constant films
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
DE102012214290A1 (de) * 2012-08-10 2014-02-13 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Polysilazanen und Trisilylamin
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9878234B2 (en) * 2012-12-10 2018-01-30 Arlen Moller Incorporating objective assessments of fantasy-team-owners' physical activity into fantasy sport platforms
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
KR101599952B1 (ko) 2012-12-31 2016-03-04 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
KR101583232B1 (ko) 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
DE102013209802A1 (de) * 2013-05-27 2014-11-27 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Trisilylamin und Polysilazanen mit einer Molmasse bis 500 g/mol
KR101600337B1 (ko) 2013-06-07 2016-03-08 (주)디엔에프 신규한 아미노실릴아민 화합물, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
US9920077B2 (en) * 2013-09-27 2018-03-20 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
US9905415B2 (en) 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
CN107429391B (zh) 2014-10-24 2021-06-25 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US11124876B2 (en) * 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
KR20170019668A (ko) 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
CN108140555B (zh) * 2015-10-22 2024-03-15 应用材料公司 沉积包含SiO及SiN的可流动薄膜的方法
CN108603287B (zh) * 2015-12-21 2021-11-02 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物及使用其的方法
SG11201807211XA (en) 2016-02-26 2018-09-27 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10384944B2 (en) * 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US11017998B2 (en) * 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10647578B2 (en) * 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
EP3682041B1 (en) * 2017-09-14 2022-04-20 Versum Materials US, LLC Methods for depositing silicon-containing films
US11078569B2 (en) * 2017-09-19 2021-08-03 Versum Materials Us, Llc Trisilylamine derivatives as precursors for high growth rate silicon-containing films
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US20190318925A1 (en) * 2018-04-11 2019-10-17 Versum Materials Us, Llc Monoorganoaminodisilane Precursors and Methods for Depositing Films Comprising Same
US20210140040A1 (en) * 2018-06-15 2021-05-13 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
CN114174555A (zh) * 2019-07-25 2022-03-11 弗萨姆材料美国有限责任公司 用于沉积含硅膜的包含硅杂环烷烃的组合物及其使用方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI710847B (zh) * 2018-02-22 2020-11-21 美商應用材料股份有限公司 用於處理遮罩基板以致使較佳膜品質之方法
TWI812757B (zh) * 2018-07-26 2023-08-21 荷蘭商Asm 智慧財產控股公司 形成熱穩定有機矽聚合物膜之方法
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充

Also Published As

Publication number Publication date
US20170338109A1 (en) 2017-11-23
KR20170074958A (ko) 2017-06-30
EP3209814A1 (en) 2017-08-30
EP3431629A1 (en) 2019-01-23
EP3209813B1 (en) 2019-03-13
US20170335449A1 (en) 2017-11-23
EP3209813A1 (en) 2017-08-30
JP2022000913A (ja) 2022-01-04
CN113373428B (zh) 2023-07-14
JP2017533589A (ja) 2017-11-09
JP6871161B2 (ja) 2021-05-12
CN113025992A (zh) 2021-06-25
KR20200137054A (ko) 2020-12-08
CN107429391B (zh) 2021-06-25
SG11201703195QA (en) 2017-05-30
JP2020014007A (ja) 2020-01-23
KR102079501B1 (ko) 2020-02-20
KR102332415B1 (ko) 2021-12-01
JP7152576B2 (ja) 2022-10-12
WO2016065219A1 (en) 2016-04-28
JP2017535077A (ja) 2017-11-24
US20190017167A1 (en) 2019-01-17
CN107257867A (zh) 2017-10-17
CN113373428A (zh) 2021-09-10
US10316407B2 (en) 2019-06-11
KR20170075766A (ko) 2017-07-03
SG10202000545RA (en) 2020-03-30
TWI575102B (zh) 2017-03-21
CN113025992B (zh) 2024-02-02
WO2016065221A1 (en) 2016-04-28
KR102188751B1 (ko) 2020-12-08
TW201615880A (zh) 2016-05-01
TWI579399B (zh) 2017-04-21
CN107257867B (zh) 2021-03-16
EP3431629B1 (en) 2021-11-24
SG11201703196WA (en) 2017-05-30
CN107429391A (zh) 2017-12-01
TW201615886A (zh) 2016-05-01
US10106890B2 (en) 2018-10-23
JP6949912B2 (ja) 2021-10-13
US20190271075A1 (en) 2019-09-05
TWI658168B (zh) 2019-05-01
EP3209814B1 (en) 2018-09-05

Similar Documents

Publication Publication Date Title
TWI575102B (zh) 用於含矽膜的沉積的組合物及其方法
US20220157601A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
JP7139475B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを用いた方法
TW201742947A (zh) 用於沉積含矽膜的組合物及其方法
US20210043446A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
US20210140040A1 (en) Compositions and methods using same for deposition of silicon-containing film
US12049695B2 (en) Compositions and methods using same for deposition of silicon-containing film