KR101615584B1 - 반도체 장치의 제조 장치, 반도체 장치의 제조 방법 및 기록 매체 - Google Patents

반도체 장치의 제조 장치, 반도체 장치의 제조 방법 및 기록 매체 Download PDF

Info

Publication number
KR101615584B1
KR101615584B1 KR1020147013250A KR20147013250A KR101615584B1 KR 101615584 B1 KR101615584 B1 KR 101615584B1 KR 1020147013250 A KR1020147013250 A KR 1020147013250A KR 20147013250 A KR20147013250 A KR 20147013250A KR 101615584 B1 KR101615584 B1 KR 101615584B1
Authority
KR
South Korea
Prior art keywords
substrate
processing
gas
liquid
treatment liquid
Prior art date
Application number
KR1020147013250A
Other languages
English (en)
Other versions
KR20140085516A (ko
Inventor
유이치 와다
하루노부 사쿠마
히로시 아시하라
히데토 타테노
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20140085516A publication Critical patent/KR20140085516A/ko
Application granted granted Critical
Publication of KR101615584B1 publication Critical patent/KR101615584B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 기판을 수용하는 처리실; 상기 처리실 내에 처리액을 공급하는 처리액 공급 노즐; 상기 처리실 내에 설치되어 상기 기판을 지지하는 기판 지지부; 상기 기판 지지부의 상단에 설치되고, 상기 처리액 공급 노즐로부터 공급된 상기 처리액을 상기 기판 지지부와 동일 공간 내에서 기화시키는 기화부; 및 상기 기화부를 가열하는 가열부를 구비한다.

Description

반도체 장치의 제조 장치, 반도체 장치의 제조 방법 및 기록 매체{APPARATUS FOR MANUFACTURING SEMICONDUCTOR DEVICE, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND RECORDING MEDIUM}
본 발명은 반도체 장치의 제조 장치, 반도체 장치의 제조 방법 및 기록 매체에 관한 것이다.
예컨대 대규모 집적 회로(Large Scale Integrated Circuit: 이하, LSI라고 부른다) 등의 반도체 장치의 미세화에 따라 트랜지스터 소자(素子) 사이의 누설 전류 간섭을 제어하는 가공 기술은 갈수록 기술적인 곤란이 커지고 있다. 일반적으로 LSI의 소자 사이 분리는 예컨대 실리콘(Si)으로 이루어지는 실리콘 기판 등의 기판의 분리하고자 하는 소자 사이에 홈[溝] 또는 공(孔) 등의 공극(空隙)을 형성하고, 그 공극에 절연물을 퇴적하는 방법에 의해 수행된다. 이 절연물로서 산화막이 이용되는 경우가 많다. 산화막으로서는 예컨대 실리콘 산화막(SiO2)을 이용할 수 있다. 이 실리콘 산화막은 실리콘(Si) 기판 자체의 자연 산화나, 화학 기상(氣相) 성장법(Chemical Vapor Deposition, 이하 CVD법이라고도 부른다) 등에 의해 형성된다. 예컨대 특허문헌 1에는 CVD법에 의한 절연막의 형성 방법의 일 예가 개시(開示)된다.
최근의 반도체 장치의 미세화에 의해 공극은 종(縱)방향으로 깊거나 또는 횡(橫)방향으로 좁은 미세한 구조로 기판 상에 형성되고 있다. 이와 같은 미세한 구조를 가지는 공극에 대해서는 CVD법을 이용한 매립에 의해 기판 상에 산화막을 형성하고 있었다. 하지만 CVD법을 이용하여 미세한 구조인 공극 내에 성막하는 것은 기술 한계에 다다르고 있다.
그래서 유동성을 가지는 산화물을 이용한 매립 방법, 즉 절연물 도포법 (Spin On Dielectric, 이하 SOD법이라고도 부른다)이 주목받고 있다. SOD법에서는 SOG(Spin on glass)라고 불리는 무기 또는 유기 성분을 포함하는 도포 절연 재료가 이용된다. 이 도포 절연 재료를 이용한 매립 방법은 전술한 CVD법을 이용하여 기판 상에 산화막을 형성하는 기술이 등장하기 이전부터 LSI 등의 제조 공정에 채택되고 있었다.
1. 일본 특개 2010-87475호 공보
전술한 SOD법에서는 가공 치수가 0.35μm 내지 1.0μm 정도다. 이에 대하여 최근의 LSI, DRAM(Dynamic Random Access Memory)이나 플래시 메모리(Flash Memory)에 대표되는 반도체 장치는 최소 가공 치수가 50nm보다 작다. 이 때문에 SOD법으로는 절연막으로서의 품질을 유지한 상태에서 미세한 구조를 가지는 기판에 산화막을 형성하는 것이 어려운 경우가 있었다.
본 발명은 미세 구조를 가지는 기판에 고품질의 치밀한 막을 형성하는 반도체 장치의 제조 장치, 반도체 장치의 제조 방법 및 기록 매체를 제공하는 것을 목적으로 한다.
일 형태에 의하면,
기판을 수용하는 처리실;
상기 처리실 내에 처리액을 공급하는 처리액 공급 노즐;
상기 처리실 내에 설치되어 상기 기판을 지지하는 기판 지지부;
상기 기판 지지부의 상단에 설치되고, 상기 처리액 공급 노즐로부터 공급된 상기 처리액을 상기 기판 지지부와 동일 공간 내에서 기화시키는 기화부; 및
상기 기화부를 가열하는 가열부;
를 구비하는 반도체 장치의 제조 장치가 제공된다.
다른 형태에 의하면,
처리실 내에 설치된 기판 지지부에 기판을 재치하는 기판 재치 공정;
상기 기판 지지부의 상단에 설치된 기화부에 처리액을 공급하는 처리액 공급 공정; 및
가열된 상기 기화부에 의해, 상기 기판 지지부에 재치된 상기 기판과 동일 공간 내에서 상기 처리액을 기화시켜 상기 처리액의 기화 가스를 생성하는 처리액 기화 공정;
을 포함하는 반도체 장치의 제조 방법이 제공된다.
또 다른 형태에 의하면,
처리실 내에 설치된 기판 지지부에 기판을 재치하는 기판 재치 순서;
상기 기판 지지부의 상단에 설치된 기화부에 처리액을 공급하는 처리액 공급 순서; 및
상기 기화부를 가열하는 가열부를 제어하고, 가열된 상기 기화부에 의해 상기 기판 지지부에 재치된 상기 기판과 동일 공간 내에서 상기 처리액을 기화시켜, 상기 처리액의 기화 가스를 생성하는 처리액 기화 순서;
를 컴퓨터에 실행시키는 프로그램이 기록된 기록 매체가 제공된다.
본 발명에 따른 반도체 장치의 제조 장치, 반도체 장치의 제조 방법 및 기록 매체에 의하면, 미세 구조를 가지는 기판에 고품질의 치밀한 막을 형성할 수 있다.
도 1은 본 발명의 일 실시 형태에 따른 기판 처리 장치의 개략 구성도.
도 2는 본 발명의 일 실시 형태에 따른 기판 처리 장치가 구비하는 처리실의 종단면(縱斷面) 개략도.
도 3은 본 발명의 일 실시 형태에 따른 기판 처리 장치가 구비하는 기판 지지부의 종단면 부분 확대도.
도 4는 본 발명의 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 컨트롤러의 개략 구성도.
도 5는 본 발명의 일 실시 형태에 따른 기판 처리 공정을 도시하는 플로우 차트.
도 6은 본 발명의 다른 실시 형태에 따른 기판 처리 장치의 개략 구성도.
도 7은 본 발명의 다른 실시 형태에 따른 기판 처리 장치가 구비하는 과수증기 발생 장치의 개략 구성도.
도 8은 본 발명의 다른 실시 형태에 따른 기판 처리 장치가 구비하는 처리실의 종단면 개략도.
도 9는 석영, 탄화실리콘, 산화알루미늄의 물성값을 도시하는 표.
<본 발명의 일 실시 형태>
이하, 본 발명의 일 실시 형태에 대하여 도면을 참조하여 설명한다.
(1) 기판 처리 장치의 구성
우선 본 실시 형태에 따른 기판 처리 장치의 구성에 대하여 주로 도 1 내지 도 3을 이용하여 설명한다. 도 1은 본 실시 형태에 따른 기판 처리 장치(10)의 개략 구성도이며, 처리실(30) 부분을 종단면으로 도시한다. 도 2는 본 실시 형태에 따른 기판 처리 장치(10)가 구비하는 처리실(30)의 종단면 개략도다. 도 3은 본 실시 형태에 따른 기판 처리 장치(10)가 구비하는 기판 지지부의 종단면 부분 확대도다.
도 1 및 도 2에 도시하는 바와 같이 처리실(30)은 처리관(360)(처리 용기)을 구비한다. 처리관(360)은 예컨대 광(光)을 투과시키는 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료나, SiO2 및 SiC를 조합한 내열 재료로 이루어지고, 하단이 개구(開口)된 원통 형상으로 형성된다. 처리관(360)의 통중공부(筒中空部)에는 처리실(30)이 형성되고, 기판으로서의 웨이퍼(12)를 후술하는 보트(14)에 의해 수평 자세로 수직 방향에 다단으로 정렬한 상태에서 수용 가능하도록 구성된다. 또한 웨이퍼(12)로서 미세 구조인 요철(凹凸) 구조(공극)를 가지는 기판이 이용되면 좋다. 미세 구조를 가지는 기판이란 예컨대 실리콘 기판에 대하여 수직 방향으로 깊은 홈[요부(凹部)], 또는 예컨대 10nm 내지 50nm 정도의 폭의 횡방향으로 좁은 홈(요부) 등의 애스펙트비가 높은 구조를 가지는 기판을 말한다.
처리관(360)의 하부에는 처리관(360)의 하단 개구[노구(爐口)]를 기밀(氣密)하게 봉지(폐색)가능한 노구 덮개로서의 씰 캡(344)이 O링을 개재하여 설치된다. 씰 캡(344)은 처리관(360)의 하단에 수직 방향 하측으로부터 당접(當接)되도록 구성된다. 씰 캡(344)은 원판 형상으로 형성된다. 웨이퍼(12)의 처리 공간이 되는 처리실(30)은 처리관(360)과 씰 캡(344)으로 구성된다.
(제1 가열부)
처리관(360)의 외측에는 처리관(360)의 측벽면을 둘러싸는 중공의 동심원 형상으로 처리관(360) 내의 웨이퍼(12)를 가열하는 제1 가열부(320)가 설치된다. 제1 가열부(320)는 히터 베이스에 의해 지지되어 설치된다. 도 2에 도시하는 바와 같이 제1 가열부(320)는 제1 내지 제4 히터 유닛(320a 내지 320d)을 구비한다. 제1 내지 제4 히터 유닛(320a 내지 320d)은 각각 처리관(360) 내에서의 웨이퍼(12)의 적층 방향을 따라 설치된다. 제1 내지 제4 히터 유닛(320a 내지 320d) 각각은 예컨대 처리관(360)의 주위로부터 광을 방사하고, 처리관(360)을 투과한 광이 웨이퍼(12)에 흡수되는 것에 의해 웨이퍼(12)를 승온시킬(가열할) 수 있도록 구성된다.
처리관(360) 외에는 제1 내지 제4 히터 유닛(320a 내지 320d)마다 제1 내지 제4 히터 유닛(320a 내지 320d)의 온도를 검출하는 온도 검출기로서 예컨대 열전대 등의 제1 내지 제4 외부 온도 센서(322a 내지 322d)가 설치된다.
처리관(360) 내에는 제1 내지 제4 히터 유닛(320a 내지 320d)마다 웨이퍼(12) 또는 주변 온도를 검출하는 온도 검출기로서 예컨대 열전대 등의 제1 내지 제4 내부 온도 센서(324a 내지 324d)가 설치된다. 제1 내지 제4 내부 온도 센서(324a 내지 324d)는 각각 처리관(360)과 보트(14) 사이에 설치된다. 또한 제1 내지 제4 내부 온도 센서(324a 내지 324d)는 각각 제1 내지 제4 히터 유닛(320a 내지 320d)에 의해 각각 가열되는 복수 매의 웨이퍼(12) 중 그 중앙에 위치하는 웨이퍼(12)의 온도를 검출하도록 설치되어도 좋다.
제1 가열부(320)[제1 내지 제4 히터 유닛(320a 내지 320d)], 제1 내지 제4 외부 온도 센서(322a 내지 322d), 제1 내지 제4 내부 온도 센서(324a 내지 324d)에는 각각 후술하는 컨트롤러(500)가 전기적으로 접속된다. 컨트롤러(500)는 처리관(360) 내의 웨이퍼(12)의 온도가 소정의 온도가 되도록 제1 내지 제4 내부 온도 센서(324a 내지 324d)에 의해 각각 검출된 온도 정보에 기초하여 제1 내지 제4 히터 유닛(320a 내지 320d)으로의 공급 전력을 소정의 타이밍에 각각 제어하고, 제1 내지 제4 히터 유닛(320a 내지 320d)마다 개별로 온도 설정이나 온도 조정을 수행하도록 구성된다. 또한 컨트롤러(500)는 제1 내지 제4 외부 온도 센서(322a 내지 322d)에 의해 각각 검출된 온도 정보에 기초하여 제1 내지 제4 히터 유닛(320a 내지 320d)이 각각 소정의 온도로 가열되는지에 대한 여부를 확인한다.
(기판 지지부)
기판 지지부로서의 보트(14)는 복수 매의 웨이퍼(12)를 다단으로 지지할 수 있도록 구성된다. 도 3에 도시하는 바와 같이 보트(14)는 복수 매의 웨이퍼(12)를 지지하는 복수 개(예컨대 3개)의 지주(14a)를 구비한다. 지주(14a)에는 복수 매의 웨이퍼(12)를 재치 가능하도록 복수의 기판 재치부(14b)가 설치된다. 기판 재치부(14b)는 보트(14)의 중력 방향으로 복수 설치되고, 각 기판 재치부(14b)가 각각 웨이퍼(12)를 지지하도록 구성된다. 또한 기판 재치부(14b)는 웨이퍼(12)를 수평하게 지지하기 위해서 지주(14a)와 수직으로 설치된다.
복수 개의 지주(14a)는 각각 저판(14c)(底板)과 후술의 기화부(342) 사이에 가설(架設)된다. 복수 매의 웨이퍼(12)가 지주(14a)를 수평 자세로 또는 서로 중심을 맞춘 상태로 정렬되어 관축(管軸) 방향으로 다단으로 보지(保持)된다.
지주(14a), 기판 재치부(14b), 저판(14c)의 구성 재료로서 후술하는 처리액과 반응성이 낮은 재료가 이용되면 좋다. 예컨대 테프론(등록 상표), 석영, 산화알루미늄(Al2O3), 질화알루미늄(AlN), 탄화실리콘(SiC) 등의 세라믹스 등 중 적어도 어느 하나를 함유하는 재료로 구성되면 좋다. 이에 의해 지주(14a), 기판 재치부(14b), 저판(14c)이 후술하는 처리액에 의해 부식되는 것을 억제할 수 있다. 또한 지주(14a), 기판 재치부(14b), 저판(14c)의 구성 재료로서 질화 실리콘(SiN), 산화지르코늄(ZrO) 등이 함유되어도 좋다.
지주(14a), 기판 재치부(14b), 저판(14c)의 구성 재료로서 예컨대 탄화실리콘(SiC) 등의 열전도성이 좋은(열전도율이 높은) 비금속 재료가 이용되면 더 좋다. 특히 열전도율이 10W/mK 이상인 비금속 재료가 이용되면 좋다. 이에 의해 지주(14a), 기판 재치부(14b), 저판(14c)이 후술하는 처리액이 갖는 증발 잠열(潛熱) 등에 의해 냉각되는 것을 억제할 수 있다. 즉 후술하는 바와 같이 처리액을 처리관(360) 내에서 증발, 기화시켜서 기화 가스를 생성하는 경우, 처리액이 가지는 증발 잠열 등에 의해 지주(14a), 기판 재치부(14b), 저판(14c)이 냉각되는 경우가 있었다. 이에 의해 처리액의 기화 가스가 처리관(360) 내에서 처리액의 기화점보다 낮은 온도로 냉각되어 재액화하는 경우가 있었다. 이와 같은 처리액의 재액화는 처리관(360) 내에 있어서 제1 가열부(320)로부터 발생하는 열이 전달되기 어려운 개소(箇所)에서 발생하는 경우가 많다. 구체적으로는 예컨대 기판 재치부(14b)와 웨이퍼(12)의 접촉 개소나, 보트(14)의 하부에서 처리액의 기화 가스의 재액화가 발생하는 경우가 많다. 처리액의 기화 가스가 재액화하면, 예컨대 기판 재치부(14b) 상에 처리액이 잔류하는 경우가 있다. 그 결과, 기판 재치부(14b)와 웨이퍼(12)의 접촉 개소, 즉 웨이퍼(12)의 이면(裏面) 단부(端部)에 소위 워터마크가 형성되는 경우가 있다. 워터마크가 형성된 웨이퍼(12)는 예컨대 LSI의 수율 저하를 일으킬 가능성이 있어 가공 불량품이 되는 경우가 있다.
또한 지주(14a), 기판 재치부(14b), 저판(14c)은 열전도율이 문제가 되지 않으면, 석영(SiO) 등으로 형성해도 좋고, 또한 금속에 의한 웨이퍼(12)로의 오염이 문제가 되지 않으면, 지주(14a) 등은 스텐레스(SUS) 등의 금속 재료로 형성해도 좋다. 지주(14a)의 구성 재료로서 금속이 이용되는 경우, 금속에 세라믹이나 테프론(등록 상표) 등의 피막이 형성되면 더 좋다.
도 1 및 도 2에 도시하는 바와 같이 보트(14)의 하부에는 예컨대 석영이나 탄화실리콘 등의 내열 재료로 이루어지는 단열체(140)가 설치되고, 후술하는 제1 가열부(320)로부터의 열이 씰 캡(344)측에 전달되기 어렵도록 구성된다. 단열체(140)는 단열 부재로서 기능하는 것과 함께 보트(14)를 보지하는 보지체로서도 기능한다. 또한 단열체(140)는 도시하는 바와 같이 원판 형상으로 형성된 단열판이 수평 자세로 다단으로 복수 매 설치된 것에 한정되지 않고, 예컨대 원통 형상으로 형성된 석영 캡 등이어도 좋다. 또한 단열체(140)는 보트(14)의 구성 부재 중 하나로서 생각해도 좋다.
처리관(360)의 하방(下方)에는 보트(14)를 승강시켜서 처리관(360)의 내외로 반송하는 승강부로서의 보트 엘리베이터가 설치된다. 보트 엘리베이터에는 보트 엘리베이터에 의해 보트(14)가 상승되었을 때에 노구를 봉지하는 씰 캡(344)이 설치된다.
씰 캡(344)의 처리실(30)과 반대측에는 보트(14)를 회전시키는 보트 회전 기구(비등점이 다른 2개)가 설치된다. 보트 회전 기구(349)의 회전축(348)은 씰 캡(344)을 관통하여 보트(14)에 접속되고, 보트(14)를 회전시키는 것에 의해 웨이퍼(12)를 회전시키도록 구성된다.
(공급부)
[처리액 공급부]
처리관(360)과 제1 가열부(320) 사이에는 처리액이 통과하는 처리액 공급 노즐(339)이 설치된다. 처리액 공급 노즐(339)은 예컨대 열전도율이 낮은 석영 등에 의해 형성된다. 처리액 공급 노즐(339)은 이중 관 구조를 가져도 좋다. 처리액 공급 노즐(339)은 처리관(360)의 외벽의 측부를 따라 배설(配設)된다. 처리액 공급 노즐(339)의 상단(하류단)은 처리관(360)의 정부(頂部)(상단 개구)에 기밀하게 설치된다. 처리관(360)의 상단 개구에 위치하는 처리액 공급 노즐(339)에는 공급공(341)이 상류측으로부터 하류측에 걸쳐 복수 설치된다(도 2 참조). 공급공(341)은 처리관(360) 내에 공급된 처리액을 후술하는 기화부(342)를 향하여 분사시키도록 형성된다.
처리액 공급 노즐(339)의 상류단에는 처리액을 공급하는 처리액 공급관(340a)의 하류단이 접속된다. 처리액 공급관(340a)에는 상류 방향부터 순서대로 액체 원료 공급 탱크로서의 저장 탱크(201), 액체 유량 제어기(액체 유량 제어부)인 액체용 매스 플로우 컨트롤러(203)(LMFC), 개폐 밸브인 밸브(204), 세퍼레이터(205) 및 개폐 밸브인 밸브(208)가 설치된다. 또한 처리액 공급관(340a)의 적어도 밸브(208)보다 하류측에는 예컨대 인렛 튜브 히터 등의 서브 히터(210a)가 설치되어도 좋다. 서브 히터(210a)는 처리액 공급관(340a)의 내부를 흐르는 처리액을 예비 가열할 수 있도록 처리액 공급관(340a)을 소정의 온도(예컨대 50℃ 내지 300℃)로 가열하도록 구성된다.
처리액 공급관(340a)의 처리액과 접촉하는 개소, 즉 처리액 공급관(340a)의 내면은 처리액과 반응성이 낮은 재료로 구성되면 좋다. 처리액 공급관(340a)의 내면은 예컨대 테프론(등록 상표), 석영, 산화알루미늄(Al2O3), 질화알루미늄(AlN), 탄화실리콘(SiC) 등의 세라믹스 등 중 적어도 어느 하나를 함유하는 재료로 구성되면 좋다. 또한 처리액 공급관(340a)의 내면에는 예컨대 테프론(등록 상표) 등의 피막이 형성되어도 좋다. 이에 의해 처리액 공급관(340a)의 부식을 억제할 수 있다.
처리액 공급관(340a)으로부터는 처리액이 액체용 매스 플로우 컨트롤러(203), 밸브(204), 세퍼레이터(205), 밸브(208) 및 처리액 공급 노즐(339)을 개재하여 처리실(30) 내에 공급된다. 처리액으로서는 예컨대 비등점이 50℃ 내지 200℃인 기화 원료를 이용하면 좋다. 즉 처리액으로서는 예컨대 과산화수소수이나, 물(H2O) 등의 산화제 용액을 이용하면 좋다.
과산화수소수는 예컨대 상온으로 고체 또는 액체인 과산화수소(H2O2)를 이용하고, 용매로서 물(H2O)을 이용하고, 과산화수소를 물에 용해시켜서 생성된다. 과산화수소수 중의 과산화수소의 농도는 1% 내지 40%가 바람직하다. 본 실시 형태에서는 예컨대 과산화수소의 농도가 15%나 30%의 과산화수소수가 바람직하게 이용된다. 또한 과산화수소를 용해하는 용매로서는 물에 한정되지 않는다.
과산화수소(H2O2)수는 산소 분자에 수소가 결합한 단순 구조이기 때문에 저밀도 매체에 대하여 침투하기 쉽다는 특징이 있다. 또한 과산화수소수는 분해하면 히드록시 래디컬(OH*)을 발생시킨다. 이 히드록시 래디컬은 활성 산소의 일종이며, 산소와 수소가 결합한 중성 래디컬이다. 따라서 본 실시 형태의 경우, 처리실(30) 내에 공급한 과산화수소수가 분해하여 발생한 히드록시 래디컬에 의해 웨이퍼(12)에 산화 처리가 수행된다.
발명자의 연구에 의해 예컨대 미세 구조인 요철(홈)이 형성된 웨이퍼(12)를 이용하고, 홈 내에 형성된 막을 산화시키는 경우, 처리액으로서 과산화수소수가 이용되면, 처리액으로서 물이 이용된 경우에 비해 홈 내의 보다 깊은 장소(홈 내의 저부)에 형성된 막을 산화할 수 있다는 것이 확인되었다. 즉 기체 상태의 과산화수소수는 기체 상태의 물보다 산화력이 높다는 것이 확인되었다. 이는 기체 상태의 과산화수소수의 에너지가 기체 상태의 물의 에너지보다 높고, 또한 과산화수소가 물보다 산소량이 많은 것이 이유로 생각된다. 따라서 처리액으로서 과산화수소수가 이용되면, 웨이퍼(12)의 홈 내의 저부에 형성된 막을 한층 더 산화시켜 산화막을 형성할 수 있다. 또한 웨이퍼(12)의 홈 내에 형성된 산화막은 표면과 안쪽(저부) 사이에서 산소의 양을 균일하게 할 수 있고, 그 결과 유전율을 균일하게 할 수 있다.
또한 과산화수소수는 상온보다 높은 예컨대 40℃ 이상 100℃ 이하의 저온의 사용 환경에서 보다 활성하게 작용한다. 이에 의해 웨이퍼(12)의 홈의 깊은 장소에 형성된 실리콘 함유막에 보다 많은 과산화수소수를 공급할 수 있다. 또한 이 온도대에서는 과산화수소의 산화력을 충분히 발휘시킬 수 있다. 따라서 산화 처리를 저온 또한 단시간에 수행할 수 있다.
저장 탱크(201)의 상부(上部)에는 압송(壓送) 가스를 공급하는 압송 가스 공급관(340b)의 하류단이 접속된다. 압송 가스 공급관(340b)에는 상류 방향부터 순서대로 압송 가스 공급원(211b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(211b)(MFC) 및 개폐 밸브인 밸브(213b)가 설치된다.
압송 가스 공급관(340b)으로부터는 압송 가스가 매스 플로우 컨트롤러(212b), 밸브(213b)를 개재하여 저장 탱크(201) 내에 공급된다. 압송 가스로서는 예컨대 질소(N2) 가스나, He가스, Ne가스, Ar가스 등의 희가스를 이용할 수 있다.
주로 처리액 공급관(340a), 액체용 매스 플로우 컨트롤러(203), 밸브(204), 세퍼레이터(205), 밸브(208) 및 처리액 공급 노즐(339)에 의해 처리액 공급부가 구성된다. 또한 저장 탱크(201)나, 압송 가스 공급관(340b), 압송 가스 공급원(211b), 매스 플로우 컨트롤러(212b), 밸브(213b)를 처리액 공급부에 포함시켜서 생각해도 좋다.
[불활성 가스 공급부]
처리액 공급관(340a)의 밸브(204)와 세퍼레이터(205) 사이에는 불활성 가스 공급관(340c)의 하류단이 접속된다. 불활성 가스 공급관(340c)에는 상류 방향부터 순서대로 불활성 가스 공급원(211c), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(212c)(MFC) 및 개폐 밸브인 밸브(213c)가 설치된다.
불활성 가스 공급관(340c)으로부터는 불활성 가스가 매스 플로우 컨트롤러(212c), 밸브(213c), 세퍼레이터(205), 밸브(208), 처리액 공급관(340a) 및 처리액 공급 노즐(339)을 개재하여 처리실(30) 내에 공급된다. 불활성 가스로서는 예컨대 질소(N2) 가스나, He가스, Ne가스, Ar가스 등의 희가스를 이용할 수 있다.
주로 불활성 가스 공급관(340c), 매스 플로우 컨트롤러(212c) 및 밸브(213c)에 의해 불활성 가스 공급부가 구성된다. 또한 불활성 가스 공급원(211c)이나, 처리액 공급관(340a), 세퍼레이터(205), 밸브(208), 처리액 공급 노즐(339)을 불활성 가스 공급부에 포함시켜서 생각해도 좋다.
[수증기용 가스 공급부]
처리액 공급관(340a)의 밸브(208)보다 하류측에는 제1 가스 공급관(340d)의 하류단이 접속된다. 제1 가스 공급관(340d)에는 상류 방향부터 순서대로 원료 가스 공급원(211d), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(212d)(MFC) 및 개폐 밸브인 밸브(213d)가 설치된다. 제1 가스 공급관(340d)의 적어도 밸브(213d)보다 하류측에는 예컨대 인렛 튜브 히터 등의 서브 히터(210d)가 설치되어도 좋다. 서브 히터(210d)는 제1 가스 공급관(340d)의 내부를 흐르는 유체를 예비 가열할 수 있도록 제1 가스 공급관(340d)을 소정의 온도(예컨대 50℃ 내지 300℃)로 가열하도록 구성된다.
제1 가스 공급관(340d)으로부터는 제1 처리 가스가 매스 플로우 컨트롤러(212d)나, 밸브(213d), 처리액 공급 노즐(339)을 개재하여 처리실(30) 내에 공급된다. 제1 처리 가스로서는 예컨대 수소(H2) 가스를 이용할 수 있다.
제1 가스 공급관(340d)의 밸브(213d)보다 하류측에는 제2 가스 공급관(340e)의 하류단이 접속된다. 제2 가스 공급관(340e)에는 상류 방향부터 순서대로 원료 가스 공급원(211e), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(212e)(MFC) 및 개폐 밸브인 밸브(213e)가 설치된다. 제2 가스 공급관(340e)의 적어도 밸브(213e)보다 하류측에는 예컨대 인렛 튜브 히터 등의 서브 히터(210e)가 설치되어도 좋다. 서브 히터(210e)는 제2 가스 공급관(340e)의 내부를 흐르는 유체를 예비 가열할 수 있도록 제2 가스 공급관(340e)을 소정의 온도(예컨대 50℃ 내지 300℃)로 가열하도록 구성된다.
제2 가스 공급관(340e)으로부터는 제2 처리 가스가 매스 플로우 컨트롤러(212a)나 밸브(213e), 처리액 공급 노즐(339)을 개재하여 처리실(30) 내에 공급된다. 제2 처리 가스로서는 예컨대 산소(O2) 가스를 이용할 수 있다.
주로 제1 가스 공급관(340d), 매스 플로우 컨트롤러(212d) 및 밸브(213d)에 의해 제1 처리 가스 공급부가 구성된다. 또한 원료 가스 공급원(211d)이나 처리액 공급관(340a), 처리액 공급 노즐(339)을 제1 처리 가스 공급부에 포함시켜서 생각해도 좋다. 또한 주로 제2 가스 공급관(340e), 매스 플로우 컨트롤러(212e) 및 밸브(213e)에 의해 제2 처리 가스 공급부가 구성된다. 또한 원료 가스 공급원(211e)이나 처리액 공급관(340a), 제1 가스 공급관(340d), 처리액 공급 노즐(339)을 제2 처리 가스 공급부에 포함시켜서 생각해도 좋다. 제1 처리 가스 공급부 및 제2 처리 가스 공급부에 의해 수증기용 가스 공급부가 구성된다.
처리액 공급부와 불활성 가스 공급부와 수증기용 가스 공급부에 의해 공급부가 구성된다.
(기화부)
처리관(360) 내에는 처리액 공급부로부터 처리관(360) 내에 공급된 처리액을 증발시키는 기화부(342)가 설치된다. 즉 기화부(342)는 후술하는 제2 가열부(345)에 의해 가열되고, 공급공(341)으로부터 공급된 예컨대 과산화수소수 등의 처리액을 가열하여 증발시키고 기화시켜 처리액의 기화 가스를 생성하도록 구성된다. 기화부(342)는 지주(14a)에 의해 지지되도록 설치된다. 기화부(342)의 지름은 보트(14)로 지지되는 웨이퍼(12)의 최대 외경보다 크게 되도록 구성된다. 즉 공급공(341)측에서 기화부(342)를 보았을 때, 웨이퍼(12)가 기화부(342)에 의해 가려지도록 기화부(342)가 구성된다. 또한 기화부(342)는 보트(14)의 천판(天板)으로서도 기능한다.
기화부(342)는 처리액에 대한 반응성이 낮은 재료로 구성되면 좋다. 기화부(342)는 예컨대 테프론(등록 상표), 석영, 산화알루미늄(Al2O3), 질화알루미늄(AlN), 탄화실리콘(SiC) 등의 세라믹스 등 중 적어도 어느 하나를 함유하는 재료로 구성되면 좋다. 이에 의해 기화부(342)가 처리액에 의해 부식되는 것을 억제할 수 있다. 또한 기화부(342)의 구성 재료로서 질화 실리콘(SiN), 산화지르코늄(ZrO) 등이 함유되어도 좋다. 또한 기화부(342)의 구성 재료로서는 예컨대 탄화실리콘(SiC) 등의 열전도성이 좋은(열전도율이 높은) 비금속 재료를 이용하는 것이 특히 바람직하다.
(제2 가열부)
처리관(360)의 외측 상부에는 제2 가열부(345)가 설치된다. 즉 기화부(342)의 공급공(341)을 개재한 상부에는 제2 가열부(345)가 배설된다. 제2 가열부(345)는 보트(14)의 지주(14a)에 지지되도록 설치되는 기화부(342)를 가열하도록 구성된다. 제2 가열부(345)는 기화부(342)를 예컨대 200℃ 정도까지 가열하도록 구성된다. 또한 제2 가열부(345)는 공급공(341) 및 그 주변을 가열하도록 구성된다. 이에 의해 과산화수소수 등의 처리액이 공급공(341)에서 고착화하는 것을 방지할 수 있다. 제2 가열부(345)로서는 예컨대 카본 램프 등의 램프 히터 유닛이나 저항 가열 히터 등을 이용할 수 있다. 이 때 제2 가열부(345)로부터는 처리액 공급 노즐(339)을 가열하기 쉬운 파장의 광이 조사(照射)되는 것이 바람직하다. 예컨대 기화부(342)가 탄화실리콘으로 구성되는 경우, 기화부(342)의 복사율은 1에 근사한다. 따라서 기화부(342)는 원적외선을 효율적으로 흡수하는 것과 함께, 예컨대 석영 등으로 구성된 공급공(341)이나 그 주위의 구성 부재의 흡수 파장대에서 높은 조도(照度)를 가진다. 이로 인해 석영 등으로 구성된 공급공(341)이나 그 주위의 구성 부재를 효율적으로 가열할 수 있다.
제2 가열부(345)에는 후술하는 컨트롤러(500)가 전기적으로 접속된다. 컨트롤러(500)는 기화부(342)가 소정의 온도가 되도록 제2 가열부(345)로의 공급 전력을 소정의 타이밍에 제어하도록 구성된다.
여기서 처리실(30)[처리관(360)] 내에서 처리액을 기화시켜서 기화 가스(처리 가스)를 생성하는 동작에 대하여 설명한다. 우선 압송 가스 공급관(340b)으로부터 매스 플로우 컨트롤러(212b), 밸브(213b)를 개재하여 압송 가스가 저장 탱크(201) 내에 공급된다. 이에 의해 저장 탱크(201) 내에 저장되는 처리액이 처리액 공급관(340a) 내에 보내진다. 저장 탱크(201)로부터 처리액 공급관(340a) 내에 공급된 처리액은 액체용 매스 컨트롤러(203), 밸브(204), 세퍼레이터(205), 밸브(208) 및 처리액 공급 노즐(339)을 개재하여 처리관(360) 내에 공급된다. 그리고 처리관(360) 내에 공급된 처리액이 제2 가열부(345)에 의해 가열된 기화부(342)에 접촉하는 것에 의해 기화되어, 처리액의 기화 가스(처리 가스)가 생성된다. 이 처리 가스가 처리관(360) 내의 웨이퍼(12)에 공급되어 웨이퍼(12) 상에 소정의 기판 처리가 수행된다.
또한 처리액의 기화를 촉진시키기 위해서 서브 히터(210a)에 의해 처리액 공급관(340a) 내를 흐르는 처리액을 예비 가열해도 좋다. 이에 의해 처리액을 보다 기화시키기 쉬운 상태에서 처리관(360) 내에 공급할 수 있다. 또한 제1 가열부(320)[제1 내지 제4 히터 유닛(320a 내지 320d)]에 의해 처리액 공급 노즐(339) 내를 흐르는 처리액을 예비 가열해도 좋다.
(제3 가열부)
처리관(360)의 하부이며, 씰 캡(344)의 상부에는 처리관(360)의 측벽면을 둘러싸도록 제3 가열부로서의 액화 방지 히터(280)가 설치된다. 액화 방지 히터(280)는 예컨대 저항 가열 히터나 램프 히터 등으로 구성된다. 이에 의해 처리관(360) 내의 하부 영역[즉 처리관(360) 내의 단열판(140)이 수용된 영역]에서 처리액의 기화 가스가 재액화하는 것을 억제할 수 있다.
또한 처리관(360) 내에서의 처리액의 기화 가스의 재액화를 억제하는 것에 의해 씰 캡(344) 등의 처리실(30) 내의 구성 부재가 손상되는 것을 억제할 수 있다. 즉 액화 방지 히터(280)로 처리관(360)의 하부를 가열하는 것에 의해 처리관(360) 내로 처리액의 기화 가스가 재액화되어 생성된 액체(이하에서는 단순히 「액체」라고도 부른다)가 처리관(360) 내의 저부[씰 캡(344)의 상면]에 잔류되는 것을 억제할 수 있다.
또한 처리액으로서 과산화수소수가 이용된 경우, 처리관(360) 내에서 처리액의 기화 가스가 재액화하면서 발생한 액체는 처리관(360) 내에 공급될 때의 과산화수소수에 비해 과산화수소의 농도가 높아지는 경우가 있다. 과산화수소수가 처리관(360) 내에서 액화와 증발(기화)을 반복하면, 처리관(360) 내에서 과산화수소 농도가 높은 과산화수소수가 생성되는 것으로 생각된다. 과산화수소 농도가 높은 과산화수소수는 높은 산화성을 가진다. 높은 산화성을 가지는 과산화수소가 생성되면, 처리관(360) 내의 상부(상류측)와 하부(하류측) 사이에 과산화수소 농도의 차이가 발생하여, 처리관(360) 내에서의 웨이퍼(12)의 처리가 불균일해진다. 따라서 처리관(360) 내에서의 처리액의 기화 가스 재액화를 억제하는 것에 의해 웨이퍼(12)에 균일한 처리를 수행할 수 있다.
(배기부)
처리관(360)의 하방에는 처리실(30) 내의 가스를 배기하는 제1 배기관(346)의 상류단이 접속된다. 제1 배기관(346)은 예컨대 테프론(등록 상표) 등의 처리액과 반응성이 낮은 재료로 구성되면 좋다. 또한 제1 배기관(346)을 금속으로 구성한 경우, 제1 배기관(346)의 적어도 내면에는 예컨대 테프론(등록 상표) 등의 피막이 형성되어도 좋다. 이에 의해 처리액에 의한 제1 배기관(346)의 부식을 억제할 수 있다.
제1 배기관(346)에는 상류 방향부터 순서대로 처리관(360) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(404), 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller)밸브(403), 진공 배기 장치로서의 진공 펌프(405)가 설치된다. 처리실(30) 내는 진공 펌프(405)에서 발생하는 부압에 의해 배기되도록 구성된다. 즉 제1 배기관(346)은 진공 펌프(405)에 의해 처리관(360) 내의 압력이 소정의 압력(진공도)이 되도록 처리관(360) 내를 진공 배기할 수 있도록 구성된다. 또한 APC밸브(403)는 밸브의 개폐에 의해 처리실(30) 내의 배기 및 배기 정지를 수행할 수 있는 개폐 밸브다. 또한 APC밸브(403)는 밸브의 개도(開度)를 조정하는 것에 의해 처리실(30) 내의 압력을 조정할 수 있는 압력 조정 밸브이기도 한다.
제1 배기관(346)의 적어도 APC밸브(403)보다 상류측에는 제1 배기관(346)을 가열하는 배기 가열부로서의 이그저스트 튜브 히터(411)가 설치된다. 이그저스트 튜브 히터(411)는 제1 배기관(346)의 내부에 결로가 생기지 않도록 제1 배기관(346)을 가열한다.
이그저스트 튜브 히터(411)에는 후술하는 컨트롤러(500)가 전기적으로 접속된다. 컨트롤러(500)는 제1 배기관(346)이 소정의 온도(예컨대 50℃ 내지 300℃)가 되도록 이그저스트 튜브 히터(411)로의 공급 전력을 제어하도록 구성된다.
제1 배기관(346)의 APC밸브(403)보다 상류측에는 제2 배기관(347)의 상류단이 접속된다. 제2 배기관(347)에는 상류 방향부터 순서대로 개폐 밸브인 밸브(406), 처리관(360)으로부터 배기된 배기 가스를 액체와 기체로 분리하는 분리기(407) 및 진공 배기 장치로서의 진공 펌프(408)가 설치된다. 분리기(407)에는 제3 배기관(409)의 상류단이 접속되고, 제3 배기관(409)에는 액체회수 탱크(410)가 설치된다. 분리기(407)로서는 예컨대 가스 크로마토그래프 등을 이용할 수 있다.
제2 배기관(347), 제3 배기관(409)은 예컨대 테프론(등록 상표) 등의 처리액과 반응성이 낮은 재료로 구성되면 좋다. 또한 제2 배기관(347), 제3 배기관(409)을 금속으로 구성한 경우, 제2 배기관(347), 제3 배기관(409)의 적어도 내면에는 예컨대 테프론(등록 상표) 등의 피막이 형성되어도 좋다. 이에 의해 처리액에 의한 제2 배기관(347), 제3 배기관(409)의 부식을 억제할 수 있다.
APC밸브(403) 및 압력 센서(404)에는 후술하는 컨트롤러(500)가 전기적으로 접속된다. 컨트롤러(500)는 처리실(30) 내의 압력이 소정의 타이밍에 소정의 압력이 되도록 압력 센서(404)로 검출된 압력 정보에 기초하여 APC밸브(403)의 개도를 제어하도록 구성된다.
주로 제1 배기관(346)에 의해 배기부가 구성된다. 또한 제2 배기관(347)이나, APC밸브(403), 압력 센서(404), 밸브(406), 분리기(407), 액체회수 탱크(410), 진공 펌프(406), 진공 펌프(408)를 배기부에 포함시켜서 생각해도 좋다.
(처리관 냉각부)
도 2에 도시하는 바와 같이 제1 가열부(320)의 외주에는 처리관(360) 및 제1 가열부(320)를 피복하도록 단열 부재(300)가 설치된다. 단열 부재(300)는 처리관(360)의 측벽을 둘러싸도록 설치되는 측부 단열 부재(300a)와, 처리관(360)의 상방단을 피복하도록 설치되는 상부 단열 부재(300b)를 구비하여 구성된다. 측부 단열 부재(300a)와 상부 단열 부재(300b)는 각각 기밀하게 접속된다. 또한 단열 부재(300)는 측부 단열 부재(300a)와 상부 단열 부재(300b)가 일체로 형성되어도 좋다. 단열 부재(300)는 예컨대 석영이나 탄화실리콘 등의 내열성 재료로 구성된다.
측부 단열 부재(300a)의 하방에는 처리관(360)과 단열 부재(300) 사이의 공간(352) 내에 냉각 가스를 공급하는 흡기구(353)가 형성된다. 또한 본 실시 형태에서는 흡기구(353)는 측부 단열 부재(300a)의 하단부와 히터 베이스(321)에 의해 형성되지만, 예컨대 측부 단열 부재(300a)에 개구를 설치하는 것에 의해 형성되어도 좋다. 흡기구(353)에는 냉각 가스 공급관(363)의 하류단이 접속된다. 냉각 가스 공급관(363)에는 상류 방향부터 순서대로 냉각 가스 공급원(364), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(365)(MFC), 차단 밸브로서의 셔터(359)가 설치된다. 냉각 가스 공급관(363)으로부터는 냉각 가스가 매스 플로우 컨트롤러(365), 셔터(359)를 개재하여 공간(352) 내에 공급된다. 냉각 가스로서는 예컨대 질소(N2) 가스나, 공기 등을 이용할 수 있다.
주로 냉각 가스 공급관(363) 및 매스 플로우 컨트롤러(365)에 의해 냉각 가스 공급부가 구성된다. 또한 냉각 가스 공급원(364)이나 셔터(359)를 냉각 가스 공급부에 포함시켜서 생각해도 좋다.
상부 단열 부재(300b)에는 처리관(360)과 단열 부재(300) 사이의 공간(352) 내의 분위기를 배기하는 배기로(354)가 형성된다. 배기로(354)의 하류단에는 냉각 가스 배기관(355)의 상류단이 접속된다. 냉각 가스 배기관(355)에는 상류 방향부터 순서대로 차단 밸브로서의 셔터(361), 냉각수 등을 순환시켜서 냉각 가스 배기관(355) 내를 흐르는 배기 가스를 냉각시키는 라디에이터(357), 차단 밸브로서의 셔터(362), 냉각 가스 배기관(355)의 상류측으로부터 하류측에 배기 가스를 흘리는 예컨대 블로워 등의 냉각 가스 배기 장치(356) 및 배기 가스를 처리실(30)의 외부에 배출하는 배기구(358)가 설치된다. 냉각 가스 배기 장치(356)에는 예컨대 인버터(384)가 접속되고, 인버터(384)에 의해 냉각 가스 배기 장치(356)를 작동 시키도록 구성된다. 예컨대 인버터(384)는 냉각 가스 배기 장치(356)인 블로워의 회전 수를 제어하도록 구성된다.
주로 배기로(354), 냉각 가스 배기관(355), 냉각 가스 배기 장치(356), 라디에이터(357) 및 배기구(358)에 의해 단열 부재(300)와 처리관(360) 사이의 공간(352)의 분위기를 배기하는 냉각 가스 배기부가 구성된다. 또한 셔터(361)나 셔터(361)를 냉각 가스 배기부에 포함시켜서 생각해도 좋다. 또한 주로 전술한 냉각 가스 공급부 및 냉각 가스 배기부에 의해 처리관 냉각부가 구성된다.
(제어부)
도 4에 도시하는 바와 같이 제어부(제어 수단)인 컨트롤러(500)는 CPU(500a)(Central Processing Unit), RAM(500b)(Random Access Memory), 기억 장치(500c), I/O 포트(500d)를 구비한 컴퓨터로서 구성된다. RAM(500b), 기억 장치(500c), I/O 포트(500d)는 내부 버스(500e)를 개재하여 CPU(500a)와 데이터 교환 가능하도록 구성된다. 컨트롤러(500)에는 예컨대 터치패널 등으로서 구성된 입출력 장치(501)가 접속된다.
기억 장치(500c)는 예컨대 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성된다. 기억 장치(500c) 내에는 기판 처리 장치(10)의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피 등이 판독 가능하도록 격납된다. 또한 프로세스 레시피는 후술하는 기판 처리 공정에서의 각 순서를 컨트롤러(500)에 실행시켜 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여 단순히 프로그램이라고도 부른다. 또한 본 명세서에서 프로그램이라는 단어를 이용한 경우는 프로세스 레시피 단체(單體)만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양방(兩方)을 포함하는 경우가 있다. 또한 RAM(500b)는 CPU(500a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 보지되는 메모리 영역(work area)으로서 구성된다.
I/O 포트(500d)는 전술한 액체용 매스 플로우 컨트롤러(203), 매스 플로우 컨트롤러(212b, 212c, 212d, 212e, 365), 밸브(204, 208, 213b, 213c, 213d, 213e, 406), 셔터(359, 361, 362), 진공 펌프(405, 408), APC밸브(403), 제1 가열부(320), 제2 가열부(345), 제3 가열부(280), 인버터(384), 제1 내지 제4 외부 온도 센서(322a 내지 322d), 제1 내지 제4 내부 온도 센서(324a 내지 324d), 제4 온도 센서(263d), 보트 회전 기구(349) 등에 접속된다.
CPU(500a)는 기억 장치(500c)로부터 제어 프로그램을 판독하여 실행하는 것과 함께, 입출력 장치(501)로부터의 조작 커맨드의 입력 등에 따라 기억 장치(500c)로부터 프로세스 레시피를 판독하도록 구성된다. 그리고 CPU(500a)는 판독한 프로세스 레시피의 내용을 따르도록 액체용 매스 플로우 컨트롤러(203)에 의한 처리액의 유량 조정 동작, 매스 플로우 컨트롤러(212b, 212c, 212d, 212e, 365)에 의한 각종 가스의 유량 조정 동작, 밸브(204, 208, 213b, 213c, 213d, 213e, 406)의 개폐 동작, 셔터(359, 361, 362)의 차단 동작, APC밸브(403)의 개도 조정 동작, 제1 내지 제4 외부 온도 센서(322a 내지 322d) 및 제1 내지 제4 내부 온도 센서(324a 내지 324d)에 기초하는 제1 가열부(320)의 온도 조정 동작, 온도 센서에 기초하는 제2 가열부(345) 및 제3 가열부(280)의 온도 조정 동작, 진공 펌프(405, 408)의 기동·정지, 인버터(384)에 의한 냉각 가스 배기 장치(356)의 회전 속도 조절 동작, 보트 회전 기구(349)의 회전 속도 조절 동작 등을 제어하도록 구성된다.
또한 컨트롤러(500)는 전용의 컴퓨터로서 구성되는 경우에 한정되지 않고, 범용의 컴퓨터로서 구성되어도 좋다. 예컨대 전술한 프로그램을 격납한 외부 기억 장치(502)[예컨대 자기(磁氣) 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD등의 광디스크, MO 등의 광자기 디스크, USB메모리(USB Flash Drive)이나 메모리 카드 등의 반도체 메모리]를 준비하고, 이와 같은 외부 기억 장치(502)를 이용하여 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해 본 실시 형태에 따른 컨트롤러(500)를 구성할 수 있다. 또한 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(502)를 개재하여 공급하는 경우에 한정되지 않는다. 예컨대 인터넷이나 전용 회선 등의 통신 수단을 이용하여 외부 기억 장치(502)를 개재하지 않고 프로그램을 공급해도 좋다. 또한 기억 장치(500c)나 외부 기억 장치(502)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 단순히 기록 매체라고도 부른다. 또한 본 명세서에서 기록 매체라는 단어를 이용한 경우는 기억 장치(500c) 단체만을 포함하는 경우, 외부 기억 장치(502) 단체만을 포함하는 경우, 또는 그 양방을 포함하는 경우가 있다.
(2) 기판 처리 공정
다음으로 본 실시 형태에 따른 반도체 장치의 제조 공정의 일 공정으로서 실시되는 기판 처리 공정에 대하여 주로 도 5를 이용하여 설명한다. 도 5는 본 실시 형태에 따른 기판 처리 공정을 도시하는 플로우 차트다. 이 공정은 전술한 기판 처리 장치(10)에 의해 실시된다. 또한 이하의 설명에서 기판 처리 장치(10)를 구성하는 각(各) 부(部)의 동작은 도 4에 도시하는 컨트롤러(500)에 의해 제어된다.
본 실시 형태에서는 웨이퍼(12)로서 미세 구조인 요철 구조를 가지는 기판을 이용하는 경우에 대하여 설명한다. 또한 미세 구조를 가지는 기판이란 예컨대 실리콘 기판에 대하여 수직 방향으로 깊은 홈(요부), 또는 예컨대 10nm 내지 50nm 정도의 폭의 횡방향으로 좁은 홈(요부) 등의 애스펙트비가 높은 구조를 가지는 기판을 말한다. 이하에서는 웨이퍼(12)에 형성된 요부를 공극이라고도 부른다.
이하에 폴리실라잔(SiH2NH)을 웨이퍼(12)에 형성된 적어도 요부(홈)에 충전하도록 공급하고, 홈 내에 실리콘(Si) 함유막을 형성하는 제1 공정과, 처리액으로서 과산화수소수를 이용하여 웨이퍼(12) 상에 형성된 실리콘 함유막을 실리콘 산화막으로 개질(산화)하는 제2 공정을 수행하는 경우에 대하여 설명한다. 웨이퍼(12) 상에 형성되는 실리콘 산화막은 전극 사이의 절연막 등으로서 이용된다.
(제1 공정)
웨이퍼(12) 상에 실리콘 함유막을 형성하는 제1 공정에 대하여 설명한다.
<실리콘 함유막 형성 공정(S10)>
우선 미세 구조를 가지는 웨이퍼(12)를 예컨대 스핀 코터 장치에 반입한다. 스핀 코터 장치에 예컨대 폴리실라잔(SiH2NH) 등의 실리콘 재료를 크실렌(C8H10) 등의 용매에 용해한 용액(실리콘 함유 재료)을 공급하고, 웨이퍼(12)에 실리콘 함유 재료를 도포한다. 여기서 용매로서 예컨대 크실렌(C8H10), 톨루엔(C6H5CH3), 디부틸에테르(C8H18O) 등 중 적어도 어느 하나를 이용한다. 도포막 두께는 예컨대 100nm 내지 700nm으로 한다. 실리콘 함유 재료를 웨이퍼(12)에 도포한 후, 포밍 가스(수소를 질소로 희석한 가스)를 스핀 코터 장치 내에 공급한다. 그리고 포밍 가스 분위기에서 웨이퍼(12)를 소정의 온도(예컨대 150℃)로 가열하여 열처리(프리베이크 처리)를 수행한다. 프리베이크 처리를 수행하는 것에 의해 실리콘 함유 재료 중의 용매를 증발시킨다. 이와 같이 하여 웨이퍼(12)가 포함하는 공극에 실리콘 함유막인 폴리실라잔 막을 형성(성막)한다. 웨이퍼(12) 상에 실리콘 함유막을 형성하면, 웨이퍼(12)를 스핀 코터 장치로부터 반출한다.
여기서 웨이퍼(12) 상에 형성되는 실리콘 함유막은 주로 실리콘 재료(폴리실라잔)로 형성된다. 하지만 실리콘 함유막에는 실리콘 함유 재료에 포함되는 용매성분이 잔류하는 경우가 있다. 또한 실리콘 함유막에는 실리콘(Si) 외에 실리콘 재료에 유래하는 질소(N)나 수소(H) 등의 불순물이 포함된다. 즉 실리콘 함유막은 적어도 실라잔 결합(Si-N결합)을 포함한다. 또한 실리콘 함유막에는 경우에 따라서는 탄소(C)나 다른 불순물이 혼합될 가능성이 있다. 즉 스핀 코트법에서는 실리콘 함유 재료로서 폴리실라잔 등의 실리콘 재료에 용매로서 유기 용매를 첨가한 액체가 이용되는 경우가 많다. 이 유기 용매에 유래하는 탄소(C)나 다른 불순물(즉 Si, O이외의 원소)이 실리콘 함유막 중에 혼합되는 경우가 있다.
(제2 공정)
계속하여 웨이퍼(12) 상에 형성된 실리콘 함유막을 실리콘 산화막으로 개질(산화)하는 제2 공정에 대하여 설명한다.
<기판 반입 공정(S20)>
우선 실리콘 함유막이 형성된 복수 매의 웨이퍼(12)를 보트(14)에 장전(裝塡)(웨이퍼 차지)한다. 복수 매의 웨이퍼(12)를 보지한 보트(14)를 보트 엘리베이터에 의해 들어올려서 처리관(360) 내[처리실(30)] 내에 반입(보트 로드)한다. 이 상태에서 처리관(360)의 개구부인 노구는 씰 캡(344)에 의해 밀봉된 상태가 된다.
<압력·온도 조정 공정(S30)>
처리실(30)[처리관(360)] 내가 소정의 압력이 되도록 진공 펌프(405) 또는 진공 펌프(408) 중 적어도 어느 하나에 의해 진공 배기한다. 이 때 처리실(30) 내의 압력은 압력 센서(404)로 측정되고, 이 측정된 압력 정보에 기초해 APC밸브(403)의 밸브의 개도를 피드백 제어한다(압력 조정).
처리실(30)[처리관(360)] 내에 수용된 웨이퍼(12)가 소정의 온도(예컨대 40℃ 내지 300℃, 바람직하게는 50℃ 내지 150℃ 정도)가 되도록 제1 가열부(320)에 의해 가열한다. 이 때 처리실(30) 내의 웨이퍼(12)가 소정의 온도 분포가 되도록 제1 내지 제4 내부 온도 센서(324a 내지 324d)가 검출한 온도 정보에 기초하여 제1 가열부(320)가 구비하는 제1 내지 제4 히터 유닛(320a 내지 320d)으로의 공급 전력을 피드백 제어한다(온도 조정). 이 때 제1 내지 제4 히터 유닛(320a 내지 320d)의 설정 온도는 모두 같은 온도가 되도록 제어한다.
또한 웨이퍼(12)를 가열하면서 보트 회전 기구(349)를 작동하여 보트(14)의 회전을 시작한다. 이 때 보트(14)의 회전 속도를 컨트롤러(500)에 의해 제어한다. 또한 보트(14)는 적어도 후술하는 개질 처리 공정(S40)이 종료할 때까지의 사이는 항상 회전시킨 상태로 한다.
또한 기화부(342)가 처리액인 과산화수소수를 기화시킬 수 있는 온도(예컨대 150℃ 내지 170℃) 이상이 되도록 제2 가열부(345)에 전력을 공급하여 기화부(342)의 가열을 시작한다. 또한 후술하는 개질 처리 공정(S40)이 종료할 때까지의 사이는 기화부(342)의 온도가 예컨대 150℃ 정도로 유지되도록 제2 가열부(345)를 제어한다.
또한 서브 히터(210a, 210d, 210e), 액화 방지 히터(280) 및 이그저스트 튜브 히터(411)가 소정의 온도[예컨대 서브 히터를 50℃ 내지 100℃, 액화 방지 히터(280) 및 이그저스트 튜브 히터를 각각 100℃ 내지 300℃, 바람직하게는 약 200℃]가 되도록 서브 히터(210a, 210d, 210e), 액화 방지 히터(280) 및 이그저스트 튜브 히터(411)로의 공급 전력을 제어한다. 또한 서브 히터(210a, 210d, 210e), 액화 방지 히터(280) 및 이그저스트 튜브 히터(411)를 모두 같은 온도가 되도록 제어해도 좋고, 각각 다른 온도가 되도록 제어해도 좋다.
<개질 처리 공정(S40)>
[처리액 공급 공정(S41)]
웨이퍼(12)를 가열하여 소정의 온도에 달하고 보트(14)가 소정의 회전 속도에 도달하면, 처리액 공급관(340a)으로부터 처리관(360) 내로의 처리액으로서의 과산화수소수의 공급을 시작한다. 즉 우선 밸브(213c, 213d, 213e)를 닫고 밸브(213b)를 열고, 압송 가스 공급원(211b)으로부터 저장 탱크(201) 내에 매스 플로우 컨트롤러(212b)에 의해 유량 제어하면서 압송 가스를 공급한다. 또한 밸브(204) 및 밸브(208)를 열고, 저장 탱크(201) 내에 저류되는 처리액인 과산화수소수를 액체용 매스 플로우 컨트롤러(203)에 의해 유량 제어하면서 처리액 공급관(340a)으로부터 세퍼레이터(205), 처리액 공급 노즐(339), 공급공(341)을 개재하여 처리관(360)[처리실(30)] 내에 공급한다. 압송 가스로서는 예컨대 질소(N2) 가스 등의 불활성 가스나, He가스, Ne가스, Ar가스 등의 희가스를 이용할 수 있다. 이 때 밸브(213c)를 열고, 불활성 가스 공급관(340c)으로부터 캐리어 가스로서의 불활성 가스를 공급해도 좋다.
[처리액 가열 공정(S42)]
처리관(360) 내에 공급한 과산화수소수를 제2 가열부(345)에 의해 가열한 기화부(342)에 접촉시켜서 증발, 기화시켜 처리 가스인 과산화수소수의 기화 가스를 생성한다. 이와 같이 처리 가스인 과산화수소수의 기화 가스는 처리관(360) 내에서 생성된다. 즉 처리액 공급 노즐(339) 내에는 액체 상태의 과산화수소수를 통과시키면 좋다.
과산화수소수의 기화 가스를 웨이퍼(12)에 공급하고, 과산화수소수의 기화 가스가 웨이퍼(12)의 표면과 산화 반응하는 것에 의해 웨이퍼(12) 상에 형성된 실리콘 함유막을 실리콘 산화막(SiO막)으로 개질한다. 즉 처리관(360) 내에 공급하고 기화부(342)에 의해 기화한 과산화수소수의 기화 가스가 웨이퍼(12)에 공급되어 분해하면, 히드록시 래디컬(OH*)이 발생한다. 히드록시 래디컬이 가지는 산화력에 의해 웨이퍼(12) 상의 실리콘 함유막이 포함하는 실라잔 결합(Si-N결합)이나, Si-H결합이 절단된다. 그리고 절단된 질소(N)나 수소(H)가 히드록시 래디컬이 가지는 산소(O)와 치환되어 실리콘 함유막 중에 Si-O결합이 형성된다. 그 결과, 실리콘 함유막이 산화되어 실리콘 산화막으로 개질된다. 또한 히드록시 래디컬에 의해 절단된 질소(N)나 수소(H) 등의 불순물은 예컨대 배기부 등으로부터 처리관(360) 외로 배출된다.
또한 웨이퍼(12) 상에 공급되는 과산화수소수의 기화 가스에는 H2O2분자단체의 상태나, 여러 개의 분자가 결합한 클러스터 상태가 포함되어도 좋다. 또한 과산화수소(H2O2)수로부터 과산화수소수의 기화 가스로 변화시킬 때에는 H2O2분자단체까지 분열되도록 해도 좋고, 여러 개의 분자가 결합한 클러스터 상태에까지 분열되도록 해도 좋다. 또한 상기의 클러스터가 여러 개 모여서 이루어진 미스트 상태이어도 좋다.
처리관(360) 내에 과산화수소수를 공급하면서 진공 펌프(408), 액체회수 탱크(410)로부터 배기한다. 즉 APC밸브(403)를 닫고 밸브(406)를 열고 처리관(360) 내로부터 배기된 배기 가스를 제1 배기관(346)으로부터 제2 배기관(347)을 개재하여 분리기(407) 내를 통과시킨다. 그리고 배기 가스를 분리기(407)에 의해 과산화수소를 포함하는 액체와 과산화수소를 포함하지 않는 기체로 분리한 후, 기체를 진공 펌프(408)로부터 배기하고 액체를 액체회수 탱크(410)에 회수한다.
또한 처리관(360) 내에 과산화수소수를 공급할 때, 밸브(406) 및 APC밸브(403)를 닫고 처리관(360) 내를 가압해도 좋다. 이에 의해 처리관(360) 내의 과산화수소수 분위기를 균일하게 할 수 있다.
소정 시간이 경과한 후, 밸브(204a, 213b, 208)를 닫고 처리관(360) 내로의 과산화수소수의 공급을 정지한다.
<퍼지 공정(S50)>
개질 처리 공정(S40)이 종료된 후, APC밸브(403)를 닫고 밸브(406)를 열어 처리관(360) 내를 진공 배기하고, 처리관(360) 내에 잔류하는 과산화수소수의 기화 가스를 배기한다. 즉 밸브(406)를 열고, 처리관(360)[처리실(30)]을 배기하면서 밸브(213c)를 열고, 불활성 가스 공급관(340c)으로부터 처리액 공급 노즐(339)을 개재하여 처리관(360) 내에 퍼지 가스로서의 N2가스(불활성 가스)를 매스 플로우 컨트롤러(212c)에 의해 유량 제어하면서 공급한다. 퍼지 가스로서는 예컨대 질소(N2) 가스나, 예컨대 He가스, Ne가스, Ar가스 등의 희가스 등의 불활성 가스를 이용할 수 있다. 이에 의해 처리관(360) 내의 잔류 가스의 배출을 촉진시킬 수 있다. 또한 처리액 공급 노즐(339) 내를 N2가스 등의 불활성 가스가 통과하는 것에 의해 처리액 공급 노즐(339) 내에 잔류하는 과산화수소수(액체 상태의 과산화수소)를 압출(押出)하여 제거할 수도 있다. 이 때 APC밸브(403)의 개도 및 밸브(406)의 개폐를 조정하여 진공 펌프(405)로부터 배기해도 좋다.
<강온·대기압 복귀 공정(S60)>
퍼지 공정(S50)이 종료된 후, 밸브(406) 또는 APC밸브(403)를 조정하여 처리관(360) 내의 압력을 대기압에 복귀시키면서 웨이퍼(12)를 소정의 온도(예컨대 실온 정도)로 강온시킨다. 구체적으로는 밸브(213c)를 연 상태로 하여 처리관(360) 내에 불활성 가스인 N2가스를 공급하면서 처리관(360) 내의 압력을 대기압으로 승압시킨다. 그리고 제1 가열부(320) 및 제2 가열부(345)에의 공급 전력을 제어하고, 웨이퍼(12)의 온도를 강온시킨다.
웨이퍼(12)를 강온시키면서 냉각 가스 배기 장치(356)를 작동시킨 상태에서 셔터(359, 361, 362)를 열고, 냉각 가스 공급관(363)으로부터 냉각 가스를 매스 플로우 컨트롤러(365)에 의해 유량 제어하면서 처리관(360)과 단열 부재(300) 사이의 공간(352) 내에 공급하면서 냉각 가스 배기관(355)으로부터 배기해도 좋다. 냉각 가스로서는 N2가스 외에 예컨대 He가스, Ne가스, Ar가스 등의 희가스나, 공기 등을 단독 또는 혼합하여 이용할 수 있다. 이에 의해 공간(352) 내를 급냉시켜 공간(352) 내에 설치되는 처리관(360) 및 제1 가열부(320)를 단시간에 냉각할 수 있다. 또한 처리관(360)이 냉각되는 것에 의해 처리관(360) 내에 수용된 웨이퍼(12)를 주방향(외주측)으로부터 냉각할 수 있다. 즉 처리관(360) 내의 웨이퍼(12)를 보다 단시간에 강온시킬 수 있다.
또한 셔터(361, 362)를 닫은 상태에서 냉각 가스 공급관(363)으로부터 N2가스를 공간(352) 내에 공급하고, 공간(352) 내를 냉각 가스로 충만시켜서 냉각한 후, 냉각 가스 배기 장치(356)를 작동시킨 상태에서 셔터(361, 362)를 열고, 공간(352) 내의 냉각 가스를 냉각 가스 배기관(355)으로부터 배기해도 좋다.
<기판 반출 공정(S70)>
그 후, 보트 엘리베이터에 의해 씰 캡(344)을 하강시켜서 처리관(360)의 하단을 개구하는 동시에 처리 완료된 웨이퍼(12)가 보트(14)에 보지된 상태에서 처리관(360)의 하단으로부터 처리관(360)[처리실(30)]의 외부로 반출(보트 언로드)된다.
그 후, 처리 완료된 웨이퍼(12)는 보트(14)로부터 취출되어(웨이퍼 디스차지), 본 실시 형태에 따른 기판 처리 공정을 종료한다.
(3) 본 실시 형태에 따른 효과
본 실시 형태에 의하면, 이하에 나타내는 1개 또는 복수의 효과를 갖는다.
(a) 본 실시 형태에 의하면, 처리실(30)[처리관(360)] 내에 처리액을 공급하는 처리액 공급부와, 처리실(30) 내에서 처리액을 가열하는 가열부(제2 가열부(345))를 구비한다. 즉 액체 상태인 처리액을 처리관(360) 내에 공급하고, 처리관(360) 내에서 처리액을 가열하여 증발, 기화시켜서 처리액의 기화 가스를 생성하고, 처리액의 기화 가스를 처리실(30) 내의 웨이퍼(12)에 공급한다. 이에 의해 웨이퍼(12)에 공급되는 처리액의 기화 가스의 농도를 균일하게 할 수 있다. 따라서 웨이퍼(12)에 균일한 처리를 수행할 수 있고, 고품질의 치밀한 막을 형성할 수 있다.
또한 예컨대 웨이퍼(12)가 포함하는 미세 구조의 홈의 밑바닥(홈 내가 깊은 장소)에 형성된 막까지 처리액의 기화 가스를 공급하여 침투시킬 수 있다. 그 결과, 웨이퍼(12)의 홈 내에서 균일한 처리를 수행할 수 있고, 고품질의 치밀한 막을 형성할 수 있다. 또한 예컨대 가공 치수가 50nm 이하의 미소한 요철 구조가 형성되어 표면적이 늘어난 웨이퍼(12)이어도 홈 내에서 균일한 처리를 수행하는 것이 가능해진다. 또한 기판 처리를 재현성 좋게 수행할 수 있다.
또한 처리액을 처리관(360) 내에서 증발, 기화시키기 때문에 처리액 공급 노즐(339) 등의 공급부의 설비 내에서의 결로 발생을 억제할 수 있다. 이에 의해 웨이퍼(12) 상에 발생하는 이물을 저감할 수 있다.
또한 처리액을 가열부에 공급하여 순시 기화시키는 것에 의해 비등점이 다른 물질이 혼합된 처리액, 예컨대 과산화수소와 물을 혼합한 처리액을 이용해도 처리액의 기화 가스 농도의 편차를 억제할 수 있다.
이에 대하여 처리관(360) 내에 공급하기 전에 처리액을 기화시켜 처리액의 기화 가스를 처리액 공급 노즐(339) 등을 개재하여 처리실(30) 내에 공급하면, 처리액의 기화 가스가 처리액 공급 노즐(339)을 통과할 때, 처리액 공급 노즐(339)의 열 조건 등에 의해 처리액의 기화 가스의 농도에 편차가 발생하는 경우가 있다.
(b) 본 실시 형태에 의하면, 처리액은 과산화수소를 포함한다. 즉 처리액으로서 과산화수소를 용매로서의 물에 용해시킨 과산화수소수를 이용한다. 이에 의해 저온 또한 단시간에 웨이퍼(12) 상의 실리콘 함유막을 산화시켜서 실리콘 산화막으로 개질할 수 있다. 저온으로 산화 처리를 수행하는 것에 의해 실리콘 함유막의 표면부(홈의 상단)만이 먼저 산화되는 것을 억제할 수 있다. 따라서 웨이퍼(12)에 의해 균일한 산화 처리를 수행할 수 있어 실리콘 산화막의 막질을 보다 향상시킬 수 있다. 이에 대하여 고온으로 처리한 경우에는 실리콘 함유막의 표면부만이 먼저 산화되는 경우가 있다.
또한 저온으로 처리를 수행하는 것에 의해 실리콘 산화막(반도체 소자)에의 열 부하를 저감할 수 있다. 즉 웨이퍼(12)에 형성된 게이트 산화막이나 게이트 전극 등 반도체 소자의 특성을 변질시키지 않고 실리콘 함유막을 실리콘 산화막으로 개질할 수 있다. 예컨대 웨이퍼(12) 상에 형성된 회로 자체의 성능이 열화하는 것을 억제할 수 있다. 구체적으로는 트랜지스터의 동작용으로 주입한 붕소나 비소, 인 등의 불순물의 과잉 확산을 억제할 수 있다. 또한 전극용의 금속 실리사이드의 응축, 게이트용 일함수의 성능 변동, 메모리 소자의 판독 또는 기입의 반복 수명의 열화 등을 억제할 수 있다.
(c) 본 실시 형태에 의하면, 실리콘 함유막은 폴리실라잔을 함유한다. 이에 의해 미세한 요철 구조를 가지는 웨이퍼(12) 상에 형성된 실리콘 함유막을 보다 용이하게 산화시켜 실리콘 산화막으로 개질할 수 있다. 즉 과산화수소가 분해하는 것에 의해 발생하는 히드록시 래디컬(OH*)이 가지는 산화력에 의해 폴리실라잔 중의 실라잔 결합(Si-N결합)이나 Si-H결합이 절단된다. 그리고 절단된 질소(N)나 수소(H)가 히드록시 래디컬이 포함하는 산소(O)로 치환되어 실리콘 함유막 중에 Si-O결합을 형성할 수 있다.
또한 실리콘 함유막을 NH-을 많이 포함하지 않는 Si-O결합을 주 골격으로 하는 실리콘 산화막으로 개질할 수 있다. 이 실리콘 산화막은 종래의 유기 SOG로 형성되는 실리콘 산화막과는 달리 높은 내열성을 가진다.
(d) 본 실시 형태에 의하면, 기판 처리 장치(10)가 구비하는 구성 부재 중 처리액과 접촉하는 구성 부재는 처리액과 반응성이 낮은 재료로 구성된다. 즉 예컨대 보트(14)의 지주(14a), 기판 재치부(14b), 저판(14c), 처리액 공급관(340a), 제1 배기관(346), 제2 배기관(347), 제3 배기관(409) 등은 예컨대 테프론(등록 상표), 석영, 산화알루미늄(Al2O3), 질화알루미늄(AlN), 탄화실리콘(SiC) 등의 세라믹스 등 중 적어도 어느 하나를 함유하는 재료로 구성된다. 이에 의해 처리액과 접촉하는 구성 부재가 처리액에 의해 부식되는 것을 억제할 수 있다.
(e) 본 실시 형태에 의하면, 제1 배기관(346)의 적어도 APC밸브(403)보다 상류측에는 제1 배기관(346)을 가열하는 이그저스트 튜브 히터(411)가 설치된다. 이에 의해 배기부 내에서 처리액이 재액화하는 것을 억제할 수 있다. 따라서 예컨대 배기부 내에서 재액화한 후, 재기화한 처리액의 기화 가스가 처리관(360) 내에 역류하는 것을 억제할 수 있고, 그 결과, 웨이퍼(12) 상에 발생하는 이물을 저감할 수 있다.
<본 발명의 다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명하였지만, 본 발명은 전술한 실시 형태에 한정되지 않고, 그 요지를 일탈하지 않는 범위에서 갖가지 변경이 가능하다.
전술한 실시 형태에서는 처리액으로서 과산화수소수를 이용하고, 처리관(360) 내에 과산화수소수를 공급한 후, 처리관(360) 내에서 과산화수소수를 증발, 기화시켜서 과산화수소수의 기화 가스를 생성하여 웨이퍼(12)에 산화 처리를 수행하였지만, 이에 한정되지 않는다. 그 외에 예컨대 처리액으로서 물(H2O)을 이용하여 웨이퍼(12)에 산화 처리를 수행해도 좋다.
또한 처리액으로서 물(H2O)을 이용하는 경우, 웨이퍼(12) 상에 공급되는 수증기에는 H2O분자 단체의 상태나, 여러 개의 분자가 결합한 클러스터 상태가 포함되어도 좋다. 또한 물(H2O)을 액체 상태로부터 기체 상태로 변화시킬 때, H2O분자단체까지 분열되도록 해도 좋고, 여러 개의 분자가 결합한 클러스터 상태까지 분열되도록 해도 좋다. 또한 상기의 클러스터가 여러 개 모여서 이루어진 미스트 상태이어도 좋다.
또한 예컨대 수소(H2) 가스 등의 수소원소(H)를 포함하는 가스(수소 함유 가스) 및 예컨대 산소(O2) 가스 등의 산소원소(O)를 포함하는 가스(산소 함유 가스)를 가열하여 수증기(H2O)화한 가스를 이용해도 좋다. 즉 밸브(204, 213b, 208)를 닫고 밸브(213d, 213e)를 열고 제1 가스 공급관(340d) 및 제2 가스 공급관(340e)으로부터 각각 H2가스 및 O2가스를 처리관(360) 내에 매스 플로우 컨트롤러(212d, 212e)에 의해 각각 유량 제어하면서 공급해도 좋다. 그리고 처리관(360) 내에 공급된 H2가스 및 O2가스를 반응시키고, 제2 가열부(345)에 의해 가열한 기화부(342)에 접촉시켜서 수증기를 발생시켜 수증기를 웨이퍼(12)에 공급하는 것에 의해 예컨대 웨이퍼(12) 상에 형성된 실리콘 함유막을 실리콘 산화막(SiO막)으로 개질해도 좋다. 또한 산소 함유 가스로서는 O2가스 외에 예컨대 오존(O3) 가스나 수증기(H2O) 등을 이용해도 좋다. 처리하는 웨이퍼(12)가 고온에 대응 가능한 경우에 특히 유효하다.
전술한 실시 형태에서는 처리실(30) 내에 공급된 처리액을 기화부(342)에 접촉시키는 것에 의해 증발, 기화시켜서 처리실(30) 내에서 처리액의 기화 가스를 생성하였지만, 이에 한정되지 않는다. 즉 처리실(30) 내에 공급된 처리액이 처리실(30) 내에서 가열되어 증발, 기화되도록 구성되면 좋다. 예컨대 처리액 공급 노즐(339)과 처리실(30)[처리관(360)]의 접합부를 가열하는 것에 의해 처리실(30) 내에서 처리액을 가열하여 기화시켜도 좋다.
전술한 실시 형태에서는 처리실(30) 내에 공급된 처리액을 기화부(342)에 접촉시키는 것에 의해 증발, 기화시켜서 처리실(30) 내에서 처리액의 기화 가스를 생성하였지만, 이에 한정되지 않는다. 즉 처리실(30) 내에 공급된 처리액이 처리실(30) 내에서 가열되어 증발, 기화되게 구성되면 좋다. 예컨대 처리액 공급 노즐(339)과 처리실(30)[처리관(360)]의 접합부를 가열하는 것에 의해 처리실(30) 내에서 처리액을 가열하여 기화시켜도 좋다.
전술한 실시 형태에서는 예컨대 과산화수소수나 물 등의 처리액을 가열하는 것에 의해 증발, 기화시켜서 기화 가스를 생성하는 경우나, 산소 가스와 수소 가스의 반응물을 가열하여 수증기(H2O)화한 가스를 생성하는 경우에 대하여 설명하였지만, 이에 한정되지 않는다. 예컨대 과산화수소수나 물 등의 처리액에 초음파를 인가하는 것에 의해 처리실(30) 내에서 처리액을 미스트화하는 방법이나, 아토마이저를 이용하여 미스트를 분무하는 방법이라도 좋다. 또한 처리실(30) 내에서 처리액에 레이저나 마이크로파를 직접 조사하여 처리실(30) 내에서 처리액을 증발, 기화시키는 방법이어도 좋다.
또한 예컨대 상술한 실시 형태에서 개질 처리 공정(S40)과 퍼지 공정(S50) 사이에 열처리 공정을 수행해도 좋다. 즉 예컨대 웨이퍼(12)를 고온으로 가열하여 어닐링 처리(열처리) 등을 수행해도 좋다.
열처리 공정에서는 우선 처리실(30) 내의 온도가 소정의 온도(예컨대 600℃ 내지 1100℃)가 되도록 적어도 제1 가열부(320)에 의해 가열하는 것과 함께 처리실(30) 내가 소정의 압력(예컨대 6000Pa 내지 60000Pa)이 되도록 진공 펌프(405) 또는 진공 펌프(408) 중 적어도 어느 하나를 작동시켜서 조정한다. 처리실(30) 내가 소정의 온도 및 소정의 압력에 달하면, 배기부로부터 배기하면서 밸브(213c) 및 밸브(208)를 열고, 불활성 가스 공급관(340c)으로부터 처리실(30) 내로의 불활성 가스의 공급을 시작한다. 그리고 처리관(360) 내를 소정의 온도 및 소정의 압력으로 유지한 상태에서 소정 시간(예컨대 5분 내지 120분) 열처리를 수행한다. 소정의 시간이 경과하면, 적어도 제1 가열부(320)로의 전력 공급을 정지한다. 예컨대 열처리 공정은 약 800℃의 온도, 53,200Pa의 압력 분위기 하에서 30분간을 수행하면 좋다.
열처리 공정에서는 서브 히터(210a, 210d, 210e), 액화 방지 히터(280), 이그저스트 튜브 히터(411)로의 전력 공급은 정지해도 좋다. 이 때 각 히터로의 전력 공급을 동시에 정지로 해도 좋고, 각각 다른 타이밍에 정지해도 좋다. 또한 예컨대 열처리 공정에서는 제1 배기관(346) 내에서는 가스가 흐르기 때문에 이그저스트 튜브 히터(411)에는 전력을 공급하고, 서브 히터(210a, 210d, 210e) 및 액화 방지 히터(280)로의 전력 공급을 정지해도 좋다.
열처리를 수행한 경우에는 전술한 바와 같이 강온·대기압 복귀 공정(S60)에서 웨이퍼(12)를 강온시키면서 셔터(359)를 열고 냉각 가스로서의 N2가스를 냉각 가스 공급관(363)으로부터 처리관(360)과 단열 부재(300) 사이의 공간(352) 내에 공급하면 좋다. 이에 의해 공간(352) 내에 설치되는 처리관(360) 및 제1 가열부(320)를 보다 단시간에 냉각할 수 있다. 그 결과, 다음 개질 처리 공정(S40)의 시작 시간을 앞당길 수 있어 스루풋을 향상시킬 수 있다.
이와 같이 열처리 공정을 수행하는 것에 의해 개질 처리 공정(S40)에서 완전히 산화하지 못한 실리콘 함유막 중의 성분을 산화시킬 수 있다. 즉 열처리 공정을 실시하는 것에 의해 예컨대 웨이퍼(12)의 홈 내의 최심부(最深部)에 존재하는 실리콘 함유막 중의 불순물인 질소나 수소, 그 외의 불순물을 제거할 수 있다. 따라서 실리콘 산화막의 막질을 한층 더 향상시킬 수 있다. 즉 실리콘 함유막을 충분히 산화, 치밀화, 경화시킬 수 있다. 그 결과, 실리콘 산화막은 절연막으로서 양호한 WER(웨이퍼 에칭 레이트)특성을 얻을 수 있다. 또한 WER은 최종 어닐링 온도 의존성이 높고, 고온일수록 WER특성이 향상한다.
또한 예컨대 전술한 기판 반출 공정(S70) 다음에 처리관(360) 내의 클리닝을 수행하는 클리닝 공정을 실시해도 좋다. 클리닝 공정을 수행하는 것에 의해 처리관(360), 보트(14), 제1 배기관(346) 등에 잔류하는 불순물을 제거할 수 있어, 처리관(360) 내에 설치되는 부재의 부식을 방지할 수 있다.
전술한 실시 형태에서는 처리액과 접촉하는 구성 부재[예컨대 처리액 공급관(340a)의 내면이나 보트(14)의 지주(14a) 등]를 처리액과 반응성이 낮은 재료로 구성하였지만, 이에 한정되지 않는다. 그 외에 처리액과 접촉하는 구성 부재를 금속 재료로 구성하고, 금속 재료에 처리액과 반응성이 낮은 재료로 이루어지는 피막을 형성해도 좋다. 예컨대 처리액과 접촉하는 구성 부재를 금속 재료인 알루미늄이나, 알루마이트(Al2O3), 스텐레스 강철로 형성한 경우, 금속 재료에 크롬 산화막을 형성하면 좋다. 또한 처리액과 접촉하는 구성 부재 중 가열되지 않는 구성 부재에 대해서는 처리액과 반응성이 낮은 재료로서 플라스틱 등을 이용하여 구성해도 좋다.
전술한 실시 형태에서는 기화부(342)가 보트(14)의 지주(14a)에 의해 지지되도록 설치되는 경우를 예로 들어 설명하였지만, 이에 한정되지 않는다. 즉 예컨대 기화부(342)는 처리관(360)의 내부이며 처리관(360)의 상부에 설치되어도 좋다.
전술한 실시 형태에서는 지주(14a)와 기판 재치부(14b)가 각각 독립한 구성인 경우에 대하여 설명하였지만, 이에 한정되지 않는다. 즉 지주(14a)와 기판 재치부(14b)는 일체로 성형되어도 좋다. 예컨대 지주(14a)에 기판 재치부(14b)로서의 홈을 설치하고, 이 홈에서 웨이퍼(12)를 지지하도록 구성해도 좋다.
전술한 실시 형태에서는 웨이퍼(12) 상에 형성되는 실리콘 함유막으로서 예컨대 폴리실라잔을 함유하는 경우에 대하여 설명하였지만, 이에 한정되지 않는다. 즉 예컨대 과산화수소수 등의 산화제 용액을 이용하여 산화시킬 수 있는 막이 웨이퍼(12) 상에 형성되면 좋다. 예컨대 트리실릴아민(TSA)이나 암모니아의 플라즈마 중합막을 이용해도 좋다.
전술한 실시 형태에서는 예컨대 폴리실라잔(SiH2NH) 등의 실리콘 재료를 크실렌(C8H10) 등의 용매에 용해한 용액(실리콘 함유 재료)을 이용하여 웨이퍼(12) 상에 실리콘 함유막인 폴리실라잔 막을 성막하였지만, 이에 한정되지 않는다. 그 외에 실리콘 재료로서 예컨대 헥사메틸디실라잔(HMDS), 헥사메틸시클로트리실라잔(HMCTS), 폴리카르보실라잔, 폴리오르가노실라잔, 트리실릴아민(TSA) 등을 이용해도 좋다. 또한 용매로서 톨루엔(C6H5CH3), 디부틸에테르(C8H18O) 등의 유기 용매를 이용해도 좋다.
전술한 실시 형태에서는 웨이퍼(12) 상에 실리콘 함유막으로서의 폴리실라잔 막을 스핀 코터 장치에 의해 폴리실라잔을 포함하는 용액을 웨이퍼(12) 상에 도포하는 것에 의해 성막(형성)하였지만, 이에 한정되지 않는다. 그 외에 예컨대 모노실란(SiH4) 가스 또는 트리실릴아민(TSA) 가스 등의 실리콘(Si) 원료를 이용한 CVD(Chemical Vapor Deposition)법에 의해 웨이퍼(12) 상에 실리콘 함유막을 성막해도 좋다. 또한 예컨대 폴리실리콘 막 등의 실리콘 함유막이 미리 형성된 웨이퍼(12)를 이용해도 좋다.
전술한 실시 형태에서는 처리액 공급 노즐(339)이나 처리액 공급관(340a)과 제1 배기관(346)을 대향하는 위치에 설치하였지만, 이에 한정되지 않는다. 예컨대 처리액 공급 노즐(339)이나 처리액 공급관(340a)과 제1 배기관(346)을 같은 측에 설치해도 좋다. 이에 의해 기판 처리 장치(10)의 공간 절약화를 도모할 수 있다. 또한 처리액 공급 노즐(339)이나 처리액 공급관(340a)과, 제1 배기관(346)이 근접하여 배치되기 때문에 메인터넌스에 걸리는 시간을 단축할 수 있어 스루풋을 향상시킬 수 있다.
전술한 실시 형태에서는 서브 히터(210a, 210d, 210e)와, 액화 방지 히터(280)와, 이그저스트 튜브 히터(411)는 각각 컨트롤러(500)에 전기적으로 접속하고, 컨트롤러(500)는 서브 히터(210a, 210d, 210e), 액화 방지 히터(280) 및 이그저스트 튜브 히터(411)에 각각 접속된 온도 센서가 검출한 온도 정보에 기초하여 서브 히터(210a, 210d, 210e), 액화 방지 히터(280) 및 이그저스트 튜브 히터(411)로의 공급 전력을 제어하도록 구성하였지만, 이에 한정되지 않는다. 예컨대 서브 히터(210a, 210d, 210e)와, 액화 방지 히터(280)와, 이그저스트 튜브 히터(411)의 온도가 소정의 온도가 되도록 제어하는 액화 방지 제어부로서의 액화 방지 제어 장치가 설치되어도 좋다.
액화 방지 제어 장치에는 액화 방지 히터(280)와 이그저스트 튜브 히터(411)와 서브 히터(210a, 210d, 210e)의 온도를 검출하는 온도 검출기가 설치된다. 온도 검출기는 예컨대 시스 타입의 열전대로 구성된다. 온도 검출기가 검출한 온도에 기초하여 액화 방지 히터(280)와 이그저스트 튜브 히터(411)와 서브 히터(210a, 210d, 210e)로의 전력 공급량이 제어된다. 예컨대 서브 히터(210a, 210d, 210e), 액화 방지 히터(280), 이그저스트 튜브 히터(411)의 온도가 100℃ 이하가 되었을 때에 각 히터에 전력을 공급하고, 각 히터의 온도가 300℃ 이상이 되었을 때에 각 히터로의 전력의 공급을 정지하는 제어(ON/OFF 제어)가 수행된다. 또한 예컨대PID(Proportinal Integral Differential)제어와 같은 피드백 제어를 수행하고, 서브 히터(210a, 210d, 210e), 액화 방지 히터(280), 이그저스트 튜브 히터(411)가 각각 소정의 온도(예컨대 200℃)를 유지하도록 각 히터로의 전력 공급의 제어를 수행해도 좋다. 또한 예컨대 액화 방지 히터(280)는 적어도 개질 처리 공정(S30)을 수행하는 동안에는 전술한 ON/OFF 제어를 수행하고, 처리실(30)에 웨이퍼(12)가 수용되지 않을 때나, 웨이퍼(12)에 400℃ 이상의 처리가 수행될 때에는 액화 방지 히터(280)로의 전력의 공급을 정지하도록 제어해도 좋다.
또한 예컨대 씰 캡(344) 상에는 처리액이나, 처리액의 기화 가스, 처리액의 기화 가스가 재액화한 액체 등(이하, 처리액 등이라고도 부른다)으로부터 씰 캡(344)을 보호하는 씰 캡 보호부가 설치되어도 좋다. 씰 캡 보호부는 예컨대 석영(SiO2) 등의 비금속 재료의 처리액 등과 반응하기 어려운 재료로 구성된다. 처리관(360)의 하단과 씰 캡 보호부와 씰 캡(344) 사이에는 각각 처리실(30) 내의 기밀을 유지하기 위한 O링이 설치되면 좋다. 씰 캡(344)이나, 씰 캡 보호부에는 씰 캡(344)이나 씰 캡 보호부를 냉각하는 냉각수가 흐르는 냉각 유로가 설치되어도 좋다. 이에 의해 제1 내지 제4 히터 유닛(320a 내지 320d)이나 액화 방지 히터(280)로부터 방출되는 열에 의해 씰 캡(344)이 변형하거나 O링이 열화하는 것을 억제할 수 있다. 씰 캡(344)이나 씰 캡 보호부가 냉각되는 것에 의해 씰 캡(344)의 표면에서 처리액의 기화 가스의 재액화가 발생하는 경우에는 씰 캡 보호부 상에 열전도부를 설치하여 씰 캡 보호부의 표면을 용이하게 가열할 수 있도록 해도 좋다. 열전도부는 예컨대 탄화실리콘(SiC), 산화알루미늄(AlO), 질화알루미늄(AlN), 질화붕소(BN), 질화실리콘(SiN), 산화지르코늄(ZrO)이나, 그라파이트나 글래시카본 등의 탄소 재료 등의 열전도성이 좋은 비금속 재료 등, 보트(14)와 같은 구성 재료를 이용하여 형성되면 좋다. 열전도부에는 특히 열전도율이 5W/mK 이상인 구성 재료가 이용되면 좋다. 또한 열전도부는 처리액의 기화 가스와 접촉하는 경우가 있다. 따라서 열전도부는 처리액(처리액의 기화 가스)과 반응하지 않는 재료로 구성되면 더 좋다. 또한 열전도부를 전도성을 가지는 부재로 구성하고, 열전도부에 통전하는 것에 의해 열전도부가 자기 발열하도록 구성해도 좋다. 또한 열전도부에 포러스[다공(多孔)]구조를 설치하는 것에 의해 증발 면적을 늘리도록 해도 좋다.
<본 발명의 또 다른 실시 형태>
전술한 실시 형태에서는 처리액을 처리관(360) 내에 공급하여 처리관(360) 내에서처리액을 증발, 기화시켜서 처리액의 기화 가스를 생성하는 경우에 대하여 설명하였지만, 본 발명은 이에 한정되지 않는다. 예컨대 처리액을 처리관(360) 외에서 기화시켜 처리관(360) 내에 처리액의 기화 가스를 공급해도 좋다. 또한 본 실시 형태에서는 처리액으로서 과산화수소수를 이용하는 경우를 예에 설명한다.
도 6은 본 발명의 다른 실시 형태에 따른 기판 처리 장치(10A)의 개략 구성도다. 도 7은 본 실시 형태에 따른 기판 처리 장치(10A)가 구비하는 과수증기 발생 장치의 종단면 개략도다. 본 실시 형태에서는 공급부의 구성 외에는 전술한 실시 형태와 마찬가지로 구성된다. 따라서 전술한 실시 형태와 마찬가지의 구성에 대해서는 설명을 생략한다.
(공급부)
도 6에 도시하는 바와 같이 처리관(360)에는 가스 공급 노즐(339A)이 처리관(360)의 하부를 관통하도록 설치된다. 가스 공급 노즐(339A)은 처리관(360)과 보트(14) 사이의 공간에 처리관(360)의 내벽의 하부로부터 상부에 따라 웨이퍼(12)의 적재 방향 상방을 향하여 상승[立上]하도록 설치된다.
가스 공급 노즐(339A)의 수직부 측면에는 처리관(360) 내에 가스를 공급하는 공급공(341A)이 설치된다. 이 공급공(341A)은 웨이퍼(12)가 적층되는 방향(연직 방향)을 따라 각각 복수 개씩 웨이퍼(12)의 중심을 향하여 개구된다. 가스 공급공(341A)은 각각 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다. 또한 가스 공급공(341A)의 개구 지름은 처리관(360) 내의 가스의 유량 분포나 속도 분포를 적정화하도록 하부로부터 상부에 걸쳐서 서서히 크게 하는 등 해도 좋다.
[과수증기 공급부]
가스 공급 노즐(339A)의 상류단에는 과수증기 공급관(340f)의 하류단이 접속된다. 과수증기 공급관(340f)에는 과수증기 발생 장치(220) 및 개폐 밸브인 밸브(221)가 설치된다. 또한 과수증기 공급관(340f)의 적어도 과수증기 발생 장치(220)보다 하류측에는 예컨대 인렛 튜브 히터 등의 서브 히터(210f)가 설치된다. 서브 히터(210f)는 과수증기 발생 장치(220)로 발생시킨 과산화수소수의 기화 가스가 과수증기 공급관(340f)의 내부에서 재액화(결로가 발생)하지 않도록 과수증기 공급관(340f)을 소정의 온도(예컨대 50℃ 내지 300℃)로 가열하도록 구성된다.
과수증기 공급관(340f)의 과산화수소수 또는 과산화수소수의 기화 가스와 접촉하는 개소, 즉 과수증기 공급관(340f)의 내면은 과산화수소수 또는 과산화수소수의 기화 가스와 반응성이 낮은 재료로 구성되면 좋다. 과수증기 공급관(340f)의 내면은 예컨대 테프론(등록 상표)이나, 석영, 산화알루미늄(Al2O3), 질화알루미늄(AlN), 탄화실리콘(SiC) 등의 세라믹스 등 중 적어도 어느 하나를 함유하는 재료로 구성되면 좋다. 이에 의해 과수증기 공급관(340f)의 부식을 억제할 수 있다. 또한 과수증기 공급관(340f)으로서 금속 부재가 이용된 경우, 과수증기 공급관(340f)의 내면에는 금속 부재와 과산화수소수 또는 과산화수소수의 기화 가스가 반응하는 것을 방지하는 반응 방지 피막을 설치하면 좋다. 반응 방지 피막은 예컨대 테프론(등록 상표) 등의 처리액의 기화 가스와 반응성이 낮은 재료로 구성되면 좋다.
과수증기 공급관(340f)으로부터는 과수증기 발생 장치(220)로 발생시킨 과산화수소수의 기화 가스가 밸브(222), 가스 공급 노즐(339A), 공급공(341A)을 개재하여 처리관(360) 내에 공급된다.
과수증기 발생 장치(220)에는 과산화수소수를 공급하는 과산화수소수 공급관(340g)이 접속된다. 과산화수소수 공급관(340g)에는 상류측부터 순서대로 과산화수소수 공급원(211g), 액체용 매스 플로우 컨트롤러(221) 및 개폐 밸브인 밸브(213g)가 설치된다. 과산화수소수 공급관(340g)으로부터는 과산화수소수가 액체용 매스 플로우 컨트롤러(221), 밸브(213g)를 개재하여 과수증기 발생 장치(220) 내에 공급된다.
주로 과수증기 공급관(340f), 밸브(221), 가스 공급 노즐(339A)에 의해 과수증기 공급부가 구성된다. 또한 과수증기 발생 장치(220)를 과수증기 공급부에 포함시켜서 생각해도 좋다. 또한 주로 액체용 매스 플로우 컨트롤러(221) 및 밸브(213g)에 의해 과산화수소수 공급부가 구성된다. 또한 과산화수소수 공급원(211g)을 과산화수소수 공급부에 포함시켜서 생각해도 좋다. 또한 과산화수소수 공급부를 과수증기 공급부에 포함시켜서 생각해도 좋다.
[불활성 가스 공급부]
과수증기 공급관(340f)의 과수증기 발생 장치(220)와 밸브(209) 사이에는 불활성 가스 공급관(340h)의 하류단이 접속된다. 불활성 가스 공급관(340h)에는 상류 방향부터 순서대로 불활성 가스 공급원(211h), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(212h))(MFC) 및 개폐 밸브인 밸브(213h)가 설치된다.
불활성 가스 공급관(340h)으로부터는 불활성 가스가 매스 플로우 컨트롤러(212h), 밸브(213h), 과수증기 공급관(340f) 및 가스 공급 노즐(339A)을 개재하여 처리관(360) 내에 공급된다. 불활성 가스로서는 예컨대 질소(N2) 가스나, He가스, Ne가스, Ar가스 등의 희가스를 이용할 수 있다.
주로 불활성 가스 공급관(340h), 매스 플로우 컨트롤러(212h) 및 밸브(213h)에 의해 불활성 가스 공급부가 구성된다. 또한 불활성 가스 공급원(211h)이나, 과수증기 공급관(340f), 가스 공급 노즐(339A)을 불활성 가스 공급부에 포함시켜서 생각해도 좋다.
또한 주로 과수증기 공급부와 불활성 가스 공급부에 의해 본 실시 형태에 따른 공급부가 구성된다.
(과수증기 발생 장치)
다음으로 과수증기 발생 장치(220)의 구성에 대하여 도 7을 이용하여 설명한다. 도 7에 도시하는 바와 같이 과수증기 발생 장치(220)는 처리액인 과산화수소수를 가열 기구에 의해 가열한 부재에 적하하는 것에 의해 처리액인 과산화수소수를 기화시키는 적하법을 이용한다.
과수증기 발생 장치(220)는 처리 공간(223)(기화 공간)을 구성하는 기화 용기(224)를 구비한다. 기화 용기(224)의 구성 재료로서는 처리액인 과산화수소수와 반응성이 낮은 예컨대 석영이나 탄화실리콘 등을 이용하면 좋다. 기화 용기(224) 내의 온도는 기화 용기(224) 내에 공급된 과산화수소수의 온도나, 과산화수소수의 기화열에 의해 저하하는 경우가 있다. 따라서 기화 용기(224) 내의 온도의 저하를 방지하기 위해서 기화 용기(224)의 구성 재료로서 열전도율이 높은 탄화실리콘이 이용되면 보다 바람직하다.
기화 용기(224)의 외측에는 기화 용기 223의 측벽면 및 저면을 둘러싸도록 기화 용기(224)를 가열하는 가열부로서의 기화기 히터(225)가 설치된다.
즉 기화 용기(224)는 기화 용기(224) 내에 공급된 과산화수소수가 기화 용기(224)의 내벽에 도달함과 동시에(순시에) 기화할 수 있는 온도로 기화기 히터(225)에 의해 가열되도록 구성된다. 기화 용기(224)의 저부 중앙에는 기화 용기(224) 내의 온도를 측정하는 예컨대 열전대 등의 온도 센서(226)가 설치된다. 온도 센서(226)에는 컨트롤러(500)가 전기적으로 접속된다. 컨트롤러(500)는 온도 센서(226)에 의해 검출된 온도 정보에 기초하여 기화 용기(224) 내가 소정의 온도가 되도록 기화기 히터(225)로의 공급 전력을 제어하도록 구성된다.
기화 용기(224)의 상부에는 과산화수소수를 기화 공간(223) 내에 공급하는 적하 노즐(229)이 설치된다. 적하 노즐(229)의 상류단은 과산화수소수 공급관(340g)의 하류단에 접속된다.
또한 기화 용기(224)의 상부에는 배기구(227)가 설치된다. 배기구(227)에는 과수증기 공급관(340f)의 상류단이 접속된다. 즉 기화 용기(224) 내에서 생성된 과산화수소수의 기화 가스(과산화수소수의 수증기)는 배기구(227), 과수증기 공급관(340f), 가스 공급 노즐(341A)을 개재하여 처리관(360) 내에 공급되도록 구성된다.
기화 용기(224)의 주위에는 기화기 히터(225)로부터의 열이 예컨대 기판 처리 장치(10A)의 다른 구성 부재 등에 전달되기 어렵도록 단열재(228)가 설치된다. 또한 단열재(228)가 설치되는 것에 의해 기화기 히터(225)에 의한 기화 용기(224) 내의 가열 효율도 향상시킬 수 있다.
다음으로 기판 처리 장치(10A)를 이용하여 예컨대 웨이퍼(12) 상에 형성된 실리콘 함유막을 실리콘 산화막으로 개질하는 개질 처리 공정을 포함하는 기판 처리 공정에 대하여 설명한다. 또한 본 실시 형태에 따른 기판 처리 공정은 개질 처리 공정(S40) 외는 전술한 기판 처리 공정과 마찬가지이다. 따라서 전술한 실시 형태와 마찬가지의 구성에 대해서는 설명을 생략한다.
<개질 처리 공정(S40)>
웨이퍼(12)를 가열하여 소정의 온도에 달하고 보트(14)가 소정의 회전 속도에 도달하면, 과수증기 공급관(340f)으로부터 처리관(360) 내로의 과산화수소수의 기화 가스의 공급을 시작한다. 즉 밸브(213g)를 열고 적하 노즐(229)을 개재하여 과산화수소수 공급원(211f)으로부터 과수증기 발생 장치(220)가 구비하는 기화 용기(224) 내에 과산화수소수를 액체용 매스 플로우 컨트롤러(221)에 의해 유량 제어하면서 공급한다. 이 때 기화 용기(224) 내가 소정의 온도(예컨대 150℃ 내지 170℃)가 되도록 기화기 히터(225)에 의해 미리 가열된다. 이에 의해 기화 용기(224) 내에 공급된 과산화수소수가 기화 용기(224) 내의 저벽 등의 내벽에 접촉하면, 과산화수소수는 순시에 가열되어 증발, 기화하고, 과산화수소수의 기화 가스를 생성할 수 있다.
밸브(213g)를 엶과 동시에 밸브(222)를 열고, 기화 용기(224) 내에서 생성한 과산화수소수의 기화 가스를 과수증기 공급관(340f)으로부터 가스 공급 노즐(339A), 공급공(341A)을 개재하여 처리관(360)[처리실(30)] 내에 공급한다. 그리고 과산화수소수의 기화 가스를 처리관(360) 내에 수용한 웨이퍼(12)에 공급한다. 웨이퍼(12)에 공급한 과산화수소수의 기화 가스가 웨이퍼(200)의 표면과 산화 반응하고, 웨이퍼(12) 상에 형성된 실리콘 함유막을 실리콘 산화막(SiO막)으로 개질한다.
소정 시간이 경과한 후, 밸브(213g)를 닫고 과수증기 발생 장치(220) 내로의 과산화수소수의 공급을 정지한다. 이와 병행하여 밸브(222)를 닫고 처리관(360) 내로의 과산화수소수의 기화 가스의 공급을 정지한다.
이와 같이 처리관(360) 외에서 처리액인 과산화수소수의 기화 가스를 생성하고, 과산화수소수의 기화 가스를 처리관(360) 내에 공급하는 구성이어도 예컨대 웨이퍼(12)에 형성된 미세 구조의 홈의 저부에 형성된 실리콘 함유막까지 산소 원자를 공급할 수 있다. 따라서 웨이퍼(12)에 형성된 실리콘 함유막에 균일한 산화 처리를 수행할 수 있고, 웨이퍼(12) 상에 형성되는 산화막을 고품질의 치밀한 막으로 할 수 있다.
또한 전술한 실시 형태에서는 과수증기 발생 장치(220)에 과산화수소수를 공급하고, 과수증기 발생 장치(220)로 과산화수소수의 기화 가스를 생성하는 경우에 대하여 설명하였지만, 이에 한정되지 않는다. 예컨대 과수증기 발생 장치(220)에 오존(O3)을 포함하는 액체나, 물(H2O) 등을 공급하고, 과수증기 발생 장치(220)로 오존을 포함하는 기화 가스나, 수증기 등을 생성하여 처리관(360) 내에 공급해도 좋다.
<본 발명의 또한 다른 실시 형태>
전술한 실시 형태에서는 종형의 처리실(30)을 구비하는 기판 처리 장치에 대하여 설명하였지만, 이에 한정되지 않고, 다른 장치를 이용해도 실시 가능하다. 이하, 매엽식(枚葉式)의 처리실을 구비하는 기판 처리 장치에 대하여 도 8을 이용하여 설명한다. 도 8은 본 실시 형태에 따른 기판 처리 장치(10B)가 구비하는 처리실(600)의 종단면 개략도다.
도 8에 도시하는 바와 같이 처리실(600)을 구성하는 처리 용기(612)는 돔측의 상측 용기(613)와, 공기형의 하측 용기(614)를 구비한다. 그리고 상측 용기(613)가 하측 용기(614) 상에 피복되는 것에 의해 처리실(600)이 형성된다. 처리 용기(612)에는 예컨대 과산화수소수의 기화 가스 등의 처리액의 기화 가스가 처리 용기(612) 내에서 재액화(결로)하지 않도록 처리 용기(612) 내를 적절한 온도로 가열하는 가열 기구가 설치되어도 좋다. 예컨대 저항 가열형의 히터를 처리 용기(612)의 외측에 밀착시키는 것에 의해 처리 용기(612) 내를 가열하거나, 온도 제어 밑 액체나 가스를 처리 용기(612)에 설치한 유로에 흘리는 것에 의해 처리 용기(612) 내를 가열해도 좋다.
하측 용기(614)의 측벽에는 게이트 밸브로서의 게이트 밸브(606)가 설치된다. 처리실(600)은 게이트 밸브(606)를 개재하여 기판 처리 장치(10B)가 구비하는 반송실과 연통(連通) 가능하도록 설치된다. 게이트 밸브(606)가 열리면, 반송 로봇으로서의 반송 암에 의해 처리실(600) 내에 웨이퍼(12)를 반입하고, 또는 처리실(600)외로 웨이퍼(12)를 반출할 수 있도록 구성된다. 그리고 게이트 밸브(606)를 닫는 것에 의해 처리실(600) 내를 기밀하게 할 수 있도록 구성된다.
처리실(600) 내의 저부 중앙에는 웨이퍼(12)를 지지하는 서셉터(608)가 배치된다. 서셉터(608)의 웨이퍼(12)의 지지면에는 복수의 작은 돌기(608a)가 설치된다. 이에 의해 서셉터(608)와 접촉하는 웨이퍼(12)의 면적을 저감할 수 있다. 서셉터(608)는 웨이퍼(12)의 금속 오염을 저감할 수 있도록 비금속 재료로 형성된다. 적어도 서셉터(608)의 표면은 탄화실리콘으로 이루어지는 피막으로 피복되면 좋다. 이에 의해 예컨대 과산화수소수 등의 처리액의 기화 가스가 서셉터(608)와 접촉하였을 때, 서셉터(608)가 열화되는 것을 억제할 수 있다.
서셉터(608)에는 복수의 관통공이 설치된다. 하측 용기(613)의 저면의 관통공에 대응하는 위치에는 웨이퍼(12)를 승강시켜서 웨이퍼(12)의 이면을 지지하는 복수의 지지 핀(607)이 설치된다. 반송 암에 의해 처리실(600) 내에 반입된 웨이퍼(12)는 지지 핀(607) 상에 재치된다. 그리고 서셉터(608)를 상승시키면, 웨이퍼(12)를 서셉터(608)의 상면에 배치할 수 있다.
서셉터(608)의 내부에는 가열 기구로서의 히터가 일체적으로 매립되고, 웨이퍼(12)를 가열할 수 있도록 구성된다. 히터에 전력이 공급되면, 웨이퍼(12)의 표면이 소정 온도까지 가열되도록 구성된다.
상측 용기(613)의 상부에는 상측 용기(613)의 상면에 개설된 개구(615, 616)을 개재하여 처리액의 기화 가스 공급부 및 불활성 가스 공급부가 각각 기밀하게 설치된다. 즉 개구(615)에는 기화 가스 공급관(617)의 하류단이 기밀하게 설치된다. 또한 개구(616)에는 불활성 가스 공급관(618)의 하류단이 기밀하게 설치된다.
처리 가스 공급관(616)에는 상류측부터 순서대로 처리액의 기화 가스를 생성하는 가스 발생기(603), 유량 제어 장치로서의 매스 플로우 컨트롤러(619) 및 개폐 밸브인 밸브(604)가 설치된다. 처리 가스 공급관(616)으로부터는 가스 발생기(603)에 의해 생성된 예컨대 처리액인 과산화수소수나 수증기 등을 기화시킨 기화 가스(처리액의 기화 가스)가 매스 플로우 컨트롤러(619), 밸브(604), 개구(615)를 개재하여 처리실(600) 내에 공급된다.
주로 기화 가스 공급관(617), 매스 플로우 컨트롤러(619) 및 밸브(604)에 의해 처리액의 기화 가스 공급부가 구성된다. 또한 가스 발생기(603), 개구(615)를 기화 가스 공급부에 포함시켜서 생각해도 좋다.
불활성 가스 공급관(618)에는 상류측부터 순서대로 불활성 가스 공급원(620), 유량 제어 장치로서의 매스 플로우 컨트롤러(601) 및 개폐 밸브인 밸브(602)가 설치된다. 불활성 가스 공급관(618)으로부터는 예컨대 질소(N2) 가스 등의 불활성 가스가 매스 플로우 컨트롤러(601), 밸브(602) 및 개구(616)를 개재하여 처리실(600) 내에 공급된다. 불활성 가스로서는 질소 가스 외에 예컨대 He가스나, Ne가스, Ar가스 등의 희가스를 이용할 수 있다.
또한 본 실시 형태에서는 처리 가스 공급관(616)으로부터 처리액의 기화 가스를 처리실(600) 내에 공급할 때, 이와 병행하여 포밍 가스로서의 질소(N2) 가스나 Ar가스 등을 처리실(600) 내에 공급할 수 있다.
주로 불활성 가스 공급관(618), 매스 플로우 컨트롤러(601) 및 밸브(602)에 의해 불활성 가스 공급부가 구성된다. 또한 불활성 가스 공급원(620), 개구(616)를 불활성 가스 공급부에 포함시켜서 생각해도 좋다.
처리실(600) 내의 상부에는 처리실(600) 내에 공급된 처리액의 기화 가스나 불활성 가스를 처리실(600) 내에 분산시키는 가스 분산판(605)이 설치된다. 이에 의해 웨이퍼(12)에 면내 균일에 처리액의 기화 가스를 공급할 수 있다. 또한 가스 분산판(605)은 처리 조건에 따라서는 설치되지 않아도 좋다.
처리 용기(612)에는 처리실(600) 내의 분위기를 배기하는 배기관(621)의 상류단이 접속된다. 배기관(621)에는 상류 방향부터 순서대로 개폐 밸브인 밸브(622), 압력 조정기로서의 APC밸브(611) 및 진공 배기 장치로서의 진공 펌프(609)가 설치된다. 배기관(621)은 진공 펌프(609)에 의해 처리실(600) 내의 압력이 소정의 압력이 되도록 진공 배기할 수 있도록 구성된다. 또한 처리 용기(612)에는 처리실(600) 내의 압력을 검출하는 압력 검출부로서의 압력계(610)(압력 센서)가 밸브(623)를 개재하여 접속된다. 압력계(610), APC밸브(611)에는 컨트롤러(500)가 전기적으로 접속된다. 컨트롤러는 처리실(600) 내의 압력이 소정의 타이밍에 소정의 압력이 되도록 압력계(610)로 검출된 압력 정보에 기초하여 APC밸브(611)의 개도를 제어하도록 구성된다.
이와 같이 처리관(360) 외에서 처리액인 과산화수소수의 기화 가스를 생성하고, 과산화수소수의 기화 가스를 처리관(360) 내에 공급하는 구성이어도 웨이퍼(12) 상에 형성된 막에 균일하게 산소 원자를 공급할 수 있다. 따라서 웨이퍼(12) 상에 형성되는 산화막의 막질을 향상시킬 수 있다.
<본 발명의 또 다른 실시 형태>
전술한 실시 형태에서는 웨이퍼(12)를 처리하는 반도체 장치의 제조 공정으로서 웨이퍼(12)에 형성된 미세한 홈에 절연막인 산화막을 형성하는 공정을 예로 들어 설명하였지만, 이에 한정되지 않는다. 그 외에 예컨대 반도체 장치 기판의 층간 절연막을 형성하는 공정이나, 반도체 장치의 봉지 공정 등에도 적용 가능하다.
또한 전술한 실시 형태에서는 반도체 장치의 제조 공정에 대하여 설명하였지만, 이에 한정되지 않는다. 그 외에 예컨대 액정 디바이스의 제조 공정에서의 액정을 포함하는 기판의 봉지 처리나, 각종 디바이스에 사용되는 유리 기판이나 세라믹 기판으로의 방수 코팅 처리에도 적용 가능하다. 또한 거울에서의 방수 코팅 처리 등에도 적용 가능하다.
[실시예]
다음으로 본 발명의 실시예를 도 9를 참조하면서 설명한다. 도 9에 석영(SiO), 탄화실리콘(SiC), 산화알루미늄(AlO)의 물성값을 도시한다.
우선 대기압 환경에서 처리액인 물의 비등점 이상의 온도로 설정한 히터 상에 석영의 판을 설치하였다. 그리고 석영의 판의 표면에 열전대를 접촉시켜서 석영의 온도가 물의 비등점 이상으로 가열된다는 것을 확인하였다. 계속해서 석영의 판에 물의 액적(液滴)을 적하하였다. 이 때 물의 액적이 열전대의 선단(先端)에 접촉하도록 적하하였다. 그리고 석영의 판의 표면의 온도(열전대가 나타내는 온도)의 시간 변화를 계측하였다. 물의 액적의 적하를 시작한 당초에는 물의 액적은 지극히 단시간에 비등하였다. 즉 물의 액적은 지극히 단시간에 증발, 기화되어 수증기가 되었다. 그 후, 물의 액적의 적하를 계속하고, 시간이 경과함에 따라 물의 액적은 비등하지 않고(증발하지 않고), 물의 액적이 석영의 판 상에서 서서히 건조해지는 상태가 확인되었다. 이 때 물의 액적의 적하 시작 당초는 석영의 판의 표면의 온도가 100℃(물의 비등점)를 초과하였지만, 물의 액적이 비등하지 않게 된 것에 추종하여 석영의 판의 표면의 온도가 70℃ 정도가 된다는 것이 확인되었다. 그리고 물의 액적이 완전히 증발하여 석영의 판의 표면에서 없어지면, 석영은 히터에 의해 가열되어 석영의 판의 표면의 온도가 100℃ 이상으로 복귀하는 것이 확인되었다. 즉 석영의 판은 물(처리액)이 포함하는 증발 잠열에 의해 냉각되는 것이 확인되었다.
다음으로 석영의 판 대신에 탄화실리콘의 판을 히터 상에 설치하여 마찬가지의 실험을 실시하였다. 탄화실리콘의 판도, 석영의 판과 마찬가지로 물의 액적의 적하와 함께 증발 잠열에 의한 온도 저하가 발생하지만, 석영의 판에 비해 신속하게 물의 액적을 증발시킬 수 있다는 것이 확인되었다. 그 결과, 탄화실리콘의 판은 온도 저하가 발생해도 석영의 판에 비해 온도 회복이 빠르다는 것이 확인되었다. 이는 도 9에 도시하는 바와 같이 탄화실리콘은 석영보다 열전도율이 2자리 더 높기 때문이다.
따라서 기화부(342)나 보트(14) 등의 처리관(360) 내에 배설되는 구성 부재로서 열전도율이 높은 재료로서 탄화실리콘을 채택하는 것이 바람직하다는 것이 확인되었다. 이에 의해 처리액의 기화 가스가 처리관(360) 내에서 재액화되는 것을 억제할 수 있다.
도 9에 도시하는 바와 같이 석영이나 산화알루미늄은 탄화실리콘에 비하면 열전도율이 낮다. 그렇기 때문에 석영이나 산화알루미늄을 이용하여 형성한 처리관(360) 내에 배설되는 구성 부재는 처리액의 증발 잠열로 처리액의 비등점 이하로 냉각되는 경우가 있다. 그 결과, 처리액의 기화율이 저하하는 경우가 있을 것으로 생각된다. 또한 처리액의 기화 가스가 냉각된 처리관(360) 내에 배설되는 구성 부재에 접촉하면, 처리액의 기화 가스가 재액화하는 경우가 있을 것으로 생각된다.
이에 대하여 처리관(360) 내에 배설되는 구성 부재를 예컨대 석영에 의해 형성한 경우, 이와 같은 구성 부재는 처리액의 기화 가스가 포함하는 증발 잠열에 의해 냉각된 후, 온도 회복에 시간이 걸린다. 따라서 이와 같은 구성 부재의 온도는 처리액의 비등점 이하까지 냉각하는 경우가 있을 것으로 생각된다. 그 결과, 구성 부재의 처리액의 비등점 이하까지 냉각된 개소 및 그 주변에서 처리액의 기화 가스의 재액화가 발생하기 쉽다. 특히, 처리액으로서 과산화수소수가 이용되는 경우에서는 과산화수소의 비등점이 물의 비등점보다 높기 때문에 재액화가 발생하기 쉽다. 또한 재액화한 과산화수소수는 처리관(360) 내에 공급되는 과산화수소수에 비해 과산화수소의 농도가 높아 강한 산화성을 가지는 경우가 있다.
또한 석영으로 구성된 부재는 탄화실리콘으로 구성된 부재에 비해 온도 회복에 시간이 걸리기 때문에 처리액의 기화 가스가 재액화되어 발생한 액체를 재기화시키기 어려운 경우가 있을 것으로 생각된다. 이로 인해 처리액의 기화 가스가 재액화하는 것에 의해 발생한 액체가 처리관(360) 내에 잔류하기 쉽고, 처리관(360) 내에 배설되는 구성 부재가 부식되기 쉬울 것으로 생각된다. 또한 처리관(360) 내에서 처리액의 기화 가스가 재액화하지 않도록 처리관(360) 내에 공급하는 처리액의 양을 적게 할 필요성이 발생하는 경우도 있을 것으로 생각된다. 그 결과, 웨이퍼(12)의 처리에 시간이 걸리는 경우가 있을 것으로 생각된다.
<바람직한 형태>
이하에 바람직한 형태에 대하여 부기(附記)한다.
(부기1)
일 형태에 의하면,
기판을 수용하는 처리실;
상기 처리실 내에 처리액을 공급하는 처리액 공급부;
상기 처리실 내에서 상기 처리액을 가열하는 가열부; 및
상기 처리실 내에 설치되어 상기 기판을 지지하는 기판 지지부;
를 구비하는 반도체 장치의 제조 장치가 제공된다.
(부기2)
부기1의 반도체 장치의 제조 장치로서, 바람직하게는,
상기 가열부에 의해 상기 처리액을 가열하고 상기 처리실 내에서 상기 처리액을 증발시키도록 상기 처리액 공급부와 상기 가열부를 제어하는 제어부를 구비한다.
(부기3)
부기1 또는 부기2의 반도체 장치의 제조 장치로서, 바람직하게는,
상기 처리액은 과산화수소를 함유한다.
(부기4)
부기3의 반도체 장치의 제조 장치로서, 바람직하게는,
상기 처리액은 물을 함유한다.
(부기5)
부기1 또는 부기2의 반도체 장치의 제조 장치로서, 바람직하게는,
상기 처리액은 물을 함유한다.
(부기6)
부기1 내지 부기5 중 어느 하나의 반도체 장치의 제조 장치로서, 바람직하게는,
상기 기판에는 실리콘 함유막이 형성된다.
(부기7)
부기6의 반도체 장치의 제조 장치로서, 바람직하게는,
상기 실리콘 함유막은 실라잔 결합을 포함한다.
(부기8)
부기7의 반도체 장치의 제조 장치로서, 바람직하게는,
상기 실라잔 결합을 포함하는 막은 폴리실라잔을 포함한다.
(부기9)
부기8의 반도체 장치의 제조 장치로서, 바람직하게는,
상기 폴리실라잔을 포함하는 막은 폴리실라잔을 포함하는 용액을 상기 기판에 도포하는 또는 실리콘 재료를 이용한 CVD법에 의해 형성된다.
(부기10)
부기1 내지 부기 9 중 어느 하나의 반도체 장치의 제조 장치로서, 바람직하게는,
상기 처리실 내에는 상기 처리액 공급부로부터 상기 처리액이 공급되는 기화부가 설치된다.
(부기11)
부기10의 반도체 장치의 제조 장치로서, 바람직하게는,
상기 기화부는 탄화실리콘을 함유한다.
(부기12)
부기10 또는 부기11의 반도체 장치의 제조 장치로서, 바람직하게는,
상기 기화부는 상기 기판 지지부에 설치된다.
(부기13)
부기12의 반도체 장치의 제조 장치로서, 바람직하게는,
상기 기화부는 상기 기판 지지부에 의해 지지되도록 설치된다.
(부기14)
부기1 내지 부기13 중 어느 하나의 반도체 장치의 제조 장치로서, 바람직하게는,
상기 기판 지지부에는 상기 기판을 복수 매 지지하는 기판 재치부가 설치된다.
(부기15)
부기2의 반도체 장치의 제조 장치로서, 바람직하게는,
상기 처리액은 비등점이 다른 2개 이상의 물질을 포함하고,
상기 제어부는 상기 처리액을 상기 가열부에 공급하기 전의 처리액 농도와 상기 처리액이 증발한 후의 처리액 농도가 같은 농도가 되도록 상기 가열부를 제어한다.
(부기16)
다른 형태에 의하면,
기판을 수용하는 처리실에 처리액 공급부로부터 처리액을 공급하는 처리액 공급 공정; 및
가열부에 의해 상기 처리실 내에서 상기 처리액을 가열하는 처리액 가열 공정;
을 포함하는 반도체 장치의 제조 방법이 제공된다.
(부기17)
부기16의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 처리액 가열 공정에서는 상기 처리실 내에서 상기 처리액을 증발시켜 상기 처리액의 기화 가스를 생성한다.
(부기18)
부기16 또는 부기17의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 처리액 가열 공정에서는 상기 처리실 내에 설치되고 상기 가열부에 의해 가열한 기화부에 상기 처리액을 공급한다.
(부기19)
부기18의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 기화부는 상기 처리실 내에 설치되어 상기 기판을 지지하는 기판 지지부에 설치된다.
(부기20)
부기16 내지 부기19의 반도체 장치의 제조 장치로서, 바람직하게는,
상기 처리액은 과산화수소를 함유한다.
(부기21)
부기16 내지 부기20의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 기판에는 실리콘 함유막이 형성된다.
(부기22)
부기21의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 실리콘 함유막은 실라잔 결합을 포함한다.
(부기23)
부기22의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 실라잔 결합을 포함하는 막은 폴리실라잔을 함유한다.
(부기24)
또 다른 형태에 의하면,
기판을 수용하는 처리실에 처리액 공급부로부터 처리액을 공급하는 처리액 공급 순서; 및
가열부에 의해 상기 처리실 내에서 상기 처리액을 가열하는 처리액 가열 순서;
를 컴퓨터에 실행시키는 프로그램이 제공된다.
(부기25)
또 다른 형태에 의하면,
기판을 수용하는 처리실에 처리액 공급부로부터 처리액을 공급하는 처리액 공급 순서; 및
가열부에 의해 상기 처리실 내에서 상기 처리액을 가열하는 처리액 가열 순서;
를 컴퓨터에 실행시키는 프로그램이 기록된 기록 매체가 제공된다.
(부기26)
또 다른 형태에 의하면,
기판을 수용하는 처리실 내에 처리액을 공급하는 처리액 공급관; 및
상기 처리액 공급관에 설치되고, 상기 처리실 내에 공급하는 상기 처리액의 유량을 제어하는 처리액 유량 제어부;
를 구비하는 처리액 공급 유닛이 제공된다.
(부기27)
부기26의 처리액 공급 유닛으로서, 바람직하게는,
상기 처리액 공급관의 상기 처리액과 접촉하는 면은 상기 처리액과 반응성이 낮은 재료로 구성된다.
(부기28)
부기27의 처리액 공급 유닛으로서, 바람직하게는,
상기 처리액과 반응성이 낮은 재료는 테프론, 석영, 세라믹스 중 어느 하나를 포함한다.
(부기29)
또 다른 형태에 의하면,
기판을 수용하는 처리실 내에 공급되는 처리액을 증발시키는 기화 유닛이 제공된다.
(부기30)
또 다른 형태에 의하면,
기판을 지지하고, 상기 기판을 처리하는 처리액을 증발시키는 기화부를 포함하는 기판지지 유닛이 제공된다.
(부기31)
또 다른 형태에 의하면,
기판을 수용하고, 상기 기판을 처리하는 처리액을 증발시키는 기화부를 포함하는 처리 용기가 제공된다.

Claims (16)

  1. 기판을 수용하는 처리실;
    상기 처리실 내에 처리액을 공급하는 처리액 공급 노즐;
    상기 처리실 내에 설치되어 상기 기판을 지지하는 기판 지지부;
    상기 기판 지지부의 상단에 설치되고, 상기 처리액 공급 노즐로부터 공급된 상기 처리액을 상기 기판 지지부와 동일 공간 내에서 기화시키는 기화부; 및
    상기 기화부를 가열하는 가열부;
    를 구비하는 기판 처리 장치.
  2. 삭제
  3. 제1항에 있어서,
    상기 처리액은 과산화수소를 함유하는 기판 처리 장치.
  4. 제1항에 있어서,
    상기 기판에는 실리콘 함유막이 형성되는 기판 처리 장치.
  5. 삭제
  6. 제1항에 있어서,
    상기 기화부는 상기 기판 지지부의 천판(天板)으로써 설치되는 기판 처리 장치.
  7. 제1항에 있어서,
    상기 처리액은 비등점이 다른 2개 이상의 물질을 포함하고,
    상기 처리액이 상기 기화부에 공급되기 전의 처리액 농도와 상기 처리액이 상기 기화부에서 기화된 후의 처리액 농도가 같은 농도가 되도록 상기 가열부를 제어하는 제어부를 더 포함하는 기판 처리 장치.
  8. 처리실 내에 설치된 기판 지지부에 기판을 재치하는 기판 재치 공정;
    상기 기판 지지부의 상단에 설치된 기화부에 처리액을 공급하는 처리액 공급 공정; 및
    가열된 상기 기화부에 의해, 상기 기판 지지부에 재치된 상기 기판과 동일 공간 내에서 상기 처리액을 기화시켜 상기 처리액의 기화 가스를 생성하는 처리액 기화 공정;
    을 포함하는 반도체 장치의 제조 방법.
  9. 삭제
  10. 삭제
  11. 제8항에 있어서,
    상기 처리액은 과산화수소를 함유하는 반도체 장치의 제조 방법.
  12. 제8항에 있어서,
    상기 기판에는 실리콘 함유막이 형성되는 반도체 장치의 제조 방법.
  13. 제12항에 있어서,
    상기 실리콘 함유막은 폴리실라잔을 함유하는 반도체 장치의 제조 방법.
  14. 처리실 내에 설치된 기판 지지부에 기판을 재치하는 기판 재치 순서;
    기판 지지부의 상단에 설치된 기화부에 처리액을 공급하는 처리액 공급 순서; 및
    상기 기화부를 가열하는 가열부를 제어하고, 가열된 상기 기화부에 의해 상기 기판 지지부에 재치된 상기 기판과 동일 공간 내에서 상기 처리액을 기화시켜, 상기 처리액의 기화 가스를 생성하는 처리액 기화 순서;
    를 컴퓨터에 실행시키는 프로그램이 기록된 기록 매체.
  15. 제1항에 있어서,
    상기 기판 지지부는 상기 기판을 수평 방향으로 보지하는 지주를 구비하고,
    상기 기화부는 상기 지주의 상단에 설치되는 기판 처리 장치.
  16. 제8항에 있어서,
    상기 기판 지지부는 상기 기판을 수평 방향으로 보지하는 지주를 구비하고,
    상기 기화부는 상기 지주의 상단에 설치되는 반도체 장치의 제조 방법.
KR1020147013250A 2011-11-21 2012-11-20 반도체 장치의 제조 장치, 반도체 장치의 제조 방법 및 기록 매체 KR101615584B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011254184 2011-11-21
JPJP-P-2011-254184 2011-11-21
PCT/JP2012/080072 WO2013077321A1 (ja) 2011-11-21 2012-11-20 半導体装置の製造装置、半導体装置の製造方法及び記録媒体

Publications (2)

Publication Number Publication Date
KR20140085516A KR20140085516A (ko) 2014-07-07
KR101615584B1 true KR101615584B1 (ko) 2016-04-26

Family

ID=48469765

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147013250A KR101615584B1 (ko) 2011-11-21 2012-11-20 반도체 장치의 제조 장치, 반도체 장치의 제조 방법 및 기록 매체

Country Status (4)

Country Link
US (1) US9190299B2 (ko)
JP (1) JP6038043B2 (ko)
KR (1) KR101615584B1 (ko)
WO (1) WO2013077321A1 (ko)

Families Citing this family (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5394360B2 (ja) * 2010-03-10 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置およびその冷却方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101750633B1 (ko) * 2012-07-30 2017-06-23 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6068633B2 (ja) * 2013-05-31 2017-01-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び炉口蓋体
JP5809771B2 (ja) 2013-07-31 2015-11-11 株式会社日立国際電気 基板処理方法、基板処理装置、半導体装置の製造方法及びプログラム
WO2015053121A1 (ja) * 2013-10-10 2015-04-16 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
US10343907B2 (en) * 2014-03-28 2019-07-09 Asm Ip Holding B.V. Method and system for delivering hydrogen peroxide to a semiconductor processing chamber
US9431238B2 (en) * 2014-06-05 2016-08-30 Asm Ip Holding B.V. Reactive curing process for semiconductor substrates
DE102014109194A1 (de) * 2014-07-01 2016-01-07 Aixtron Se Vorrichtung und Verfahren zum Erzeugen eines Dampfes für eine CVD- oder PVD-Einrichtung
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG11201703195QA (en) * 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10375901B2 (en) 2014-12-09 2019-08-13 Mtd Products Inc Blower/vacuum
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
SG11201802143QA (en) 2015-09-30 2018-04-27 Hitachi Int Electric Inc Substrate treatment apparatus, method for manufacturing semiconductor device, and recording medium
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017163375A1 (ja) 2016-03-24 2017-09-28 株式会社日立国際電気 気化器、基板処理装置及び半導体装置の製造方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6936027B2 (ja) * 2017-03-09 2021-09-15 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN111868893A (zh) * 2018-03-14 2020-10-30 株式会社国际电气 基板处理装置、半导体装置的制造方法和程序
KR20230142659A (ko) 2018-03-23 2023-10-11 가부시키가이샤 코쿠사이 엘렉트릭 기화기, 기판 처리 장치 및 반도체 장치의 제조 방법
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7055075B2 (ja) * 2018-07-20 2022-04-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
JP7101599B2 (ja) * 2018-11-27 2022-07-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11779871B2 (en) * 2018-12-21 2023-10-10 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Exhaust module for wafer baking apparatus and wafer processing system having the same
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11538716B2 (en) * 2019-03-22 2022-12-27 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
DE102019207990B4 (de) * 2019-05-31 2024-03-21 Disco Corporation Verfahren zum Bearbeiten eines Werkstücks und System zum Bearbeiten eines Werkstücks
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
JP7016920B2 (ja) * 2019-07-31 2022-02-07 株式会社Kokusai Electric 基板処理装置、基板支持具、半導体装置の製造方法および基板処理方法
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR102552458B1 (ko) * 2019-07-31 2023-07-06 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 기판 지지구 및 반도체 장치의 제조 방법
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR102294220B1 (ko) * 2019-08-14 2021-08-30 세메스 주식회사 지지 유닛, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) * 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114975167A (zh) * 2021-02-25 2022-08-30 芝浦机械电子装置株式会社 基板处理装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
WO2023026412A1 (ja) * 2021-08-25 2023-03-02 株式会社Kokusai Electric 基板支持具、基板処理装置及び半導体装置の製造方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001230246A (ja) * 2000-02-17 2001-08-24 Mitsubishi Heavy Ind Ltd 半導体の熱酸化方法および熱酸化装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02145769A (ja) * 1988-11-29 1990-06-05 Nippon Telegr & Teleph Corp <Ntt> 薄膜形成法および薄膜形成装置
JPH07211644A (ja) * 1994-01-26 1995-08-11 Oki Electric Ind Co Ltd 薄膜の製造方法およびその製造装置
JPH07273052A (ja) * 1994-03-30 1995-10-20 Hitachi Electron Eng Co Ltd Cvd装置
JPH08311653A (ja) * 1995-05-12 1996-11-26 Murata Mfg Co Ltd Cvd成膜装置及び成膜方法
JP2000008168A (ja) * 1998-06-19 2000-01-11 Shincron:Kk 薄膜形成方法
JP2001345302A (ja) * 2000-03-28 2001-12-14 Seiko Epson Corp 表面処理方法及び表面処理装置
JP2004332033A (ja) * 2003-05-06 2004-11-25 Asahi Denka Kogyo Kk 組成物、該組成物からなる化学気相成長用原料、及びこれを用いた薄膜の製造方法
US20070122947A1 (en) * 2003-12-25 2007-05-31 Adeka Corporation Metal compound, material for thin film formation, and process of forming thin film
EP1907599A2 (en) * 2005-07-08 2008-04-09 Aviza Technology, Inc. Method for depositing silicon-containing films
JP2007142155A (ja) * 2005-11-18 2007-06-07 Sony Corp 酸化処理方法および半導体装置の製造方法
US7759237B2 (en) * 2007-06-28 2010-07-20 Micron Technology, Inc. Method of forming lutetium and lanthanum dielectric structures
JP2010087475A (ja) 2008-09-03 2010-04-15 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び製造装置
JP2012060000A (ja) * 2010-09-10 2012-03-22 Toshiba Corp シリコン酸化膜の製造装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001230246A (ja) * 2000-02-17 2001-08-24 Mitsubishi Heavy Ind Ltd 半導体の熱酸化方法および熱酸化装置

Also Published As

Publication number Publication date
WO2013077321A1 (ja) 2013-05-30
US9190299B2 (en) 2015-11-17
JP6038043B2 (ja) 2016-12-07
US20140256160A1 (en) 2014-09-11
JPWO2013077321A1 (ja) 2015-04-27
KR20140085516A (ko) 2014-07-07

Similar Documents

Publication Publication Date Title
KR101615584B1 (ko) 반도체 장치의 제조 장치, 반도체 장치의 제조 방법 및 기록 매체
US9816182B2 (en) Substrate processing apparatus, method for manufacturing semiconductor device, and recording medium
KR102104728B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US11869764B2 (en) Substrate processing apparatus, substrate processing method and non-transitory computer-readable recording medium
US9587313B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and non-transitory computer-readable recording medium
US20160013053A1 (en) Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-Transitory Computer-Readable Recording Medium
KR101788429B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US9793112B2 (en) Method of manufacturing semiconductor device and non-transitory computer-readable recording medium
WO2019180906A1 (ja) 気化器、基板処理装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190328

Year of fee payment: 4