JP2009500864A - 均一バッチ膜被着工程および、それに従って生産されるフィルム - Google Patents

均一バッチ膜被着工程および、それに従って生産されるフィルム Download PDF

Info

Publication number
JP2009500864A
JP2009500864A JP2008521474A JP2008521474A JP2009500864A JP 2009500864 A JP2009500864 A JP 2009500864A JP 2008521474 A JP2008521474 A JP 2008521474A JP 2008521474 A JP2008521474 A JP 2008521474A JP 2009500864 A JP2009500864 A JP 2009500864A
Authority
JP
Japan
Prior art keywords
wafer
batch
precursor
layer
less
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008521474A
Other languages
English (en)
Inventor
ロバート ジェフリー ベイリー
タイクィン トーマス キウ
コール ポーター
オリヴィエ ラパッラ
ロバート フッド チャタム
マーティン モガード
ヘルムート トライヘル
Original Assignee
アヴィザ テクノロジー インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アヴィザ テクノロジー インコーポレイテッド filed Critical アヴィザ テクノロジー インコーポレイテッド
Publication of JP2009500864A publication Critical patent/JP2009500864A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/477Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Fluid Mechanics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

ウエハー基板のバッチにおいて、各ウエハー基板が表面を有して備えられている。各表面は、バッチをなすウエハー基板の各々の表面に同時に適用された材料の層で覆われている。該材料の層は、縁部境界を除く表面一帯にわたり厚さ比4%未満異なる厚さになるように且つ、ウエハー間厚み偏差が3%未満になるように適用される。このように適用された材料の層は酸化シリコン、窒化シリコンまたは酸窒化シリコンであり、また、該材料の層は炭素および塩素を含まない。酸化シリコンまたは酸窒化シリコンの形成には共反応化合物の介在が必要である。窒化シリコンは、硝化共反応化合物の介在によっても形成される。このようなウエハー基板のバッチを形成するプロセスには、ウエハー基板のバッチを収容しているリアクタに前駆体を給送することと、このような材料の層を作成するのに十分な前駆体流量、ウエハー基板温度および総圧にて前駆体を反応させることが伴う。 バッチに含まれる各々のウエハー基板の表面を横切って流動を生じさせるように(少なくとも一つが、リアクタ内のバッチをなすウエハー基板と出口スリットの各々に一致した状態で配列されている)複数のオリフィスとを有する垂直管インジェクタを通じ必要に応じて前駆体と共反応化合物を配送することにより、ウエハー内およびウエハー間の均一性が提供される。

Description

発明の詳細な説明
関連出願
本出願は、2005年7月9日に出願された米国仮特許出願第60/697,784号の優先権を主張するものである。なお、該米国仮特許出願第60/697,784号は参照することにより本稿に援用される。
発明の属する技術分野
本発明は、一般に、複数の基板上にシリコン−窒素材やシリコン−酸素材またはシリコン−窒素−酸素材の層を同時に被着することに関し、特に、シリコン−窒素材やシリコン−酸素材またはシリコン−窒素−酸素材を形成するために不純物プロファイルを改善しながらウエハー内およびウエハー間の均一度を達成すべく、横断流ライナと組み合わせてシリルアミン前駆体を使用することに関する。
発明の背景
半導体基板またはウエハーからの集積回路(IC)または半導体装置の製造においては、一般に、熱加工装置が用いられている。半導体ウエハーの熱加工には、例えば、熱処理、アニーリング、ドーパント材料の拡散または打ち込み、材料の層の被着または成長、および基板からの材料のエッチングまたは除去が含まれる。これらのプロセスでは、プロセスの実施前及び実施中、1300℃という高い温度から300℃という低温まで加熱し、又、1種類または2種類以上の流体(例えばプロセスガス又は反応体)をウエハーに送り出す必要がある。また、典型的にこれらのプロセスでは、プロセスガスの温度またはプロセスチャンバ内への導入速度のばらつきにかかわらず、プロセス全体を通じてウエハーを一定の温度に維持する必要がある。
窒化シリコン、二酸化シリコンおよび酸窒化シリコンは、半導体装置の製造において幅広く使用されている誘電体材料である。通常これらのフィルムは、所望の材料組成によりアンモニア(NH3)、酸素(O2)、オゾン(O3)、亜酸化窒素(N2O)、二酸化窒素(NO2)、一酸化窒素(NO)などの様々な反応物発生源を伴い、シラン(SiH4)、ジシラン(Si26)、二塩化シラン(DCS)(SiCl22)、オルガノシランなどのシリコン発生源から被着する。また、オゾン(O3)は、露出したSi表面に反応時、SiO2を直接形成する可能性のある一種として研究されてきた。これらプロセスの温度は通常600℃を超える。高度半導体装置の高速化要求を満たすには、該装置の製造における全体的な熱量の削減が必須となる。このため、誘電体層の加工温度を550℃、好ましくは500℃未満に低減する必要性が生じる。最も望ましい被着温度は400℃以下といえる。低温誘電体被着の必要性への取り組みとして新しいシリコン前駆体がいくつか開発されている。
従来のバッチプロセス化学蒸着法に関連した高被着温度に加えて、これらプロセスに関連した汚染因子により、意図される障壁層または絶縁層としての機能を行う被着材料の効果が制限される。一例として、塩素化されたシラン前駆体または共反応化合物の使用により、被着した層内への塩素の取り込みに至り、材料の性能の損失へとつながる。窒化シリコン被着の場合には、塩素化されたシランのアンモニアとの反応により、リアクタ排気ポートの詰まりを生じ被着層上で凝縮することにより被着後にも引き続きウエハー基板に上昇温度を維持させる塩化アンモニウムが産出され、これにより熱量が増大し、スループットが低下し、拡散可能な塩素汚染因子が取り込まれる。
塩素化された被着前駆体に関連したプロセスおよび性能の制限に取り組む努力の結果、様々なオルガノシランを使用するに至った。あいにく、これらの前駆体は、材料被着中のコーキングのせいで、限定された範囲でのみ受け入れられた。熱分解が不完全であると被着材料内に炭素が介在し、この介在物によって、結果的に得られる材料の電気的絶縁性が低下するだけでなく、装置半導体素子を汚染する炭素の拡散に対する懸念も生じる。
塩素および炭素介在物に関連した問題を解決すべく、様々なシリルアミンの探索を実施することになった。シリルアミンがシリコンと窒素の結合を含んでいるので、これらの前駆体は一般に被着温度が低温であることから注目を集めたものであり、また、類似したクロロシランとオルガノシランよりも優れた汚染因子介在プロファイルを有する。非置換シリルアミンの場合には、炭素も塩素も存在しない。また、結果的に得られる材料の被着層は炭素および塩素の汚染因子を含んでいない。シリルアミンは、容易に移動し材料の性能を低下させる不純物として水素を組み込む傾向がある。トリシリルアミンをはじめとするシリルアミンからの窒化シリコンおよび酸窒化シリコンの被着について報告されているが、このような材料から得られるフィルムまたはバッチ被着の水素含有量はほとんど関心をもたれていない。US 2005/0100670 A1は、このような取り組みを代表するものである。
一般に従来のバッチ熱加工装置には、炉内に配置されているまたは周囲を炉に囲まれているプロセスチャンバが備えられている。熱処理される基板はプロセスチャンバの中で密閉され、被着反応がなされる所望の温度になるまで加熱される。化学蒸着法(CVD)などをはじめとする多くのプロセスについては、最初に密閉型プロセスチャンバが所望のプロセス圧になるまで真空排気され、一旦プロセスチャンバが所望の温度に達すると、基板上に種々の反応物種を形成または被着するために反応ガスまたはプロセスガスが導入される。熱量、所望のフィルム均一性と空孔率、および汚染因子限界を含めた因子のバランスをとることを伴う技法細目の選択とともに、数例を挙げるだけでも低圧CVD(LPCVD)、プラズマ助長CVD(PECVD)および熱CVDなど様々な形のCVDを行うことができる。今までは、満足のいくウエハー内(WIW)およいウエハー間(WTW)の均一性を伴った状態で満足のいくバッチ材料層の被着を達成することへの取り組みは、限定された範囲のみでの成功に終わっていた。
電子装置の活性領域の電気的分離に重要な高品質の二酸化シリコンフィルムが熱酸化により生産される。一般に熱酸化は、大気圧下で、または大気圧よりわずかに下回る圧力下で、750℃〜1150℃の温度にて、O2(ドライ酸化)または蒸気(ウェット酸化)を利用して行われる。
但し、熱酸化にはいくつかの制限が伴う。熱酸化の割合は、シリコン表面の結晶方位に強く依存する。表面(111)の高充填密度により、表面(111)上の酸化は、表面(100)上の酸化と比較して著しく高酸化である。論理アプリケーション用のシャロウトレンチ分離(STI)およびDRAMアプリケーション用のトレンチ分離はトレンチ内のシリコン表面(100)、(110)および(111)を伴う。応力解放済の丸くなったトレンチ隅角部を持つトレンチ表面で均一の酸化ライナを生産するのは非常に困難であったため、それが原因で論理デバイス内の漏れおよびDRAM装置内におけるデータ保持時間の短縮が生じた。また、熱酸化の割合は、注入されたドーパントの性質および量に敏感であり、そして単結晶表面と多結晶シリコン表面との間で異なるため、フラッシュメモリ素子のさらなるスケーリングを阻止する。熱酸化均一性を改善するために、約5トールの低圧で酸化を必要とし、その結果として、スループットを制限する。
よって、中程度の温度および耐容汚染因子プロファイルおよび、ウエハー内およびウエハー間の均一性を伴った状態で、窒化シリコン、酸化シリコンまたは酸窒化シリコンの層をその上に有するウエハー基板バッチを産出できるプロセスの必要性が存在する。
発明の概要
ウエハー基板のバッチには、各ウエハー基板が表面を有する状態で備えられている。各表面は、ウエハー基板の各バッチの表面に同時に適用された材料の層で覆われている。材料の層は、へりの境界線を除く表面を横切って、厚さ比4%未満異なる厚さで、3%未満のウエハー間厚み偏差を伴って適用される。このようにして適用された材料の層は酸化シリコン、窒化シリコンまたは酸窒化シリコンからなり、また、該材料の層は炭素および塩素が含まれないものである。物質の被着が600℃より低い温度で生じるのが理想的である。材料のシリコン窒化物層は、化学式(I)または(II)を単独でまたは共反応化合物と組み合わせて有する前駆体から形成される。
Figure 2009500864
又は
Figure 2009500864
この場合、R1、R2およびR3は、各々個々独立した水素またはC1-8アルキルであり、R2とR3の両方が水素である場合R1はSiH3であり、R4は水素、C1-8アルキルまたはR1、R2およびR3に結合したSiである。酸化シリコンまたは酸窒化シリコンの形成には共反応化合物の介在が必要とされる。窒化シリコンは硝化共反応化合物の介在によっても形成される。
このようなウエハー基板のバッチを形成するプロセスには、ウエハー基板のバッチを収容しているリアクタに前駆体を給送することと、このような材料の層を作成するのに十分な前駆体流量、ウエハー基板温度および総圧にて前駆体を反応させることが伴う。(リアクタ内のバッチをなすウエハー基板と出口スリットの各々に少なくとも一つが一致した状態で配列されている)複数のオリフィスとを有する垂直管インジェクタを通じ必要に応じて前駆体と共反応化合物を配送することにより、前記ウエハー内の均一性およびウエハー間の均一性をもたらすべく、該バッチに含まれる各々のウエハー基板の表面を横切って流動が生じる。
好ましい実施態様の詳細な説明
本発明は、窒化シリコン材、酸化シリコン材または酸窒化シリコン材の層がその上に被着されたバッチをなす半導体ウエハー基板としての有用性を有する。該材料層は、炭素および塩化物汚染が存在しない状態で同時に生産された場合に厚さ比4%(3シグマ)未満のウエハー内均一性および厚さ比3%未満のウエハー間均一性を発揮する。このようなウエハー基板のバッチを達成するためのプロセスは、ウエハー基板表面に対して反応物の横断流分散を利用することによりもたらさせる。
ここに用いられる「ウエハー内(WIW)偏差」とは、300mmの平面ウエハー基板一帯における、縁部領域(縁部3mm)とウエハー搬送用ボートレールに関連する陰影領域を除いて被着された最も薄い材料層と最も厚い材料層との間での位相的厚み偏差として定義される。
ここに用いられる「ウエハー間(WTW)偏差」とは、バッチをなす複数のウエハー間における材料層の平均厚さの最大差異として定義される。
前駆体を含有するシリコン−窒素−シリコン(Si−N−Si)構造は、バッチをなすウエハー基板に独創性を有する材料の層を同時に生産するために使用される。前駆体としては、20℃の不活性雰囲気下で安定するものが好ましい。独創性を有する非環状の前駆体は、次の一般化学式で表される。
Figure 2009500864
好ましくは、前駆体が化学式(I)の構造を有する場合、存在するすべてのR1、R2およびR3は同一であるのがよい。より好ましくは、R1、R2およびR3が全て水素であるのがよい。最も好ましくは、R1、R2およびR3がすべて水素であり且つ、化学式(I)がトリシリルアミン(TSA)に対応する場合、R4がR1、R2およびR3に結合したシリコンであるのがよい。
シリコン−窒素−シリコン構造体を含む環状前駆体は次の構造を有する。
Figure 2009500864
この場合、R1、R2およびR4は、化学式(I)の非環状前駆体に関して上記詳述されるものと同一である。好ましくは、存在するすべてのR1およびR2が同一であり、また、存在するすべてのR4が同一であるのがよい。より好ましくは、存在するすべてのR1が水素であり、存在するすべてのR2が水素であり、また、R4が水素またはSiH3であるのがよい。なお、化学式(I)および(II)の独創性を有する前駆体は、特別に塩素を除くハロゲン部分を持っていないため、それにより得られる被着材料の層は、塩素汚染因子および塩素/塩化物含有揮発性副生物とは無関係のものとなる。材料の層は、たとえ化学式(I)または(II)の前駆体がアルキル部分を含んでいる場合でも炭素介在物を実質的に含まない本発明に従って被着されている。但し、独創性を有する被着材料層に炭素が浸透することを防ぐためには、毎分10オングストローム未満に被着速度を調節することが要求される。炭素を含まない独創性を有する材料層の被着は、シリコン、窒素および水素原子のみを含む前駆体を選択することにより容易に達成された。
ここにおいて、上記詳述されるような複数の前駆体の混合物は、当然のことながら、従来からのシリコン含有前駆体化合物とともに独創性を有する前駆体を使用した場合と同様に利用可能となっている。また、独創性を有する前駆体化合物には、独創性を有する材料層に組み込まれうる小量の不純物も含みうることが確認された。このような不純物取り込みは、非反応性条件の下に使用または保管する前に前駆体精製を付加的に行うと許容可能レベルに低下する。さらに当然のことながら、独創性を有する前駆体は、不活性希釈剤とともに保管されるか、質量流量コントローラ(MFC)の採用など従来の技法を使って前記希釈剤により反応チャンバを通じて定量される。
通常ウエハー・バッチが450℃〜800℃の温度範囲を保持した状態で、反応チャンバに前駆体が注入されると、yが0.75〜1の層SiyNの形成が即簡単に生じることが示されている。yが1未満であり化学式(I)または(II)の前駆体がアルキル部分を含まないような場合には、y−1が、結果として得られた窒化シリコン材料層中への水素層間挿入量に対応する。
当然のことながら、被着後に水素含有窒化シリコン材料層をアンモニアなどの窒素発生源の存在下にアニールすることにより、水素が該層から取り除かれ、その結果得られる層の窒素含有率が窒素に富んだ窒化シリコン(Si34)を達成できるほど増加する。水素枯渇アニーリングは400℃を上回る温度で生じえるが、このようなアニールの動特性(反応速度)は温度とともに上昇する。ウエハー基板の熱量が問題である場合は、急速熱加工およびその他の瞬間アニーリング技法が動作可能であることが好ましい。
被着機構且つ又は膜組成は、化学式(I)または(II)の前駆体の熱分解に加えて、窒素処理または酸化共反応化合物に化学式(I)および(II)の前駆体を反応させることにより変性する。このような共反応化合物の例を具体的に述べると、NH3、HN3、H22、第二級アミン、第三級アミン、NH*ラジカル、NH2 *ラジカル、O2、O3、O*ラジカル、OH*ラジカル、H2O、H22、NO、N2OおよびNO2が挙げられる。好ましくは、共反応化合物としては炭素原子および塩素原子を含まないものがよい。共反応化合物は、存在する場合、化学式(I)または(II)の前駆体と協調して、前駆体に相対した交互拍動流において、または、前駆体からの材料層の被着が生じた後に、反応チャンバに注入される。共反応化合物の被着後導入は処理後の修飾(modification)につながる。二酸化シリコンの層を被着することが望まれる場合、好ましくは、酸素、オゾン、水分またはそれの組合せなど酸素含有の共反応化合物が、化学式(I)または(II)の前駆体と協調してリアクタ容積に注入されるのがよい。同様に、層厚の偏差が少ない化学量論組成を有する材料の層は、窒素含有且つ酸素含有の共反応化合物を化学式(I)または(II)の前駆体を有するリアクタに注入することにより生産される。酸窒化シリコン前駆体はノックス分子;アンモニアなど、酸化前駆体および窒素処理前駆体の組合せ;またはそれの組合せを含む。
本発明に従って同時に適用された材料の層を含むウエハー基板のバッチの生産は、通常、50トール未満、好ましくは10トール未満の圧力で生じる。より好ましくは、リアクタの圧力は、材料層を被着するために不活性希釈剤ガスを用いるに至り、総圧100のミリトール〜7トールの間に維持されるのがよい。不活性希釈剤ガスの例を具体的に述べると、希ガス、二窒素またはそれの組合せが挙げられる。当然のことながら、材料の層の被着速度は、被着される材料だけでなく流量や反応総圧および温度によってもかなり異なる。
独創性を有する材料すべての被着に関する被着速度が温度や前駆体の流れおよび総圧の上昇につれて増加する傾向があることは当業者にとっては容易に理解できるはずである。以下、このようなパラメータの性質について、実施例を参照しさらに詳しく説明する。本発明による様々な材料層の被着および、化学式(I)または(II)の前駆体が1〜50sccmの流量で供給される場合においてこのような被着が生じる条件を、共反応化合物流量および不活性希釈剤流量の単位が前駆体流量の倍数として示される表1に詳述する。
Figure 2009500864
当然のことながら、ここにいくつか詳述される共反応化合物は、ラジカル種と平衡状態にある。特定の機構論に束縛される意図はないが、このようなラジカル種は、先行技術と比べ本発明の場合は比較的低温にて材料層被着に関与していると考えられる。オゾンからの一重項酸素(O*)の組成およびN2OからのNO*の組成は、表1に詳述される温度条件と圧力条件下に形成された概知のラジカル種の代表的なものである。状況に応じては、化学式(I)または(II)の前駆体、共反応化合物、またはそれの組合せが材料層被着工程の間に露出されるラジカル生成元の介在を通じてラジカル種濃度生成が強化される。本発明にて使用可能な従来のラジカル生成源としては、プラズマ放電電極、光分解源、および高速熱in-situ水蒸気発生(ISSG)処理が挙げられる。遊離ラジカル生成機構の追加に関連したラジカル種の濃度増加により必要被着温度が低下する傾向がある間、ウエハー面一帯にわたって、およびウエハー・バッチ・リアクタ容積一帯にわたって反応条件の均一性を維持する注意が必要であることは、通常の技術を有する当業者にとっては容易に理解できるはずである。
各ウエハー面に適用された材料層のウエハー内厚さ偏差が4%(3シグマ)未満およびウエハー間層厚が3%未満となるように、ウエハー基板のバッチが各々被着表面上に材料の層を、15オングストロームを超える厚さで同時に受けるように材料層被着をバッチプロセスにてもたらすために適したリアクタを使用する。このようなリアクタは、バッチ・チャンバ内の前駆体の均一な分配に関連した問題を克服し、管軸周囲を回転可能な細長いインジェクタ管を利用する。該インジェクタ管は、流動パターン全体を、層流をなして横切る流動をバッチに含まれる複数のウエハー面を横切って生じさせるために、ウェーハキャリアの位置と一連の出口スリットに一致した状態で配列されているオリフィスを備える。このようなリアクタは2004年9月22日に出願されたWO/2005/031233中に開示されている。このようなリアクタは、現在Aviza Technology社(カリフォルニア州スコッツヴァリー市)により販売されている。
図1に示される如く、インジェクタ116を向上させたものが熱加工装置100において使用される。インジェクタ116は分配用インジェクタまたは横断流インジェクタ116−1であり、プロセスガスまたは蒸気が、ボート106に収容されるウエハー108の片側にあるインジェクタ開口部またはオリフィス180を通じて導入され、ウエハー108の表面を、層流をなして横切って排出ポートまたはスロット182まで流れるようになる。排出スロット182はインジェクタ・システム116から180度の位置に配列されている。あるいは、別のインジェクタ116からの角度の位置に排出スロット182を配列してもよい。横断流インジェクタ・システム116は、以前のガス流動構成のプロセスガスまたは蒸気分配を向上させることにより、バッチをなすウエハー108内におけるウエハー内均一性を向上させる。
それに加えて、横断流インジェクタ116は、ウエハー108間の希釈ガスの注入を含むその他の目的を達成することができる。横断流インジェクタ116の使用により、ウエハー基板が積み重ねられているウエハーの下側、上側または中間に配置されているのかどうかに関係なく、以前のガス流動構成と比べてさらに均一な冷却効果をウエハー108間にもたらすことができる。好ましくは、インジェクタ116のオリフィス180が、ウエハー一帯にわたって大きな温度勾配を生じない方法でウエハー108間における強制的な対流冷却を促進する噴霧パターンを提供できるような寸法、形状、位置を備えているのがよい。
図1は、バッチをなす半導体ウエハーを熱加工する熱加工装置の実施形態の断面図である。図示のように、熱加工装置100は、主要部として、容器101及び熱源又は炉110を有し、容器101は、ウエハー108のバッチが収納保持されたキャリヤ又はボート106を受け入れるようになっている支持体104を備えたプロセスチャンバ102を形成する容積部を包囲し、熱源又は炉は、ウエハーの温度を熱加工のために所望の温度まで上昇させる多数の発熱体112−1,112−2,112−3(以下ひとまとめに、発熱体112と称する)を有している。熱加工装置100は、プロセスチャンバ102内の温度をモニタし、発熱体112の動作を制御する1つ又は2つ以上の光学式又は電気式温度検出要素、例えば、抵抗温度検知器(RTD)又は熱電対(T/C)を更に有している。図1に示す実施形態では、温度検出要素は、プロセスチャンバ102内での多数の場所における温度を検出するための多数の独立した温度検出ノード又はポイント(図示せず)を有するプロファイルT/C114である。熱加工装置100は、1つ又は2つ以上のインジェクタ116(これらのうちの1つが、ウエハー108を処理又は冷却するためにプロセスチャンバ102内に、例えばガス又は蒸気などの流体を導入するものとして図示されている116−1である)及び、ガスを導入してプロセスチャンバをパージしてウエハーを冷却する1つ又は2つ以上のパージポート又はベント118(これらのうち1つだけが図示されている)を更に有している。ライナ120が、ウエハーを加工するプロセスゾーン128内におけるウエハー108の近くのプロセスガス又は蒸気の濃度を増大させ、プロセスチャンバ102の内面上に生じる場合のある付着物の剥落又は剥離によるウエハーの汚染を減少させる。プロセスガス又は蒸気は、チャンバライナ120に設けられた排気ポート又はスロット121を通ってプロセスゾーンから出る。
一般に、容器101は、プロセスチャンバ102を形成するようプラットフォーム又はベースプレート124にシール、例えばOリング122により密着されており、このプロセスチャンバは、熱加工中、ウエハー108を完全に包囲する。プロセスチャンバ102及びベースプレート124の寸法形状は、プロセスチャンバの迅速な排気、迅速な加熱及び迅速な戻し充填を可能にするよう選択されている。有利には、容器101及びベースプレート124は、キャリヤ106及びウエハー108を収納保持したライナ120を収納するのに必要な容積よりもそれほど大きくない容積を包囲するよう選択された寸法形状を持つプロセスチャンバ102を構成するよう寸法決めされている。好ましくは、容器101及びベースプレート124は、キャリヤ106及びウエハー108を収納保持したライナ120を収納するのに必要な寸法形状の約125%〜約150%の寸法形状を持つプロセスチャンバ102を構成するよう寸法決めされ、より好ましくは、プロセスチャンバは、チャンバ容積を最小限に抑え、それによりポンプダウン時間及び戻し充填時間を減少させるために、キャリヤ106及びウエハー108を収納保持したライナ120を収納するのに必要な寸法形状の約125%以下の寸法形状を有する。
インジェクタ116、T/C114及びベント118のための開口部は、シール、例えばOリング、VCR(登録商標)又はCF(登録商標)継手を用いて密封されている。加工中放出され又は導入されるガス又は蒸気は、図1に示すように、プロセスチャンバ102の壁(図示せず)又はベースプレート124のプレナム127に形成されたフォアライン又は排気ポート126を通って排気される。プロセスチャンバ102を熱加工中、大気圧状態に維持し又は吸込み吐出しシステム(図示せず)により5ミリトルという低い真空状態まで排気するのがよく、吸込み吐出しシステムとしては、1つ又は2つ以上の粗排気ポンプ、ブロワ、高真空ポンプ、粗排気弁、スロットル弁及びフォアライン弁が挙げられる。変形例では、プロセスチャンバを5ミリトルよりも低い真空状態に排気してもよい。
図2に示される別の実施形態では、ベースプレート124は、インジェクタ116を受け入れてこれを支持するようになった実質的に環状のフローチャネル129を更に有し、インジェクタ116は、リング131を有し、多数の垂直インジェクタ管又はインジェクタ116−1がこのリングから垂下している。インジェクタ116−1は、以下に説明するように、上向き流、下向き流又は横断流フローパターンを生じさせるような寸法形状のものであるのがよい。リング131及びインジェクタ116−1は、ガスをボート106と容器101との間でプロセスチャンバ102内に注入するよう配置されている。
容器層101及びライナ254は、高温高真空操作の熱的及び機械的応力に耐えることができ、加工中に用いられ又は放出されるガス及び蒸気に起因する腐食に耐性のある任意の金属、セラミック、結晶又はガラス材料で作られるのがよい。好ましくは、容器101及びライナ254は、熱加工操作の機械的応力に耐えると共にプロセス副生物の付着に抵抗するのに十分な厚さを備えた不透明、半透明又は透明な石英ガラスから作られる。プロセス副生物の付着に抵抗することにより、容器101及びライナ254は、加工環境の汚染の恐れを減少させる。より好ましくは、容器101及びライナ254は、ウエハー108が加工されるプロセスゾーンから奪われる熱の伝導を減少させ又は無くす石英で作られる。
熱加工装置100は、磁気結合されたウエハー回転システム162を更に有し、この回転システムは、支持体104及びボート106を加工中これらによって支持されたウエハー108と一緒に回転させる。変形例では、熱加工装置100は、支持体104及びボート106を加工中これらで支持されたウエハー108と一緒に回転させる回転強磁性流体シール(図示せず)を用いる。加工中ウエハー108を回転させると、温度及びプロセスガス流量の不均一性を平均して均一のウエハー温度及び化学種反応プロフィールを生じさせることにより、ウエハー面内(WIW)均一性が向上する。一般に、ウエハー回転システム162は、ウエハー108を約0.1〜約10RPM(毎分の回転数)の速度で回転させることができる。
ウエハー回転システム162は、回転モータ166、例えば電気又は空気圧モータ及び耐化学性容器、例えばアニールしたポリテトラフルオロエチレン又はステンレス鋼内に封入されている磁石168を含む駆動組立体又は回転機構体164を有する。ペデスタル130の絶縁ブロック140の真下に設けられた鋼リング170及び絶縁ブロック内に設けられた駆動シャフト172が、回転エネルギーをペデスタルの頂部内で絶縁ブロックの上方に設けられた別の磁石174に伝達する。鋼リング170、駆動シャフト172及び第2の磁石174も又、耐化学性容器配合物内に封入されている。ペデスタル130の内部に設けられた磁石174は、るつぼ142を介して、プロセスチャンバ102内の支持体104内に埋め込まれ又はこれに取り付けられた鋼リング又は磁石176に磁気結合されている。
ペデスタル130を通じて回転機構164を磁気的に連結することにより、処理環境内に回転機構164を配置する必要性や、機械的な貫通接続を備える必要性が解消され、よって、漏れおよび異物混入の発生源になりうるものが排除される。更に、回転機構164をプロセスチャンバ102からある程度離れた外部に配置することにより、それが露出される最高温度が最小限に抑えられし、よって、ウエハー回転システム162の信頼性が増加するとともに稼動寿命が延長される。
上述したことに加えて、ウエハー回転システム162は、適正なボート106の位置及びプロセスチャンバ102内の鋼リング又は磁石176とペデスタル130内の磁石174との適正な磁気結合を保証するよう1つ又は2つ以上のセンサ(図示せず)を更に有するのがよい。ボート106の相対位置を突き止めるボート位置確認センサが、特に有用である。一実施形態では、ボート位置確認センサは、ボート106に設けられたセンサ突起(図示せず)及びベースプレート124の下に設けられた光学又はレーザセンサを有する。作動にあたり、ウエハー108を加工した後、ペデスタル130をベースプレート124よりも約3インチ(7.62cm)下のところまで下降させる。次に、ウエハー回転システム162に指令を出してボート106を回転させ、ついには、ボートセンサ突起が見えるようにする。次に、ウエハー回転システム162を作動させてボートを位置合わせし、ウエハー108を取り出すことができるようにする。これを行った後、ボートを装入/取出し高さまで下降させる。
図2は、図1の熱加工装置100の一部の断面側面図であり、図1に関して詳述されたものに対応するものは類似した数表示により示されており、ライナ181に対するインジェクタ・オリフィス180の部分及びウエハー108に対する排気ポート又はスロット182の部分を示している。
図3は、本発明により動作可能な横断流ライナ232を含む熱加工装置230を示す。本発明の説明を単純化するため、本発明に密接に関連していない要素は、図面には示されておらず、これらについては説明をしない。一般に、装置230は、プロセスチャンバ102を形成する容器101を有し、このプロセスチャンバは、ウエハー108のバッチが収納保持されたウェーハボート又はキャリヤ106を受け入れるようになった支持体104を有している。装置230は、ウエハー108を熱加工のために所望の温度まで加熱する熱源又は炉112−2を有している。横断流ライナ232は、ウエハー108の近くのプロセスガス又は蒸気の濃度を高め、容器101の内面上に生じる場合のある付着物の剥落又は剥離に起因するウエハー108の汚染を軽減するために設けられている。ライナ232は、ウエハーキャリヤ106の輪郭に一致するようパターン化されると共にウエハーキャリヤ106とライナ232との間の隙間を減少させるよう寸法決めされている。ライナ232はベースプレート124に取り付けられて密閉される。
典型的には、伝統的な上向き流竪形炉内に段付きライナを用いてプロセスガスの速度及び拡散に対する制御性を向上させる。これら段付きライナは、ウエハー面内均一性を向上させる助けとしても使用される。残念ながら、段付きライナは、ダウン・ザ・スタック・デプリーション(down-the-stack-depletion)問題を是正せず、このダウン・ザ・スタック・デプリーション問題は、反応ガスの1つの注入箇所が全表面を通過してスタックの下へ注入されたガスを流すことにより生じる。先行技術の垂直横断流炉では、ダウン・ザ・スタック・デプリーション問題は、解決される。しかしながら、抵抗が最小の流路がウエハー相互間ではなく、ウエハーキャリヤとライナ内壁との間の隙間領域に生じる場合がある。この最小抵抗流路は、製造プロセスに有害な渦又は淀みを生じさせる場合がある。炉内の渦及び淀みは、或るプロセスの化学的性質のためにウエハーの横断方向に非均一性の問題を生じさせる場合がある。
本発明は、一様なガス流をキャリヤ内に支持された各基板の表面を横切って提供することによりウエハー内均一性を著しく向上させる横断流ライナを提供する。一般に、本発明の横断流ライナは、長さ方向膨隆部を有し、この長さ方向膨隆部は、ライナがウエハーキャリヤと同形になるようパターン化されると共に寸法決めできるように横断流注入システムを収容する。ライナとウエハーキャリヤとの間の隙間が著しく減少し、その結果、先行技術の炉で生じていた渦及び淀みを減少させ又は回避することができる。
図4〜図8を参照するに、横断流注入システム250が、ライナ232の長さ方向膨隆部262内に設けられている。ガスがウエハー108及びキャリヤ102の一方の側から複数の注入オリフィス252を通って導入され、そして以下に説明するように層流をなしてウエハーの表面を横切って流れる。複数のスロット254の垂直コースが、長さ方向膨隆部262から約180°の場所でライナ232に形成されている。スロット254の寸法及びパターンは、あらかじめ決定されており、好ましくは、注入オリフィス180又は252相互間の間隔及びこれらの数と協働する。
横断流ライナは、高温高真空操作の熱応力及び機械的応力に耐えることができ、又、加工中に用いられ又は放出されるガス及び蒸気からの腐食に耐性のある任意の金属、セラミック、結晶又はガラス材料で作られるのがよい。好ましくは、横断流ライナ232は、不透明、半透明又は透明な石英ガラスで作られる。一実施形態では、ライナは、ウエハーが加工される領域又はプロセスゾーンから奪われる熱の伝導を減少させ又は無くす石英で作られている。
一般に、横断流ライナ232は、閉鎖端部258及び開口端部260を備えた筒体256を有している。筒体256は、横断流注入システム(図示せず)を収容する内壁270を有する長さ方向膨隆部262を備えている。好ましくは、長さ方向膨隆部262は、筒体256の長さの大部分にわたって延びる。複数の緯度方向スロット254は、長径がふく射状であり、筒体256に沿って長さ方向に配置されている。
横断流ライナ232は、ウエハーキャリヤ106及びキャリヤ支持体104の輪郭と同形であるよう寸法決めされると共にパターン化されている。一実施形態では、ライナ232は、ウエハーキャリヤ100と同形であるように寸法決めされた第1の部分261及びキャリヤ支持体104と同形であるように寸法決めされた第2の部分263を有している。第1の部分261の直径は、第2の部分263の直径とは異なっているのがよく、即ち、ライナ232は、ウエハーキャリヤ106及びキャリヤ支持体104とそれぞれ同形であるよう「段付け」されているのがよい。一実施形態では、ライナ232の第1の部分261は、ウエハーキャリヤ106の外径の約104%〜110%を占める内径を有する。別の実施形態では、ライナ232の第2の部分263は、キャリヤ支持体104の外径の約115%〜120%を占める内径を有する。第2の部分263は、シール、例えばOリングが発熱体によって過熱しないように保護する1つ又は2つ以上の熱遮蔽体264を備えるのがよい。
図6は、横断流ライナ232の側面図である。長さ方向膨隆部262は、第1の部分261の長さにわたって延びている。注入システム250(図示せず)は、膨隆部266内に収容され、この注入システムは、1又は2以上のガスをウエハー242相互間で横断流ライナ232内に導入する。1つ又は2つ以上の熱遮蔽体264を、第2の部分263内に設けることもできる。
図7は、横断流ライナ232の平面図であり、横断流注入システム250を受けるための開口部266を有する筒体256の閉鎖端258を示す図である。注入システム250は少なくとも一つの注入管251(以下詳述)を有し開口部266内に嵌まるようになっている。図8に詳細に示されているように、閉鎖端部258の開口部266は、横断流注入システムを差し向けると共にこれを安定化させる切欠き268を有している。3つの切欠き(268A,268B,268C)が例示目的で開口部266内に設けられた状態で示されているが、注目されるべきこととして、任意の数の切欠きを形成して注入管が横断流ライナ232に相対した方向に差し向けることができるようにしてもよい。
図9を参照すると、横断流注入システム250は、ウエハーの所望の加工面に垂直な軸線回りに回転可能な1つ又は2つ以上の細長い管251を有している。好ましい実施形態における細長い管251には、管の長径に沿って長さ方向に分散する複数の注入ポートまたはオリフィス252が、各基板の表面一帯を横切って反応物およびその他のガスを差し向けるために備えられている。注入ポート・オリフィス180は同区域を有するか、あるいはその代わりに、図10に描かれるように、注入ポート・オリフィス252は、インジェクタ管251の長径に沿った区域において異なるようにもできる。さらに、2つ以上の細長い管状インジェクタ116−1および116−2の内径は等しい(図9)か、あるいはその代わりに、2つ以上の管状インジェクタ251−1と251−2の内径が異なるようにもできる(図10)。好ましくは、注入オリフィス180または252が、注入管116または251の長径に沿って均等な間隔で配置されており、ボート106中に収容されるウエハー基板表面108ならびにスロット182または254に一致した状態で位置決めされているのがよい。
一実施形態において、細長い管116または251は、細長い管を開口部266の切欠き268のうちの1つの中にロックする割り出しピン253を有し、注入オリフィス180または252が、割り出しピンと一線をなして形成されている。したがって、細長い管を取り付けると、割り出しピンを切欠き268のうちの1つの中にロックでき、注入ポート252は、適当な切欠き268により指示される方向に差し向けられる。さらに、管251の反対側の端部に設けられた表示器(図示せず)により、ユーザは、注入ポート252の場所を調節することができる。この調節は、横断流ライナ232を容器234から取り外すことなく、熱加工ランの前、その間及びその後に行われる。
有利な点として、横断流ライナ232の膨隆部262は、横断流注入システム250を収納し、ライナ232は、ウエハーキャリヤ106の輪郭と同形に作られる。このようにライナ232をウエハーキャリヤと同形にすることにより、ライナとウエハーキャリヤとの間の隙間が減少し、それにより、ライナ内壁とウエハーキャリヤ106との間の隙間領域に生じる渦及び淀みが減少し、ガスの流れの一様性並びに付着膜の品質、均一性及び再現性が向上する。
ベースプレート124は、管状インジェクタを受けるための開口部266を有する。特定の方向に注入ポート116−1、116−2、251−2または252−2を配向するために、ノッチ268がベースプレート124に形成される。任意数のノッチ268を形成でき、それによって、細長い注入管を定位置に対して360度調節し、且つ、注入ポート252を所望するいかなる方向に向けて配向できる。例えば、細長い管状インジェクタ251−2の割出しピン253をノッチ268Aに受けることができ、それによって、注入ポート252′がウエハー基板と出口スロットに面するように配向される。図11に示されるように、注入ポート180または252、252′を出たガスは、各基板108の表面を横切って出口スロット244まで流動する前に、膨隆部262のライナ壁270に当たる。あるいは、細長い管状インジェクタ116−1/116−2または252−1/252−2の割出しピン253をノッチに受けることによって、各管状インジェクタの注入オリフィス180または252が互いに面するように配向される。図12〜図13に示されるように、注入オリフィス180または252を出たガスは、ウエハー108に相対した回転度にて割出しピンがノッチに嵌まるように回転するようになっている。
図14〜図19は、図20〜図25のチャンバ内部の基板の表面を横切るガス流れ線を示す「粒子トレース」図である。これらの図は、様々な流動条件下のインジェクタ・オリフィスから排気スロットまでの粒子トレース272を示している。第1の(最も左側の)インジェクタ・オリフィスからの流れの運動量は、第2の(最も右側の)インジェクタポート10倍以上である。図14,図16および図18の横断流ライナとインジェクタの回転の両方により、基板の表面一帯を横切って均一なガスの流れを供給する際に既存のガス配送システムよりも有利な利点が提供される。横断流ライナ234の膨隆部262は、基板の表面を横切って流動する前に注入ポートを出たガスの混合チャンバとなり、かくして、ガスの「バリスティック(衝撃)混合」の運動量伝達を容易にする。これとは対照的に、所定のインジェクタ回転配向について図15,図17および図19に示される如く、チャンバに膨隆部を備える・備えないに関わらず、チャンバ内において基板の表面を横切るガスの流動はそれほど規則的なものではない。
作動にあたり、真空システムが、真空圧状態を反応チャンバ102内に生じさせる。真空圧は、容器101の垂直方向に働く。横断流ライナ232は、真空圧に応答して動作して第2の真空を横断流ライナ232の内部に生じさせる。第2の真空圧は、水平方向に且つ各基板108の表面を横切って作用する。二種類のガス(例えば第1のガスと第2のガス)が、二つの異なるガス源から注入システム116または250の細長い管251二本に導入される。ガスは、ウエハー108の片側の注入ポート252を出て、層流をなしてウエハー242を横切りおよび隣接する二つのウエハー108の間をスロット254に向けて流れる。過剰なガスまたは反応副生物は、細長い管状インジェクタ内の注入オリフィス180または252と協働するライナ壁232の緯度方向スロット254介して排出される。
図20〜図22本発明の一実施形態に従って横断流ライナを含む熱加工装置のコンピュータ処理流体力学(CFD)の説明図である。横断流ライナは、径が短くなっており、ウエハーキャリヤに等角となっている。横断流注入システムはライナの膨隆部に収容される。注入システムは、各基板の表面一帯に反応物またはその他のガスを導入するための複数の注入オリフィスを各々有する二本の細長い注入管を含んでいる。注入オリフィスについては、図20では注入ポートを出たガスが各基板の表面を横切って流れる前にライナ壁に当たり膨隆部において混合するようにライナの内面に面するように配向されており;図21ではウエハー中心に面するように配向されており;図22では注入ポートを出たガスは各基板の表面を横切って流れる前に互いに当たり混合するようにお互いに面するように配向されている。二つの管状インジェクタに導入されたガスは、夫々トリシリルアミンおよびNH3であり、75sccmで流動するものである。
図23は、図1に描かれるリアクタのインジェクタおよびライナを含まない従来の上向き流炉構成のインジェクタにオゾンを導入した結果得られる原子状酸素ラジカルの濃度のCFD説明図である。ウエハー第1番はスタック(積み重ね)の一番下にあり、酸素ラジカルは下から上に向かって流れる。説明図には、ウエハー一帯およびウエハーのスタック全体にわたって原子状酸素濃度の均一性が不十分であり、結果、所望のフィルム形成における均一性が不十分となることが示されている。
図24は、図1の横断流炉構成のインジェクタにオゾンを導入した結果得られる原子状酸素ラジカルの濃度のCFD説明図である。ウエハー第1番はスタックの一番下にあり、酸素ラジカルの流れは横断流である。説明図には、ウエハー内およびウエハー間における非常に優れた原子状酸素濃度の均一性があり、結果、所望のフィルム形成がもたらされることが示されている。
二つのインジェクタ・リアクタの代表的なガス流回路図が図25に描かれている。前駆体50は、図1に関連して容器槽101内のインジェクタ116−1と流体連通して備えられる。状況に応じて、不活性ガス源52はインジェクタ116−1に相互接続される。従来のバルブと質量流量コントローラ(MFC)を使用して、両供給源50と52が、またはいずれか一方の供給源だけが、インジェクタ116−1により容器槽101に選択的に給送される。ウエハー面104と排出スロット254を一致させることにより、垂直方向に間隔を置いて設けられたウエハーと所定のウエハー面での均一度が高い反応物の横断流が達成される。同じような方法で、共反応化合物源54のみ、不活性ガス源52′、またはそれの組合せが、インジェクタ116−2に選択的に定量される。共反応化合物は状況に応じて、ウエハー基板と接触する前に、プラズマ発生器55の排出物に露出される。当然のことながら、従来のガス接続方式では、不活性ガス源52′が不活性ガス源52により供給される。さらに当然のことながら、反応物がそのインジェクタを通じて供給されていない時に不活性ガスをインジェクタにより流すことにより、使用されていないインジェクタへの逆流を抑制する傾向がある。
実施例
バッチのウエハー内およびウエハー間均一性を伴いつつウエハー基板バッチ上に材料の層を被着する能力について、以下の実施形態においてさらに詳しく説明する。これらの実施例は代表的且つ非限定的なものであり、進歩性を有する被着が生じうる条件を説明する目的で提示されている。
実施例1
20枚のウエハーからなるバッチは、使用されていない位置100箇所を埋めて基板ブランクを有する120個のウエハーキャリヤに沿って分散された。ウエハー基板温度および不活性二窒素雰囲気を安定後、アルゴン・ガスの被制御流れでリアクタ総圧が3トールに維持しながらトリシリルアミンおよびアンモニア・ガスが15および225sccmの流量でリアクタに導入される。515℃の反応温度で被着を30分間進行させる。毎分1.8オングストロームの付着速度が認められる。結果として得られた窒化シリコン膜のウエハー内均一性は厚さ比2.3%(3シグマ)である一方、ウエハー間厚み偏差は2.6パーセントである。オージェ・スペクトロスコピー分析によると、結果として得られた被着済材料層は、炭素および塩素を含まず、シリコン対イオンに対して原子百分率8%未満の置換水素を有することが示された。
実施例 2〜6
ウエハー基板温度を変更して実施例1のプロセスを繰り返す。先行技術による前駆体の比較温度と被着速度とともに温度の関数として付着速度の偏差が表2に提示されているが、実施例1のものと同等の均一性が認められる。オージェ・スペクトロスコピー分析によると、結果として得られた被着済材料層は、炭素および塩素を含まず、シリコン対イオンに対して原子百分率10%未満の置換水素を有することが示された。
Figure 2009500864
実施例7
低温酸化物材料層が図1のリアクタに被着される。この際、該リアクタは総圧7トールに維持され、二窒素を不活性ガスとし、トリシリルアミンおよび酸素が(ここに記載される順で)夫々11sccmおよび200sccmの流量でリアクタに定量される。窒素流量は約500sccmである。被着温度200°〜450°Cの関数としてウエハー内不均一性(1シグマ)および被着速度が図6に提示されている。ウエハー間偏差は3%未満である。オージェ・スペクトロスコピー分析によると、結果として得られた被着済材料層は、炭素および塩素を含まず、シリコン対イオンに対して原子百分率10%未満の置換水素を有することが示された。
実施例8
二窒素を不活性ガスとして使用し、トリシリルアミンおよびN2Oが(記載される順で)夫々15sccmおよび300sccmの流量で流れる状態且つ、総圧2トールで、バッチをなすウエハー基板に酸窒化シリコン被着層が適用される。ウエハー基板温度525°Cで30分間トリシリルアミンとN2Oを同時に流動させた場合に、mが(再生可能な方法で)0.77であり、nが0.33であるSiOmNnの組成において毎分100オングストロームを超える被着速度で酸窒化シリコン被着の発生が認められる。ウエハー内偏差は3%未満、3シグマである。また、ウエハー間厚み偏差は2.8%未満である。オージェ・スペクトロスコピー分析によると、結果として得られた被着済材料層は、炭素および塩素を含まず、シリコン対イオンに対して原子百分率10%未満の置換水素を有することが示された。結果として得られた被着済材料層は、様々なバッチに対して1.7〜1.9の屈折率を示すことが認められた。
本明細書に述べられる特許文献および公報は、本発明が属する技術を有する当事者の水準を示す。これらの特許文献および公報は参照により、個々の文献または公報が参照により本稿においてそれぞれ具体的に且つ単独に援用される場合と同程度に本稿に援用されるものとする。
前述の説明は本発明による特定の実施形態の例示であり、本発明を実施する上での制限を加えるものではない。以下の特許請求の範囲は、それに均等なものすべてを含み、本発明の範囲を定義するものである。
本発明の実施例による横断流インジェクタ・システムを有する熱加工装置の横断面図である。 図1の熱加工装置の一部分の横断面の側面図であり、本発明の実施例によるライナに関連したインジェクタ・オリフィスの位置とウエハーに関連した排気スロットの位置とを示す図である。 図1の熱加工装置における図1のA−A線に沿った部分の平面図であり、管状インジェクタを収納する段付きライナを含み、且つインジェクタ・オリフィスからウエハーを横切るガスの流動および排出ポートへのガスの流動を示す図である。 横断流段付きライナの俯瞰斜視図であり、本発明の一実施形態による長さ方向膨隆部を示す図である。 横断流段付きライナの俯瞰斜視図であり、本発明の一実施形態によるライナに設けられた複数の排気スロットを示す図である。 図4および図5の横断流ライナの側面図である。 図4〜図6に描写される横断流ライナの平面図である。 図7に描写される横断流ライナの膨隆部分の拡大平面図である。 横断流射出方式の斜視図である。 横断流注入システムの別の実施形態の斜視図である。 膨隆部を備えた横断流ライナの平面図であり、オリフィスからウエハー中心に向かって流れて排出スロットを出た本発明の一実施形態によるガスの流動を示す図である。 膨隆部を備えた横断流ライナの平面図であり、本発明の一実施形態によるウエハーを横切って流れ排出スロットを出る前にライナ内壁に当たるオリフィスからのガスの流動を示す図である。 膨隆部を備えた横断流ライナの平面図であり、本発明の一実施形態によるウエハーを横切って流れ排出スロットを出る前にお互いおよびライナ内壁に当たるオリフィスからのガスの流動を示す図である。 本発明の一実施形態による横断流ライナと該ライナ内壁に面する注入オリフィスを有する二つの注入管とを含むチャンバ内部ウエハーの表面を横切るガスの流動経路を示す図形描写である。 従来の技術によるライナと該ライナ内壁に面する注入オリフィスを有する二つの注入管とを含むチャンバ内部のウエハーの表面を横切るガスの流動経路を示す図形描写である。 本発明の一実施形態による横断流ライナと相互に向かい合った注入オリフィスを有する二つの注入管とを含むチャンバ内部ウエハーの表面を横切るガスの流動経路を示す図形描写である。 従来の技術によるライナと相互に向かい合った注入オリフィスを有する二つの注入管とを含むチャンバ内部のウエハーの表面を横切るガスの流動経路を示す図形描写である。 本発明の一実施形態による横断流ライナとウエハー中心に面する注入オリフィスを有する二つの注入管とを含むチャンバ内部ウエハーの表面を横切るガスの流動経路を示す図形描写である。 従来の技術によるライナとウエハー中心に面する注入オリフィスを有する二つの注入管とを含むチャンバ内部のウエハーの表面を横切るガスの流動経路を示す図形描写である。 本発明の一実施形態に従って横断流ライナとライナ内壁に面する注入ポートを有する注入システムを含む熱加工装置のコンピュータ処理流体力学(CFD)の説明図である。 本発明の一実施形態に従って横断流ライナと相互に向かい合った注入ポートを有する注入システムを含む熱加工装置のCFD説明図である。 本発明の一実施形態に従って横断流ライナと基板中心に面する注入ポートを有する注入システムを含む熱加工装置のCFD説明図である。 図11〜図13のライナを備えていない従来型「上向流式」構造のリアクタに対する負荷全体にわたる原子状酸素濃度のCFD説明図である。 「横断流式」構造に対する負荷全体にわたる原子状酸素濃度のCFD説明図である。 図1に示される二つのインジェクタ・リアクタの代表的なガス流動回路図である。 被着温度の関数として低Tの酸化物材料層被着と1シグマまでのウエハー内(WIW)均一性を描写するグラフである。

Claims (25)

  1. ウエハー基板のバッチであって、ウエハー基板のバッチに含まれる各ウエハー基板は表面を有し、
    該ウェーハ基板のバッチが、バッチをなすウエハー基板の各々の縁部境界を除いた表面に、各ウエハー基板内の厚さ比が4%未満(3シグマ)異なる厚さ且つウエハー間厚み偏差が3%未満で同時に適用された材料の層を備え、前記材料は、xが1.9と2.0の間にあるSiOxと、yが0.75と1の間にあるSiyNと、n/(n+m)が0.2と0.4の間にあるSiOmNnとから成るグループから選択され;前記材料の層が実質的に炭素および塩素を含まない、ウエハー基板のバッチ。
  2. 各ウエハー基板の径が300ミリメートルであることを特徴とする請求項1のウエハー基板のバッチ。
  3. 該材料がSiyNであり、yが1未満および0.75を越えるものである場合に1−yに等しいまたはそれ未満の量の水素が存在する、ことを特徴とする請求項1のウエハー基板のバッチ。
  4. 厚さが各ウエハー基板内で厚さ比3%未満異なることを特徴とする請求項3のウエハー基板のバッチ。
  5. 該バッチには2〜200個の基板が備えられることを特徴とする請求項1のウエハー基板のバッチ。
  6. 該材料がSiOmNnであり、mが0.6と0.8の間にあり、nが0.2と0.4の間にあることを特徴とする請求項1のウエハー基板のバッチ。
  7. 材料の層をウエハー基板のバッチ上に同時に被着するプロセスであって、
    前駆体を含むSi−N−Si構造を該ウエハー基板のバッチを収容するリアクタに給送することと;
    縁部境界を除く表面一帯にわたり各ウエハー内において厚さ比4%未満(3シグマ)異なる厚さになるように、且つウエハー間厚み偏差が3%未満になるように、そして該層には炭素および塩素が実質的に含まれない状態で、当該各々のウエハー基板のバッチの表面上に材料の層を形成するためのウエハー基板温度、総圧および前駆体流量で、前駆体を含む該Si−N−Si構造を反応させることと、を含むプロセス。
  8. 前駆体を含む該Si−N−Si構造がトリシリルアミンであることを特徴とする請求項7のプロセス。
  9. 被着機構および材料層の組成から成るグループから選択された材料層被着因子を修飾する共反応化合物を該リアクタに導入することを含む請求項7のプロセス。
  10. 該共反応化合物が硝化反応物であることを特徴とする請求項9のプロセス。
  11. 該硝化反応物が、NH3、HN3、H22、第二級アミン、第三級アミン、NH*およびNH2 *から成るグループから選択され、yが0.75と1の間にある場合に該材料層が化学式SiyNを有する、ことを特徴とする請求項10のプロセス。
  12. 該共反応化合物が酸化反応物であることを特徴とする請求項9のプロセス。
  13. 2、O3、O*、OH*、H2O、H22、NO、N2O(NO2)、およびそれの組合せから成るグループから該酸化反応物が選択されることを特徴とする請求項12のプロセス。
  14. xが1.9および2.0の間に含まれる場合、該材料層がSiOxことを特徴とする請求項12のプロセス。
  15. 該ウエハー基板温度が600℃未満であり、該総圧が30トール未満であることを特徴とする請求項8のプロセス。
  16. 該ウエハー基板温度が550℃未満のあり、該圧力が10トール未満であり、該前駆体と該共反応化合物が同時に該リアクタ内に定量される、ことを特徴とする請求項9のプロセス。
  17. 前駆体を含む該Si−N−Si構造が、複数のオリフィスを有する垂直管インジェクタにより該リアクタに給送され、該複数のオリフィスのうち少なくとも一つが、該バッチをなすウエハー基板の各々の表面を横切るように流動を生じさせるように、該バッチをなすウエハー基板と出口スリットの各々に一致した状態で配列されている、ことを特徴とする請求項7のプロセス。
  18. 第2の複数のオリフィスを有する第2の垂直管インジェクタによって該リアクタに共反応化合物を配送することをさらに含み、該第2の複数のオリフィスのうち少なくとも一つが該バッチをなすウエハー基板と該出口スリットのそれぞれに一致した状態で配列されていることを特徴とする、請求項17のプロセス。
  19. 該前駆体および該共反応化合物が同時に該リアクタに給送されることを特徴とする請求項18のプロセス。
  20. mが0.6と0.8の間にあり且つnが0.2と0.4の間にある場合にSiOmNnの組成を有する該材料層をもたらすべく、該共反応化合物が酸素原子および窒素原子を含むことを特徴とする請求項18のプロセス。
  21. 該共反応化合物が酸化反応物であり、xが1.9と2.0の間にある場合には該材料層がSiOxの組成を有する、ことを特徴とする請求項18のプロセス。
  22. 該共反応化合物が硝化反応物であり、yが0.75と1の間にある場合には該材料層がSiyNの組成を有する、ことを特徴とする請求項18のプロセス。
  23. 該共反応化合物が、該前駆体の3倍以上の流量で該リアクタに給送されることを特徴とする請求項18のプロセス。
  24. 該前駆体が、次の化学式を有する、請求項7のプロセス。
    Figure 2009500864
    又は
    Figure 2009500864
    (式中、R1、R2およびR3は、各々個々独立した水素またはC1-8アルキルであり、R2とR3の両方が水素である場合にはR1はSiH3であり、R4は水素、C1-8アルキルまたはR1、R2およびR3に結合したSiである。)
  25. 該共反応化合物をプラズマ発生器の放電に曝すことをさらに含む請求項9のプロセス。
JP2008521474A 2005-07-09 2006-07-10 均一バッチ膜被着工程および、それに従って生産されるフィルム Pending JP2009500864A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US69778405P 2005-07-09 2005-07-09
US11/482,887 US20070010072A1 (en) 2005-07-09 2006-07-07 Uniform batch film deposition process and films so produced
PCT/US2006/026588 WO2007008705A2 (en) 2005-07-09 2006-07-10 Uniform batch film deposition process and films so produced

Publications (1)

Publication Number Publication Date
JP2009500864A true JP2009500864A (ja) 2009-01-08

Family

ID=37618804

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008521474A Pending JP2009500864A (ja) 2005-07-09 2006-07-10 均一バッチ膜被着工程および、それに従って生産されるフィルム

Country Status (5)

Country Link
US (1) US20070010072A1 (ja)
EP (1) EP1908098A2 (ja)
JP (1) JP2009500864A (ja)
KR (1) KR20080033965A (ja)
WO (1) WO2007008705A2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013501384A (ja) * 2009-08-06 2013-01-10 アプライド マテリアルズ インコーポレイテッド 非炭素流動性cvdプロセスを使用する酸化ケイ素の形成
JP2015133405A (ja) * 2014-01-14 2015-07-23 日立金属株式会社 半導体製造装置
JP2016537305A (ja) * 2013-09-27 2016-12-01 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アミン置換トリシリルアミン化合物及びトリジシリルアミン化合物
JP2017533589A (ja) * 2014-10-24 2017-11-09 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP2019186335A (ja) * 2018-04-06 2019-10-24 東京エレクトロン株式会社 基板処理装置と基板処理方法
WO2024024544A1 (ja) * 2022-07-28 2024-02-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US12049695B2 (en) 2018-09-18 2024-07-30 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film

Families Citing this family (391)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4899744B2 (ja) * 2006-09-22 2012-03-21 東京エレクトロン株式会社 被処理体の酸化装置
WO2009014333A1 (en) 2007-07-20 2009-01-29 Lg Electronics Inc. Electric heater
JP2009152359A (ja) * 2007-12-20 2009-07-09 Elpida Memory Inc 縦型化学気相成長装置
DE102008034330A1 (de) * 2008-07-23 2010-01-28 Ionbond Ag Olten CVD-Reaktor zur Abscheidung von Schichten aus einem Reaktionsgasgemisch auf Werkstücken
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5658463B2 (ja) * 2009-02-27 2015-01-28 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102687252A (zh) * 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8563445B2 (en) * 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8765573B2 (en) * 2010-09-20 2014-07-01 Applied Materials, Inc. Air gap formation
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9493874B2 (en) * 2012-11-15 2016-11-15 Cypress Semiconductor Corporation Distribution of gas over a semiconductor wafer in batch processing
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
CN103165497B (zh) * 2013-02-20 2015-09-30 上海华力微电子有限公司 一种氧化反应炉及利用该反应炉进行氧化反应的方法
US8921235B2 (en) 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
TWI520178B (zh) * 2013-03-13 2016-02-01 華亞科技股份有限公司 晶圓及用此結構的晶圓鍍膜的方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP2015103726A (ja) * 2013-11-27 2015-06-04 東京エレクトロン株式会社 マイクロ波加熱処理装置及びマイクロ波加熱処理方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN112400219B (zh) * 2018-08-03 2023-12-22 株式会社国际电气 基板处理装置及记录介质
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7228976B2 (ja) * 2018-08-27 2023-02-27 株式会社Screenホールディングス p型窒化ガリウム系半導体の製造方法および熱処理方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7315607B2 (ja) * 2021-03-16 2023-07-26 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200666A (en) * 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US6566281B1 (en) * 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US5968611A (en) * 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
EP1421607A2 (en) * 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6787481B2 (en) * 2002-02-28 2004-09-07 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6881681B2 (en) * 2002-11-22 2005-04-19 Freescale Semiconductor, Inc. Film deposition on a semiconductor wafer
US7029995B2 (en) * 2003-06-13 2006-04-18 Asm America, Inc. Methods for depositing amorphous materials and using them as templates for epitaxial films by solid phase epitaxy
US7125582B2 (en) * 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060051975A1 (en) * 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013501384A (ja) * 2009-08-06 2013-01-10 アプライド マテリアルズ インコーポレイテッド 非炭素流動性cvdプロセスを使用する酸化ケイ素の形成
JP2016537305A (ja) * 2013-09-27 2016-12-01 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アミン置換トリシリルアミン化合物及びトリジシリルアミン化合物
JP2015133405A (ja) * 2014-01-14 2015-07-23 日立金属株式会社 半導体製造装置
JP2017533589A (ja) * 2014-10-24 2017-11-09 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP2020014007A (ja) * 2014-10-24 2020-01-23 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP2022000913A (ja) * 2014-10-24 2022-01-04 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP7152576B2 (ja) 2014-10-24 2022-10-12 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP2019186335A (ja) * 2018-04-06 2019-10-24 東京エレクトロン株式会社 基板処理装置と基板処理方法
US12049695B2 (en) 2018-09-18 2024-07-30 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
WO2024024544A1 (ja) * 2022-07-28 2024-02-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Also Published As

Publication number Publication date
US20070010072A1 (en) 2007-01-11
KR20080033965A (ko) 2008-04-17
WO2007008705A3 (en) 2007-04-12
EP1908098A2 (en) 2008-04-09
WO2007008705A2 (en) 2007-01-18

Similar Documents

Publication Publication Date Title
JP2009500864A (ja) 均一バッチ膜被着工程および、それに従って生産されるフィルム
US12037677B2 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
KR101193628B1 (ko) 저온 실리콘 화합물 증착
US7629267B2 (en) High stress nitride film and method for formation thereof
US8828505B2 (en) Plasma enhanced cyclic chemical vapor deposition of silicon-containing films
US20070031598A1 (en) Method for depositing silicon-containing films
KR100481441B1 (ko) 반도체 장치의 제조방법 및 반도체 제조장치
US20060159847A1 (en) Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US8202809B2 (en) Method of manufacturing semiconductor device, method of processing substrate, and substrate processing apparatus
US8409352B2 (en) Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
CN105296963B (zh) 半导体器件的制造方法及衬底处理装置
EP1887104A2 (en) Radical assisted batch film deposition
JP2020182001A (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび処理容器
US6465044B1 (en) Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone
CN108780751A (zh) 衬底处理装置、半导体器件的制造方法及记录介质
KR100246119B1 (ko) 도프트 박막의 성막방법
US7304002B2 (en) Method of oxidizing member to be treated
TW201828365A (zh) 硬式遮罩及其製造方法
US10720325B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
KR101225477B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
US10573514B2 (en) Method of forming silicon-containing film
JP5175414B2 (ja) 膜形成方法及び装置
WO2023102376A1 (en) Deposition of high compressive stress thermally stable nitride film
JPH1068084A (ja) Cvd薄膜を作るための装置および方法
JPH06310437A (ja) ドープド薄膜の成膜方法