JP2009539265A - ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法 - Google Patents

ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法 Download PDF

Info

Publication number
JP2009539265A
JP2009539265A JP2009513422A JP2009513422A JP2009539265A JP 2009539265 A JP2009539265 A JP 2009539265A JP 2009513422 A JP2009513422 A JP 2009513422A JP 2009513422 A JP2009513422 A JP 2009513422A JP 2009539265 A JP2009539265 A JP 2009539265A
Authority
JP
Japan
Prior art keywords
oxide layer
silicon oxide
plasma
chamber
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009513422A
Other languages
English (en)
Other versions
JP5401309B2 (ja
Inventor
ジェフリー, シー. ムンロ,
スリニヴァス, ディー. ネマーニ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009539265A publication Critical patent/JP2009539265A/ja
Application granted granted Critical
Publication of JP5401309B2 publication Critical patent/JP5401309B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

基板上に酸化シリコン層を製造する方法を記載する。方法としては、原子酸素前駆物質とシリコン前駆物質を反応させることにより反応チャンバ内で基板上に酸化シリコン層を形成するステップと、基板上に反応生成物を堆積させるステップとが含まれるのがよい。原子酸素前駆物質は、反応チャンバの外部で生成される。方法には、また、酸化シリコン層を約600℃以下の温度で加熱するステップと、酸化シリコン層を誘導結合プラズマにさらすステップとが含まれる。堆積された酸化シリコン層が、層を紫外光にさらし、更に層を誘導結合プラズマにさらすことによって硬化される方法を更に記載する。
【選択図】 図2

Description

関連出願の相互参照
[0001]本出願は、2006年3月30日出願の“A METHOD FOR DEPOSITING ANDCURING LOW-K FILMS FORGAP FILLAND CONFORMALFILM APPLICATIONS”と称するMunroらによる米国仮出願第60/803,489号の利益を主張する。この出願は、また、2006年3月30日出願の“CHEMICALVAPOR DEPOSITIONOF HIGHQUALITY FLOW-LIKE SILICON DIOXIDEUSING ASILICON CONTATINIGRECURSOR AND ATOMIC OXYGEN”と称するIngleらによる共同譲渡された米国仮出願第60/803,493号に関する。この出願は、また、2006年3月30日出願の“ANOVEL DEPOSITION-PLASMA CURE CYCLEPROCESS TOENHANCE FILMQUALITY OFSILICON DIOXIDE”と称するChenらによる米国仮出願第60/803,481号に関する。更に、この出願は、2006年3月30日出願の“PROCESSCHAMBER FORDIELECTRIC GAPFILL”と称するLubomirskyらによる米国仮出願第60/803,499号に関する。米国仮特許出願及び関連出願の優先権の全体の内容は、すべてのために本明細書に援用されている。
発明の背景
[0002]誘電体膜の堆積において、良好な絶縁特性(即ち、低k値)と、良好な膜質(例えば、高い膜密度や低ウエットエッチング速度比(WERR))を持つ高度に共形の(コンフォーマル)層を形成することはしばしば望ましい。残念なことに、簡単な堆積においてこれらすべての品質を合わせた出発材料は(あったとしても)ほとんどない。酸化シリコン誘電体堆積において、高度に共形の膜は、典型的には、膜がギャップ、ボイド、シームに移動することを可能にする良好な流動特性を持つ。しかしながら、良好な流動特性を持つ酸化物膜は、高い水濃度とシラノール(即ち、Si-OH)濃度を持つ傾向があり、膜のk値とWERRを増加させる。一方、低水分酸化物膜は、典型的には、より低いk値とWERRを持つが、流動性が低いためにギャップやシームをより形成する傾向がある。
[0003]高水分と低水分の酸化シリコン膜間の欠陥を減じる一つの方法は、まず、共形の高水分膜を堆積させ、その後、それをアニールして、水の少なくとも一部を除去することである。二つの従来のアニール法は、:(1)高温熱アニールと、(2)高密度プラズマアニールである。熱アニールにおいて、堆積された酸化物層は、かなりの量の水分が層から蒸発される温度に上げられる。シラノール基もまた水とSi-O結合に分解され、この水分の少なくとも一部が酸化物層から出る。この結果は、最初に堆積された酸化物膜より高い密度とより電気的に絶縁している(即ち、k値がより低い)アニールされた酸化シリコン層である。
[0004]従来の熱アニールは、アニール温度がより高い場合により効率的である。1000℃を超える高温アニールは、シラノール結合を分解し、300℃アニールよりかなり高い速度で堆積された酸化物層から水分を蒸発させる。より高い除去速度は、アニール時間を短縮させ、アニールステップの効率を高める。しかしながら、より高い温度のアニールは、製造プロセスにおける熱量の制限との釣り合いを保たなければならない。例えば、熱アニールが金属ラインの上に堆積された金属間誘電体層(IMD)上で行われる場合には、アニール温度の上限は、400℃以下になることがある。場合によっては、低い熱量は、アニール時間が長いために熱アニールを実際的でなくなり得る。
[0005]高温アニールが実際的でない場合、高密度プラズマを伴う第二アニール法を用いることがある。この方法において、最初に堆積された酸化シリコン層は、典型的にはヘリウムやアルゴンのような不活性ガスの分解から形成された高密度プラズマにさらされる。プラズマからの荷電粒子は酸化物膜と衝突し、シラノール結合の分離と水蒸気の除去を引き起こす。高密度プラズマのアニール温度は、一般に、熱アニールより低く、低い熱量の制限を持つ酸化物膜をアニールするために使用し得る。
[0006]非常に活発なプラズマ粒子は、酸化物膜における炭素-シリコン結合や炭素-炭素結合を分離し得る。純粋な酸化シリコン層を堆積する場合、炭素の分解と除去は、プラズマアニールの望ましい成果である。しかしながら、物質の誘電率をより低くするために炭素を組み込む低k酸化物膜の場合、プラズマによる炭素除去は、k値の増加によって膜を損傷させ得る。従って、低k物質の誘電率に不利に影響を及ぼすことのなく低温で誘電体膜を効率よく硬化することができるアニール法が更に求められている。この及び他の課題は、本発明の実施形態によって対処できる。
発明の簡単な概要
[0007]本発明の実施形態には、基板上に酸化シリコン層を製造する方法が含まれる。方法には、原子酸素前駆物質とシリコン前駆物質を反応させることにより反応チャンバ内で基板上に酸化シリコン層を形成するステップと、基板上に反応生成物を堆積させるステップとが含まれるのがよい。原子酸素前駆物質は、反応チャンバの外部で生成されてもよい。方法には、また、約600℃以下の温度で酸化シリコン層を加熱するステップと、酸化シリコン層を誘導結合プラズマにさらすステップとが含まれてもよい。
[0008]本発明の実施形態は、また、基板上に酸化シリコン層を形成する方法を含む。方法には、原子酸素前駆物質とシリコン前駆物質を反応させることにより反応チャンバ内で基板上に酸化シリコン層を形成するステップと、基板上に反応生成物を堆積させるステップとが含まれるのがよい。原子酸素前駆物質は、反応チャンバの外部で生成されてもよい。方法には、また、酸化シリコン層を紫外線にさらすステップと、酸化シリコン層を誘導結合プラズマにさらすステップとが含まれてもよい。
[0009]本発明の実施形態は、更に、ウエハ基板上に酸化シリコン層を堆積しアニールする方法を含む。方法には、基板ウエハを酸化シリコン層の堆積が生じるHDP-CVDプロセスチャンバに供給するステップと、HDP-CVDプロセスチャンバの外部に遠隔プラズマ生成ユニットを供給するステップとが含まれてもよい。遠隔プラズマ生成ユニットは、HDP-CVDプロセスチャンバに供給される原子酸素前駆物質を生成するために用いることができる。方法には、HDP-CVDプロセスチャンバにシリコン前駆物質を供給するステップであって、シリコン前駆物質と原子酸素前駆物質が反応して、ウエハ上に酸化シリコン層を形成する、前記ステップが含まれてもよい。酸化シリコン層が形成された後、第一アニールが堆積された酸化シリコン層上で行われるのがよく、第一アニールは層を約300℃〜約600℃の温度に約1分〜約30分間加熱するステップを含んでいる。その後、第二アニールが堆積された酸化物層上で行われるのがよく、第二アニールは層を高密度アルゴンプラズマに約1分〜約10分間さらすステップを含んでいる。
[0010]追加の実施形態及び特徴は、以下の説明に部分的に示され、一部は明細書の試験の際に当業者に明らかになり、本発明の実施によって学ぶこともできる。本発明の特徴及び利点は、明細書に記載される手段、組み合わせ、方法によって可能になり実現することができる。
[0011]本発明の本質及び利点の理解は、更に、明細書と図面の残りの部分によって可能になり、ここで、同様の符号は同様の要素を示すために幾つかの図面全体に用いられている。場合によっては、サブラベルが符号と関連づけられ、複数の同様の要素の一つを示すためのハイフンに続く。存在するサブラベルに対して明記せずに符号に説明がなされている場合は、このような複数の同様の要素すべてを示すように意図されている。
図1は、本発明の実施形態による誘電体堆積とアニールにおいて選択されたステップを示すフローチャートである。 図2は、本発明の実施形態による誘電体堆積と熱/プラズマアニールにおいて選択されたステップを示すフローチャートである。 図3は、本発明の実施形態による誘電体堆積とUV/プラズマアニールにおいて選択されたステップを示すフローチャートである。 図4は、本発明の実施形態による多層誘電体堆積と熱/プラズマアニールにおいて選択されたステップを示すフローチャートである。 図5Aは、本発明の実施形態による高密度プラズマ化学気相堆積(HDPCVD)システムの簡易図である。 図5Bは、本発明の実施形態によるHDP-CVDシステムと共に用いることができるガスリングの一例の簡易断面図である。 図5Cは、本発明の実施形態によるHDP-CVDシステムと共に用いることができるモニタとライトペンシステムの一例の簡易図である。 図5Dは、本発明の実施形態によるHDP-CVDシステムを制御するプロセスコントローラの一例の簡略図である。
発明の詳細な説明
[0020]酸化シリコン層の多段アニールのためのシステム及び方法を記載する。多段アニールは、高温(例えば、600℃を超える温度)を必要とせずに酸化物層から水分とシラノール基を効率よく除去することを可能にする。多段アニールには、層の硬度を増大するとともに水分及び/又はヒドロキシル基の一部を除去する第一段階アニールと、残存している水分とヒドロキシル基をほとんど(全部ではないが)除去して良好な誘電特性(例えば、k値が3未満)を持つ硬質酸化物層(例えば、1GPaより大きい)を製造する第二段階アニールが含まれるのがよい。
[0021]多段アニールは、高い温度又は長いアニール時間(例えば、60分より長い)を必要とせずに、最初に堆積された軟質酸化物膜を、高品質、低k誘電体層に変化させることができる。炭素含有低k酸化物層の場合、アニールの第一段階は、次のアニール段階(又は複数の段階)が層のk値を著しく上げるのに十分な炭素を分解せず除去しないという点まで軟質膜を硬化させる。従って、高密度プラズマのような低温で非常に効率的な水分除去アニール法は、炭素含有酸化シリコン誘電体層の低k特性を妥協せずにアニールプロセスに用いることができる。
例示的酸化物層堆積プロセスとアニールプロセス
[0022]図1は、本発明の実施形態による誘電堆積及びアニール法100の選択されたステップ示すフローチャートである。方法100には、ウエハ基板を堆積チャンバ102に準備するステップが含まれるのがよい。ウエハ基板には、200mm、300mm等のシリコンウエハ基板が含まれるのがよい。基板は、アスペクト比が2:1以上、5:1以上、7:1以上、10:1以上、13:1以上、15:1以上等のギャップ、トレンチ、ステップなどが含まれる、構造がその上に形成されていてもよい。
[0023]基板含有反応チャンバ104にシリコン前駆物質を導入することができる。シリコン前駆物質には、一つ以上の炭素含有有機シリコン化合物、及び/又はシラン(SiH)のような非炭素含有シリコン化合物が含まれてもよい。有機シリコン含有化合物には、直接Si-C結合を持つもの及び/又はSi-O-C結合を持つものが含まれてもよい。有機シランシリコン前駆物質の例としては、特に、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ジエチルシラン、テトラメチルオルトシリケート(TMOS)、テトラエチルオルトシリケート(TEOS)、オクタメチルトリシロキサン(OMTS)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルシクロテトラシロキサン(TOMCATS)、DMDMOS、DEMS、メチルトリエトキシシラン(MTES)、フェニルジメチルシラン、及びフェニルシラン並びにこれらの混合物が挙げられる。
[0024]有機シリコン前駆物質は、堆積チャンバに導入する前又は間にキャリアガスと混合されてもよい。キャリアガスは、基板上の酸化物膜の形成を不当に妨害しない不活性ガスであるのがよい。キャリアガスの例としては、他のガスの中でも、ヘリウム、ネオン、アルゴン、及び水素(H)などのガスが挙げられる。
[0025]酸素含有前駆物質もまた、基板含有反応チャンバ106に導入されてもよい。酸素含有前駆物質には、堆積チャンバの外部で遠隔で生成された原子酸素が含まれてもよい。原子酸素は、酸素分子(O)、オゾン(O)、窒素-酸素化合物(例えば、NO、NO、NO等)、水素-酸素化合物(例えば、HO、H等)、炭素-酸素化合物(例えば、CO、CO等)のような前駆物質の解離だけでなく、他の酸素含有前駆物質及び前駆物質の組み合わせによって生成することができる。
[0026]原子酸素を生成する前駆物質の解離は、また、特に、熱解離、紫外線解離、及び/又はプラズマ解離の方法によって行われてもよい。プラズマ解離には、遠隔プラズマ生成チャンバ内でヘリウム、アルゴン等を衝突させるステップとからのプラズマの打撃及び酸素前駆物質をプラズマに導入して原子酸素前駆物質を生成させるステップが含まれてもよい。
[0027]方法100の実施形態において、原子酸素と有機シリコンの前駆物質は、堆積チャンバに導入される前に混合されない。前駆物質は、反応チャンバの周りに分配された空間的に分離された前駆物質注入口を通ってチャンバに入ることができる。例えば、原子酸素前駆物質は、チャンバの最上部で基板の上に直接位置決めされた注入口(又は複数の注入口)から入ることができる。注入口は、基板堆積面に垂直の向きに酸素前駆物質の流れを進める。その一方で、シリコン前駆物質は、堆積チャンバの側面の周りに一つ以上の注入口から入ることができる。注入口は、堆積面とほぼ平行な向きにシリコン前駆物質の流れを進めてもよい。
[0028]追加の実施形態には、マルチポートシャワーヘッドの別々のポートを通って原子酸素とシリコンの前駆物質を送るステップが含まれる。例えば、基板の上に位置決めされたシャワーヘッドには、堆積チャンバに入る前駆物質のための開口部パターンが含まれてもよい。開口部の一サブセットは、原子酸素前駆物質によって供給することができ、開口部の第二サブセットはシリコン前駆物質によって供給される。異なる組の開口部を通って進む前駆物質は、堆積チャンバに出るまで相互に流体的に分離されていてもよい。前駆物質処理装置の種類と設計についての詳細は、更に、本発明と同日に出願された“PROCESSCHAMBER FORDIELECTRIC GAPFILL”と称するLubomirskyによる代理人整理番号A011162/T2700を持つ共同譲渡された米国仮特許出願に記載され、この全体の内容はすべてのために本明細書に援用されている。
[0029]原子酸素とシリコンの前駆物質が堆積チャンバ内で反応するので、これらは、基板堆積面108上に酸化シリコン層を形成する。最初の堆積の間、基板は、一定で相対的に低温(例えば、約30℃〜約70℃)に保持することができる。最初の酸化物層は、流動性が優れ、基板表面上の構造内のギャップの底に急速に移動し得る。
[0030]酸化シリコン層の堆積後、水分の一部分を除去するとともに層の硬度を増加させる第一アニール110を行うことができる。この最初のアニールステップには、酸化物層を不活性環境(例えば、乾燥N環境)内で約1分〜10分間加熱する工程が含まれてもよい。熱アニール温度は、酸化物層がアルミニウム金属ラインの上に堆積された金属間誘電体層である場合のように、基板構造内に形成された金属ラインを損傷しないように選択することができる。
[0031]或いは(又は更に)第一アニールステップには、約1分から約30分の間、酸化シリコン層を紫外(UV)光にさらす工程が含まれてもよい。熱アニールのように、UVアニールは、最初に堆積された酸化物膜に存在する水分の一部を除去してもよく、膜の硬度も増大する。
[0032]第一アニールの終了の後、酸化物層から水分とシラノール結合を更に除去する第二アニールステップ112を行うことができる。このアニールステップ112において、水分とシラノールのほとんどすべてを除去して、最初に堆積された酸化物か又は層が第一アニールステップで処理された後より低いk値とより低いWERR値を得ることができる。この第二アニールには、酸化物から水とシラノール基を除去するのに効率的であるプラズマに酸化物層をさらすステップが含まれるのがよい。しかしながら、第一アニール後、酸化物層は、プラズマが酸化物中に存在する炭素基を分離することを減少させる(防止する)のに充分な硬度を持つ。従って、低kの炭素含有酸化物層の場合、プラズマアニールは、層のk値を著しく増加させるのに充分な炭素を除去しない。
[0033]ここで図2を参照すると、本発明の実施形態による誘電体堆積と熱/プラズマアニール法200における選択されたステップを示すフローチャートが示されている。方法200は、基板を堆積チャンバ202に準備するステップを含む。その後、最初の酸化物層の堆積には、反応チャンバの外部の遠隔システム内で反応性原子酸素204の生成が含まれる。例えば、4000〜6000ワット(例えば、5500ワット)のRF電力を、例えば、約900〜1800sccmで流れるアルゴンガスと、例えば、約600〜約1200sccmで流れる分子酸素(O)とを合わせたガス流に供給する遠隔高密度プラズマ発生装置において原子酸素を生成することができる。その後、遠隔で生成された原子酸素前駆物質を、反応チャンバ206に導入することができる。
[0034]有機シリコン前駆物質もまた、反応チャンバ208に導入される。この前駆物質は、有機シリコン化合物(ガス又は液体)とヘリウム又は分子水素(H)のようなキャリアガスとの混合によって導入することができる。例えば、ヘリウムをオクタメチルシクロテトラシロキサン(OMCTS)のような室温の液体有機シリコン前駆物質によって約600〜約2400sccmの流量で泡立てて、約800〜約1600mgmの速度でチャンバへOMCTS流を供給することができる。
[0035]原子酸素とシリコン前駆物質は、チャンバ内で互いに反応して、基板210上に最初の酸化物層を形成する。酸化物層の堆積中の全圧は、例えば、約0.5トール〜約6トールであるのがよい。より高い全圧(例えば、1.3トール)は、より流動状の品質で酸化物膜を堆積することができ、より低い圧力(例えば、0.5トール)は、より共形の酸化物層を堆積することができる。原子酸素が非常に反応性であることから、反応チャンバ内の堆積温度は、比較的低くてもよい(例えば、約100℃以下)。酸化物の堆積速度は、約500オングストローム/分〜約3000オングストローム/分(例えば、1500オングストローム/分)の範囲であるのがよい。層の厚さは、約500オングストローム〜約5000オングストロームであるのがよい。
[0036]低kの堆積後、酸化物膜の熱アニール212が行われる。このアニールには、最初に堆積された酸化物層の温度を約300℃〜約600℃(例えば、約350℃〜約400℃;約380℃等)まで上げるステップが含まれてもよい。熱アニール環境には、乾燥窒素(N)、ヘリウム、アルゴン等の不活性雰囲気を含むことができ、チャンバ圧は、約15mトール〜約760トール(例えば、50トール)であるのがよい。酸化物層は約1分〜約30分間(例えば、約1分)熱アニールを受けることができ、最初に堆積された膜より水分が少なく硬度が大きいアニールされた酸化物層を得ることができる。熱アニールが水分とシラノールを完全に除去しないことから、層の硬さは、完全に乾燥したシラノールを含まない酸化物より小さい。例えば、熱アニール後膜の硬度は、約0.5GPa以下(例えば、0.1GPa〜約0.5GPa)であるのがよい。同様に、膜の誘電率は、完全に乾燥した酸化物層の誘電率より普通は高く、熱アニール後のk値は4.0より大きいのがよい。
[0037]第二に、熱的にアニールされた酸化物層にプラズマアニール214を行うことができる。プラズマアニールは、ウエハ基板をヘリウム又はアルゴンのような一つ以上の不活性ガスから生成されたプラズマにさらすことによって行われてもよい。プラズマは、誘導結合プラズマ(ICP)によって生成されてもよく、反応チャンバ内でインサイチュで生成されてもよい。プラズマを生成するために用いられるRF電力は、約1000ワット〜約9600ワット(例えば、約1800ワット)であるのがよく、チャンバ内のプラズマ圧は、約2ミリトール〜約50ミリトール(例えば、約20ミリトール)であってもよい。ウエハは、プラズマアニールの間、約350℃〜約400℃(例えば、約380℃)に加熱することができ、酸化物層は、プラズマに約1分〜約10分間(例えば、約3分間)さらされてもよい。層内の水分とシラノールの濃度は、プラズマアニール後にほぼゼロに近づく。層の硬度は、水分とシラノールを含まない低k酸化シリコンとほぼ同じである(例えば、約1.2GPa)。層のWERRは、また、2:1より小さい(例えば、約1.8:1〜約1.4:1)。同様に、層のk値は、3.0未満であるのがよい。アニールされた酸化物層は、また、金属化された基板の熱量温度(例えば、約600℃)で均一で安定していることができる。
[0038]図3は、本発明の実施形態による誘電堆積とUV/プラズマアニール法300の選択されたステップによるフローチャートを示している。方法200と同様に、方法300は、基板を堆積チャンバ302に準備するステップと、堆積チャンバ306に導入される原子酸素304前駆物質を生成するステップとを含む。シリコン前駆物質(例えば、有機シリコン化合物)は、また、チャンバ308に導入され、原子酸素前駆物質と反応して、最初の酸化物層310を形成する。この最初の堆積後、二ステップアニールが水分とシラノール基を酸化物層から除去するために行われる。
[0039]第一アニールステップは、最初に堆積された酸化シリコン層を紫外光312にさらすステップを含む。UV光は、水分を除去しシラノール(即ち、Si-OH)結合を分解することによって硬度を上げ膜のk値を低くすることができる。例えば、Si-OH結合は、約200nmのUV放射線を吸収し、シラノールを酸化シリコンと水蒸気に変換する。
[0040]UV光は、基板上に光を当てる一つ以上のUV光源から供給することができる。UV光源には、UV波長(例えば、220nm)でピーク強度を持つ幅広いスペクトルの波長の光(非UVの波長を含む)を放出するUVランプが含まれるのがよい。UVランプの例としては、他のタイプのUVランプの中でも、キセノンランプ(172nmでピーク放出波長)、水銀ランプ(243nmでピーク)、デュートリウムランプ(140nmでピーク)、塩化クリプトン(KrCl)ランプ(222nmでピーク)が挙げられる。追加のUV光源には、コヒーレント、狭帯域UV光を酸化物層に供給するレーザが含まれてもよい。レーザ光には、エキシマレーザ(例えば、XeCl、KrF、Fエキシマレーザ)及び/又は適切な高調波の固体レーザ(例えば、Nd-YAGレーザ)が含まれてもよい。UV光源には、ダイオードUV光源が含まれてもよい。
[0041]フィルタ及び/又はモノクロメータは、酸化物層に達する光の波長範囲を狭くするために用いることができる。例えば、フィルタは、UVアニールが層内の炭素を除去しないように170nm未満の波長の光を遮断するのがよい。
[0042]酸化物層は、UV光源に約10秒〜約60分間さらすのがよい。典型的には、約1分〜約10分間(例えば、約2分〜約5分間)さらすのがよい。酸化物層の温度は、UVアニールステップの間、約25℃〜約900℃であるのがよい。酸化物層は、ヘリウム、アルゴン、N、NO、アンモニア、オゾン、HO又はこれらの混合物を含有する雰囲気中にあるとともにUVにさらされるのがよい。UVにさらされる間のチャンバ内の雰囲気の圧力は、約1トール〜約600トールの範囲にあるのがよい。
[0043]UVアニール後、プラズマアニールは、酸化物層314上で行われてもよい。この第二アニールは、ほとんどすべての残存する水とシラノール基を除去して、高品質(例えば、1.2GPaの硬度)、低k(例えば、約3.0以下のk値)の酸化シリコン層を得る。方法200におけるプラズマアニール214と同様に、プラズマアニール314は、ウエハ基板をヘリウム又はアルゴンのような一つ以上の不活性ガスから生成されたプラズマにさらすことによって行うことができる。プラズマは、誘導結合プラズマ(ICP)によって生成することができ、反応チャンバ内でインサイチュで生成することができる。ウエハは、プラズマアニールの間、約350℃〜約400℃に加熱することができ、酸化物層は、プラズマに約1分〜約10分間さらされるのがよい。層内の水分とシラノール濃度は、アニール後にほぼゼロに近づく。
[0044]ここで図4を参照すると、本発明の実施形態による多層誘電堆積及び熱/プラズマアニール400における選択されたステップによるフローチャートが示されている。方法400は、基板を堆積チャンバ402に準備するステップと、基板404上に第一誘電体層を堆積させるステップとを含む。誘電体層は、原子酸素と有機シリコン前駆物質の反応によって形成された酸化シリコン層であるのがよい。原子酸素前駆物質は、Oのような酸素含有ガスの高密度プラズマ分解によって遠隔で生成されてもよい。第一酸化物層の厚さは、約50オングストローム〜約500オングストローム(例えば、約100オングストローム〜約200オングストローム)であるのがよい。
[0045]堆積後、第一誘電体層は、二ステップアニールプロセスでアニールされるのがよい。第一アニールステップ406には、層の硬度を増加させるUVアニール又は熱アニールが含まれてもよい。その後、更に層から水分とシラノール結合を除去するために第二アニールステップ408が行われるのがよい。これは、誘電体層を不活性プラズマにさらすことによって行われるプラズマアニールであるのがよい。誘電体層の温度は、両アニールのステップの間、約300℃〜約600℃(例えば、約350℃〜約400℃)に維持するのがよい。第一誘電体層の第一アニールと第二アニールは、約30秒〜約10分間続けるのがよい。
[0046]その後、ここで第一誘電体層を持つ基板上に第二誘電体層を形成することができる410。第二誘電体層は、第一誘電体層と同じ前駆物質(例えば、原子酸素と有機シリコン前駆物質)から形成されてもよい。第二誘電体は、第一層とほぼ同じ厚さ(例えば、約50オングストローム〜約500オングストローム)で形成されてもよい。
[0047]堆積後、第二誘電体層は、二ステップアニールプロセスでアニールすることができる。第一アニールステップ412には、層の硬度を増加させるとともに膜内に水分とシラノールレベルを減少させるためにUVアニール又は熱アニールが含まれてもよい。その後、更に層から水分とシラノール結合を除去するために第二アニールステップ414を行うことができる。これは、誘電体層を不活性プラズマにさらすことによって行われるプラズマアニールであってもよい。誘電体層の温度は、両アニールステップの間、約300℃〜約600℃(例えば、約350℃〜約400℃)に維持されるのがよい。第二誘電体層の第一アニールと第二アニールは、約30秒〜約10分間続けるのがよい。
[0048]誘電体堆積と二段階のアニールサイクルは、誘電物質が所望の厚さに形成されるまで数回以上反復(図示せず)が行われてもよい。例えば、各誘電体層が100オングストローム厚である場合には、所望の全誘電体の厚さは1.2μmであり、その後、12の堆積アニールサイクルを行わなければならない。それぞれの堆積された層の厚さは、他のパラメータの中でも、反応性前駆物質の種類や流量、堆積チャンバの全圧、温度のような酸化物堆積速度に影響するパラメータを制御することによって設定することができる。上述したように、酸化物層の典型的な堆積速度は、約500オングストローム/分〜約3000オングストローム/分(例えば、約1500オングストローム/分)である。
例示的な堆積及びアニールシステム
[0049]本発明の実施形態を実施することができる堆積システムは、他の種類のシステムの中でも、高密度プラズマ化学気相堆積(HDP-CVD)システム、プラズマ増強型化学気相堆積(PECVD)システム、大気圧未満化学気相堆積(SACVD)システム、熱化学気相堆積システムが含まれ得る。本発明の実施形態を実施することができるCVDシステムの具体例としては、カリフォルニア州サンタクララのアプライドマテリアルズから入手できるCENTURAULTIMATMHDP-CVDチャンバ/システム、PRODUCERTMPECVDチャンバ/システムが含まれる。
[0050]本発明の実施形態を使うために変更し得る適切な一堆積及びアニールシステムが2004年3月7日出願のChenらによる共同譲渡された米国特許公開第US2005/0250340号(米国特許出願第10/841,582号)に図示され説明されており、この開示内容はすべてに対して本明細書に援用されている。
[0051]ここで図5Aを参照すると、本発明のシージング膜を硝酸アルミニウム要素(例えば、ノズル、バッフル等)上に堆積することができる高密度プラズマ化学気相成長(HDP-CVD)システム510の実施形態が示されている。システム510は、チャンバ513と、真空システム570と、ソースプラズマシステム580Aと、バイアスプラズマシステム580Bと、ガス分配システム533と、遠隔プラズマ洗浄システム550とを含む。
[0052]チャンバ513の上部には、窒化アルミニウムのようなセラミック誘電物質から製造されるドーム514が含まれる。ドーム514は、プラズマ処理領域516の上部境界を画成している。プラズマ処理領域516は、基板517の上面と基板支持部材518によって底の上に境界がある。
[0053]加熱プレート523と冷却プレート524は、ドーム514の上に置かれ、熱的に結合している。加熱プレート523と冷却プレート524は、約100℃〜約200℃の範囲で約+/-10℃以内までドームの温度の制御を可能にする。これは、種々のプロセスのドームの温度を最適化することを可能にする。例えば、堆積プロセスより洗浄プロセス又はエッチングプロセスの方がより高温でドームを維持することができることが望ましい。ドーム温度の正確な制御もまた、チャンバ内のフレーク又はパーティクル数を減少させ、堆積された層と基板間の接着を改善する。
[0054]チャンバ513の下部は、チャンバを真空システムに連結する本体部材522を含む。基板支持部材518のベース部分521は、本体部材522に取り付けられ、連続した内面を形成する。基板は、チャンバ513の側面に挿入/取出し開口(図示せず)を通ってロボットブレード(図示せず)によってチャンバ513に出入りする。リフトピン(図示せず)を上昇させ、その後、上部搭載位置557のロボットブレードから基板が基板支持部材518の基板収容部519に載置される下部処理位置556に基板を移動するモニタ(図示せず)の制御下で下降させる。基板収容部分519は、基板処理の間、基板支持部材518へ基板を固定する静電チャック520を含む。一実施形態において、基板支持部材518は、アルミニウムセラミック(例えば、AlN)物質から製造されている。
[0055]真空システム570は、二ブレードスロットバルブ526を収容し、ゲートバルブ527とターボ分子ポンプ528に取り付けられているスロットル本体525を含む。スロットル本体525がガスフローへの妨害を最小にし、対称のポンプを可能にすることは留意すべきである。これは、1995年12月12日出願の共同譲渡された米国特許出願第08/574,839号に記載されており、この開示内容は本明細書に援用されている。ゲートバルブ527は、ポンプ528をスロットル本体525から分離することができ、スロットルバルブ526が完全に開放したときに排気流能力を制限することによってチャンバ圧を制御することもできる。スロットルバルブ、ゲートバルブ、ターボ分子ポンプの設備は、約1ミリトール〜約2トールのチャンバ圧の正確で安定した制御を可能にする。
[0056]ソースプラズマシステム580Aは、ドーム514に取り付けられた最上部コイル529と側面コイル530を含む。対称の接地シールド(図示せず)は、コイル間の電気的結合を低下させる。最上部コイル529は、最上部供給源RF(SRF)発生装置131Aによって電力を供給されるが、側面コイル530は側面SRF発生装置531Bによって電力を供給され、各コイルのための独立した電力レベルと動作周波数を可能にする。このデュアルコイルシステムは、チャンバ513内の放射状イオン密度の制御を可能にし、それにより、プラズマの均一性が改善される。側面コイル530と最上部コイル529は、典型的には、誘導的に動作し、補足的電極を必要としない。一実施形態において、最上部供給源RF発生装置531Aは、名目上2MHzで最大RF電力10,000ワットを供給し、側面供給源RF発生装置531Bは、名目上2MHzで最大RF電力10,000ワットを供給する。最上部と側面のRF発生装置の動作周波数は、名目上の動作周波数(例えば、それぞれ1.7-1.9MHzと1.9-2.1MHz)から弱められ、プラズマ生成効率を改善することができる。
[0057]バイアスプラズマシステム580Bは、バイアスRF(BRF)発生装置531Cとバイアス整合ネットワーク532Cを含む。バイアスプラズマシステム580Bは、基板部分517を本体部材522に容量的に結合し、補助的電極として作用する。バイアスプラズマシステム580Bは、基板の表面にソースプラズマシステム580Aによって生成されたプラズマ種(例えば、イオン)の搬送を増強する働きをする。個々の実施形態において、バイアスRF発生装置は、13.56MHzで最大5,000ワットのRF電力を供給する。
[0058]RF発生装置531Aと531Bは、デジタル制御されたシンセサイザを含み、約1.8〜約2.1MHzの周波数の範囲で作動させる。各発生装置は、当業者が理解するように、チャンバとコイルから発生装置に反射電力を測定し且つ動作周波数に調整して最も低い反射電力の得るRF制御回路(図示せず)を含む。RF発生装置は、典型的には、50オームの特性インピーダンスを持つロードに作動させるように設計されている。RF電力は、発生装置より異なる特性インピーダンスを持つロードから反射されてもよい。これにより、ロードに移送される電力を減少させることができる。更に、ロードから発生装置に反射した電力は、オーバーロードし、発生装置を損傷することがある。プラズマのインピーダンスが5オーム未満〜900オームを超える範囲にあってもよいことから、他の要因の中でも、プラズマイオン密度に左右され、反射電力が周波数の関数であることから、反射電力による発生装置周波数の調整は、RF発生装置からプラズマに移送される電力を増加させ、発生装置を保護する。反射電力を減少させ且つ効率を改善する他の方法は、整合ネットワークによるものである。
[0059]整合ネットワーク532Aと532Bは、発生装置531Aと531Bの出力インピーダンスとそれぞれのコイル529と530とを整合させる。RF制御回路は、ロードが変化するのにつれて整合ネットワーク内のキャパシタ値を変えて発生装置をロードに変えることにより双方の整合ネットワークを調整することができる。RF制御回路は、ロードから発生装置に反射される電力がある上限を超えるときに、整合ネットワークを調整することができる。一定の整合を与え、且つ整合ネットワークの調整からRF制御回路を効果的に無効にする一つの方法は、反射電力の予想されるあらゆる値より反射電力の上限を設定することである。これにより、最も最近の条件で整合ネットワークを一定に保持することによってある条件下でプラズマの安定化を援助することができる。
[0060]他の基準もまた、プラズマの安定化を援助することができる。例えば、RF制御回路は、ロード(プラズマ)に分配された電力を決定するために用いることができ、層の堆積の間、分配された電力をほぼ一定に保つように発生装置出力電力を増加又は減少させることができる。
[0061]ガス分配システム533は、幾つかの供給源534A-534Fからガス分配ライン538(一部だけが図示される)を介して基板を処理するためのチャンバにガスを供給する。当業者が理解するように、供給源534A-534Fに用いられる実際の供給源と分配ライン538のチャンバ531への接続は、チャンバ531内で実行される堆積や洗浄プロセスによって異なる。ガスは、ガスリング537及び/又はトップノズル545を通ってチャンバ531に導入される。ガスリング537及び/又はトップノズル545は、AlNから製造することができる。図5Bは、ガスリング537の詳細を更に示すチャンバ513の簡略化された一部断面図である。
[0062]一実施形態において、第一ガス源と第二ガス源534Aと534B、及び第一ガスフローコントローラと第二ガスフローコントローラ535A’と535B’は、ガス分配ライン538(一部だけが図示される)を介して、ガスリング537内のリングプレナム536にガスを提供する。ガスリング537は、基板上に均一なガス流を与える多数のガスノズル539(図のために一つだけが図示される)を持つ。ノズル長さとノズル角は、均一なプロファイルと個々のチャンバ内の特定のプロセスのためのガス利用効率の調整を可能にする。一実施形態において、ガスリング537は、窒化アルミニウムから製造された112のガスノズル539を持つ。
[0063]ガスリング537もまた、複数のガスノズル540(一つだけが図示される)を持ち、ソースガスノズル539と同平面でより短く、一実施形態においては本体プレナム541からガスを受け取ることができる。ガスノズル540は、AlNから製造されてもよい。ガスノズル539と540は、流体的に結合せず、ある実施形態においてはガスをチャンバ513に注入する前にガスを混合しないことが望ましい。他の実施形態において、ガスは、本体プレナム541とガスリングプレナム536の間のアパーチャ(図示せず)を設けることによってガスをチャンバ513へ注入する前に混合することができる。一実施形態において、第三ガス源と第四ガス源、534Cと534Dと、第三ガスフローコントローラと第四ガスフローコントローラ、53Cと535D’は、ガス分配ライン538を介して本体プレナムにガスを供給する。543B(他のバルブは図示せず)のような追加のバルブは、フローコントローラからチャンバへのガスを遮断することができる。
[0064]可燃性ガス、毒性ガス、腐食性ガスが用いられる実施形態において、堆積後にガス分配ラインに残存するガスを除去することできることが望ましい。これは、例えば、分配ライン538Aからチャンバ513を分離するとともに真空フォアライン544に分配ライン538を放出するために3元バルブを用いて達成することができる。図5Aに示されるように、543Aと543Cのような他の同様のバルブは、他のガス分配ラインに組み込まれてもよい。このような3元バルブは、放出されないガス分配ライン(3元バルブとチャンバの間)の容積を最小化するために実際的にチャンバ531の近くに配置されるのがよい。更に、2元ルブ(オン/オフ)バルブ(図示せず)は、マスフローコントローラ(“MFC”)とチャンバの間又はガス源とMFCの間に配置されてもよい。
[0065]再び図5Aを参照すると、チャンバ531もまた最上部ノズル545(AlNから製造されるのがよい)と最上部通気口546を持つ。最上部ノズル545と最上部通気口546は、膜の均一性を改善し且つ膜の堆積とドープパラメータの微調整を可能にする、ガスの最上流と側方流の独立した制御を可能にする。最上部通気口546は、最上部ノズル545の周りの環状開口である。一実施形態において、第一ガス源534Aは、ソースガスノズル539と最上部ノズル545を供給する。ソースノズルMFC535A’は、ソースガスノズル539へ分配されるガス量を制御し、トップノズルMFC535Aはトップガスノズル545に分配されるガス量を制御する。同様に、二つのMFC535Bと535B’は、最上部通気口546と、ソース534Bのような単一酸素ソースからの酸化剤ガスノズル540双方への酸素流を制御するために用いることができる。最上部ノズル545と最上部通気口546に供給されるガスは、チャンバ513に流れる前に分離を保つことができ、又はガスは、チャンバ513に流れる前に最上部プレナム48で混合されてもよい。同一ガスの分離されたソースは、チャンバの種々の部分を供給するために用いることができる。
[0066]遠隔マイクロ波生成プラズマ洗浄システム550は、チャンバ要素から堆積残留物を定期的に洗浄するために設けられる。洗浄システムは、リアクタキャビティ553内で洗浄ガス源534E(例えば、分子フッ素、三フッ化窒素、他のフッ化炭素又は同等物)からプラズマを生成する遠隔マイクロ波発生装置551を含む。このプラズマから得られる反応種は、アプリケータチューブ555を介して洗浄ガス供給ポート554を通ってチャンバ513に搬送される。洗浄プラズマを含有するために用いられる物質(例えば、キャビティ553やアプリケータチューブ555)は、プラズマによる攻撃に耐性がなければならない。望ましいプラズマ種の濃度がリアクタキャビティ553からの距離と共に減少することがあるので、リアクタキャビティ553と供給ポート554間の距離は、実際的に短く保持されなければならない。遠隔キャビティ内に洗浄プラズマを生成することにより、効率的なマイクロ波発生装置の使用を可能にし、チャンバ要素をインサイチュに形成されるプラズマに存在することがあるグロー放電の温度、放射、又は衝撃を受けない。その結果、静電チャック520のような相対的に感度のよい要素は、インサイチュプラズマ洗浄プロセスにおいて必要とされるように疑似ウエハで覆うか又は保護される必要がない。
[0067]システムコントローラ560は、システム510の動作を制御する。コントローラ560は、プロセッサ561と結合したハードディスクドライブ、フロッピーディスクドライブ(図示せず)、カードラック(図示せず)のようなメモリ562を含んでもよい。カードラックは、シングルボードコンピュータ(SBC)(図示せず)、アナログやデジタル入力/出力ボード(図示せず)、インタフェースボード(図示せず)、ステッパモータコントローラボード(図示せず)を含有してもよい。システムコントローラは、ボード、カードケージ、コネクタ容量やタイプを画成するVersaModular European(VME)スタンダードに従う。VEMスタンダードは、また、16ビットデータバスや24ビットアドレスバスを持つバス構造を定義する。システムコントローラ531は、ハードディスクドライブに保存されたコンピュータプログラムの制御下又はリムーバブルディスクに保存されたプログラムのような他のプログラムによって作動させる。コンピュータプログラムは、例えば、時期、ガスの混合物、RF電力レベル、具体的なプロセスの他のパラメータなどを指示する。ユーザーとシステムコントローラのインタフェースは、図5Cに示されるように、カソードレイチューブ(CRT)565、ライトペン566のようなモニタを介している。
[0068]図5Cは、図5Aの例示的なCVDプロセスチャンバとともに用いられる例示的なユーザーインタフェースの一部を示す図である。システムコントローラ560は、コンピュータ読取可能メモリ562に結合したプロセッサ561を含む。好ましくは、メモリ562は、ハードディスクドライブであるのがよいが、メモリ562は、ROM、PROM等の他の種類のメモリであってもよい。
[0069]システムコントローラ560は、メモリ562内のコンピュータ読取可能フォーマットに保存されたコンピュータプログラム563の制御下で作動させる。コンピュータプログラムは、時期、温度、ガスフロー、RF電力レベル、具体的なプロセスの他のパラメータを指示する。ユーザーとシステムコントローラの間のインタフェースは、図5Cに示される、CRTモニター565やライトペン566を介している。二つのモニタ565と565Aと、二つのライトペン566と566Aが用いられてもよく、一つはオペレータのクリーンルーム壁(565)に、もう一つは使用技師の壁(565A)の後ろに取り付けられる。両モニターは同時に同じ情報を表示し、一つのライトペン(例えば、566)が可能であってもよい。具体的なスクリーン又は機能を選択するために、オペレータは、ディスプレイスクリーンの領域に触れ、ペンのボタン(図示せず)を押す。タッチされた領域は、例えば、その色を変え、新しいメニューを表示することによってライトペンが選択することを確認する。
[0070]コンピュータプログラムコードは、特に、68000アセンブリ言語、C,C++、Java、Pascalのようなあらゆる慣用のコンピュータ読取可能プログラミング言語で書込むことができる。適切なプログラムコードは、慣用のテキストエディタを用いて、単一ファイル、又は複数のファイルに入力され、コンピュータのメモリシステムのようなコンピュータ使用可能媒体に保存又は統合される。入力されたコードテキストが高レベル言語である場合には、コードはコンパイルされ、その後、得られたコンパイラコードはコンパイルされていないウインドウズライブラリルーチンのオブジェクトコードとリンクされる。リンクされたコンパイルオブジェクトコードを実行するために、システムユーザーは、コンピュータシステムがメモリ内のコードをロードさせるオブジェクトコードを起動する。CPUは、メモリからコードを読み出し、プログラムに認識されたタスクを行うためにコードを実行する。
[0071]図5Dは、コンピュータプログラム580の階層的な制御構造を示す説明的ブロック図である。ユーザーは、ライトペンインタフェースの使用によってCRTモニタに表示されたメニュー又はスクリーンに応じてプロセスセットナンバーやプロセスチャンバナンバーをプロセスセレクターサブルーチン582に入力する。プロセスセットは、指定のプロセスを行うのに必要なプロセスパラメータの所定のセットであり、所定のセットナンバーによって認識される。プロセスセレクターサブルーチン582は、(i)マルチチャンバシステムの所望のプロセスチャンバと、(ii)所望のプロセスを行うためのプロセスチャンバを作動させるのに必要な所望のセットのプロセスパラメータを認識する。個々のプロセスを行うためのプロセスパラメータは、プロセスガス組成や流量のような条件、温度、圧力、RF電力レベルのようなプラズマ条件、チャンバドーム温度に関係し、レシピの形でユーザーへ提供される。レシピによって指定されたパラメータは、ライトペン/CRTモニターインタフェースを用いて入力される。
[0072]プロセスをモニタするための信号は、システムコントローラ560のアナログやデジタルの入力ボードによって示され、プロセスを制御するための信号は、システムコントローラ560のアナログやデジタルの出力ボード上に出力される。
[0073]プロセスシーケンササブルーチン584は、認識されたプロセスチャンバとプロセスセレクターサブルーチン582からのプロセスパラメータのセットを受容するとともに種々のプロセスチャンバの動作を制御するためのプログラムコードを含む。複数のユーザーが、プロセスセットナンバーとプロセスチャンバナンバーを入力することができ、又はシングルユーザーは、複数のプロセスセットナンバーとプロセスチャンバナンバーを入力することができる;シーケンササブルーチン584は、所望の順序で選択されたプロセスの予定を決める。好ましくは、シーケンササブルーチン584は、(i)プロセスチャンバの動作をモニタしてチャンバが用いられるかを決定する、(ii)チャンバ内で行われるプロセスが用いられることを決定する、(iii)プロセスチャンバの利用可能性と行われるべきプロセスタイプに基づく所望のプロセスを実行するステップを行うプログラムコードを含んでいる。ポーリングのようなプロセスチャンバをモニタする慣用の方法が使用し得る。実行すべきプロセスのスケジュールを決める場合、シーケンササブルーチン584は、それぞれの具体的なユーザーが入力したリクエストの年、又は選択されたプロセスの所望のプロセス条件と比較して用いられるプロセスチャンバの現在の条件、又はスケジュール優先順位の決定に含まれるシステムプログラマが望む他のあらゆる関連する要因を考慮するように設計し得る。
[0074]プロセスチャンバとプロセスセットの組み合わせが次に行われることになっているシーケンササブルーチン584が決定した後に、シーケンササブルーチン584が、チャンバマネージャサブルーチン586A-586Cに具体的なプロセスセットパラメータを通すことによって、プロセスセットの実行を開始し、シーケンサーサブルーチン584によって送られたプロセスセットに従ってチャンバ513と場合によっては他のチャンバ(図示せず)において複数の処理タスクを制御する。
[0075]チャンバコンポーネントサブルーチンの例は、基板位置サブルーチン588、プロセスガス制御サブルーチン590、圧力制御サブルーチン592、プラズマ制御サブルーチン594である。プロセスがチャンバ513内で行われるように選択されることによっては他のチャンバ制御サブルーチンを含むことができることを当業者は認識する。動作中、チャンバマネージャサブルーチン586Bは、実行される具体的なプロセスセットに従ってプロセスコンポーネントサブルーチンを選択的にスケジュールに入れるか又はコールする。チャンバマネージャサブルーチン586Bは、シーケンササブルーチン584が、遂行するために、プロセスチャンバとプロセスセットをスケジュールに入れて実行する同じようにプロセスコンポーネントサブルーチンをスケジュールに入れる。典型的には、チャンバマネージャサブルーチン586Bは、種々のチャンバコンポーネントをモニタするステップと、コンポーネントが実行されるプロセスセットのプロセスパラメータに基づいて作動させる必要があることを決定するステップと、モニターステップと決定するステップに反応するチャンバコンポーネントサブルーチンの実行を引き起こすステップとを含む。
[0076]ここで、具体的なチャンバコンポーネントサブルーチンの動作を図5Aと図5Dによって記載する。基板位置ルーチン588は、基板を基板支持部材518に装填するために用いられるチャンバ要素を制御するためのプログラムコードを含む。他のプロセスが完了した後に、基板位置サブルーチン588は、例えば、マルチチャンバシステムにおけるPECVDリアクタ又はその他のリアクタからチャンバへの基板の搬送を制御してもよい。
[0077]プロセスガス制御サブルーチン590は、プロセスガス組成と流量の制御のためのプラグラムコードを持つ。サブマーチン590は、安全遮断バルブの開/閉位置と、マスフローコントローラの増/減を制御して、所望のガス流量を得る。プロセスガス制御サブルーチン590を含むすべてのチャンバコンポーネントサブルーチンは、チャンバマネージャサブルーチン586Bによって呼び出される。サブルーチン590は、所望のガス流量に関連したチャンバマネージャサブルーチン586Bからプロセスパラメータを受け取る。
[0078]典型的には、プロセスガス制御サブルーチン590は、ガス供給ラインを開放し、反復して(i)必要なマスフローコントローラを読み出し、(ii)チャンバマネージャーサブルーチン586Bから受け取る所望の流量の読み出しを比較し、(iii)必要なガス供給ラインの流量を調整する。更に、プロセスガス制御サブルーチン590は、安全でない速度のガス流量をモニタするステップと、安全でない状態が検出された場合の安全遮断バルブを起動させるステップとを含んでもよい。
[0079]あるプロセスにおいて、反応性プロセスガスが導入される前にチャンバ内の圧力を安定化するためにアルゴンのような不活性ガスがチャンバ513に流される。これらのプロセスにおいて、プロセスガス制御サブルーチン590は、チャンバ内の圧力を安定化するのに必要な時間、チャンバ513に不活性ガスを流すためのステップを含むようにプログラムされる。その後、上記ステップが行われてもよい。
[0080]更に、プロセスガスが、例えば、テトラエチルオルトシラン(TEOS)、オクタメチルシクロテトラシロキサン(OMCTS)等の液体前駆物質から蒸発される場合、プロセスガス制御サブルーチン590は、バブラアセンブリ内の液体前駆物質を通ってヘリウムのような分配ガスを吹き込むステップと、ヘリウムを液体噴射バルブに導入するステップとを含んでもよい。このタイプのプロセスの場合、プロセスガス制御サブルーチン590は、分配ガスの流れ、バブラの圧力、バブラ温度を調整して、所望のプロセスガス流量を得る。上で述べたように、所望のプロセスガス流量は、プロセスパラメータとしてプロセスガス制御サブルーチン590に移される。
[0081]更に、プロセスガス制御サブルーチン590は、一定のプロセスガス流量に必要な値を含有する保存されたテーブルにアクセスすることにより所望のプロセスガス流量に、必要な分配ガス流量、バブラ圧、バブラ温度を得るためのステップを含む。一旦必要な値が得られると、分配ガス流量、バブラ圧、バブラ温度がモニタされ、必要な値と比較され、それに応じて調整される。
[0082]プロセスガス制御サブルーチン590もまた、独立したヘリウム制御(IHC)サブルーチン(図示せず)を持つウエハチャックにおける内外通路を通って、ヘリウム(He)のような熱移動ガス流を制御することができる。ガスフローは、基板をチャックに熱的に結合する。典型的なプロセスにおいて、ウエハはプラズマと層を形成する化学反応よって加熱され、Heは、水冷されてもよいチャックを通って基板を冷却する。これにより、基板上の前から存在する特徴部を損傷することがある温度より低く基板が保持される。
[0083]圧力制御サブルーチン592は、チャンバの排気部におけるスロットルバルブ526の開口のサイズを調節することによってチャンバ513内の圧力を制御するためのプログラムコードを含む。チャンバをスロットブバルブで制御する少なくとも二つの基本的な方法がある。第一方法は、他のものの中でも全プロセスガス流、プロセスチャンバのサイズ、ポンプ容量に関係するように、チャンバ圧を確認することによるものである。第一方法は、スロットルバルブ526を所定の位置に設定するものである。スロットルバルブ526を所定の位置に設定することにより、最終的に安定状態の圧力を得ることができる。
[0084]或いは、チャンバ圧は、例えば、マノメータで測定することができ、スロットルバルブ526の位置は、圧力制御サブルーチン592に従って調整することができ、制御点は、ガス流と排気容量によって設定された限界内であると考えられる。後者の方法に関連した測定、比較、演算が起動されないように、前者の方法によってより急速なチャンバ圧の変化が生じるのがよい。前者の方法は、チャンバ圧力の緻密な制御が必要とされない場合に望ましく、後者の方法は、正確で繰り返し可能で安定した圧力が必要とされる場合に、例えば、層の堆積の間が望ましい。
[0085]圧力制御サブルーチン592が起動される場合、所望の又は目標の圧力レベルはチャンバマネジャーサブルーチン586Bからパラメータとして受け取られる。圧力制御サブルーチン592は、チャンバに接続した一つ以上の慣用の圧力マノメータを読み取ることによってチャンバ513内の圧力を測定し;測定された一つ又は複数の値と目標圧力を比較し;目標圧力に対応する保存された圧力テーブルから比例、積分、微分(PID)値を得、圧力テーブルから得られたPID値に従ってスロットルバルブ526を調整する。或いは、圧力制御サブルーチン592は、スロットルバルブ526を具体的な開口サイズに開閉して、チャンバの圧力を所望の圧力又は圧力範囲に調節することができる。
[0086]プラズマ制御サブルーチン594は、RF発生装置531Aと531Bの周波数や電力出力設定の制御と、整合ネットワーク532Aと532Bのチューニングのためのプログラムコードを含む。前記チャンバコンポーネントサブルーチンのようなプラズマ制御サブルーチン594は、チャンバマネジャーサブルーチン586Bによって起動する。
[0087]幾つかの実施形態を記載してきたが、種々の変更、代替構成、等価物が本発明の精神から逸脱することなく用いることができることは当業者に認識される。更に、本発明を不必要にあいまいにすることを避けるために多くのよく知られるプロセスと要素は記載しなかった。従って、上記説明は、本発明の範囲を制限するものとするべきではない。
[0088]ある範囲の値が示される場合、それぞれの介在値は、特に明らかに影響されない限り、その範囲の上限と下限の間で下限の単位の1/10まで詳しく開示されることは理解される。記載されたあらゆる値又は記載された範囲の介在値と記載された他のあらゆる値又は記載されたその範囲の介在値の間のそれぞれのより小さい範囲が包含される。これらのより小さい範囲の上限と下限は独立して範囲に含むか又は除外することができ、記載された範囲の詳しく除外されたあらゆる限度を条件として、いずれか、いずれでもないか又は両方の限度が含まれるそれぞれの範囲も本発明の範囲に包含される。記載された範囲が一方又は両方の限度を含む場合、それらの含まれた限度のいずれか又は両方を除外する範囲も含まれる。
[0089]本明細書に用いられ、また、添えられた特許請求の範囲において、特に明らかに影響されない限り、単数形は、複数の対象を包含する。従って、例えば、“プロセス”について述べることは、複数のこのようなプロセスにもあてはまり、“電極”について述べることは、一つ以上の前駆物質及び当業者に既知のその等価物等について述べることを包含する。
[0090]また、本明細書と以下の特許請求の範囲に用いられる語“備える”、“含む”は記載された特徴、整数、成分、又はステップの存在を特定するものであるが、一つ以上の他の特徴、整数、成分、ステップ、作用又は基の存在又は追加を除外しない。
510…高密度プラズマ化学気相堆積システム、513…チャンバ、514…ドーム、516…プラズマ処理領域、517…基板、518…基板支持部材、519…基板収容部、521…ベース部分、522…本体部材、523…加熱プレート、524…冷却プレート、525…スロットルバルブ、526…スロットルバルブ、527…ゲートバルブ、528…ポンプ、529…最上部コイル、530…側面コイル、531A…最上部ソースRF発生装置、531B…側面発生装置、532…整合ネットワーク、533…ガス分配システム、534…ガス源、536…ガスリングプレナム、537…ガスリング、538…ガス分配ライン、539…ガスノズル、540…ガスノズル、543…バルブ、544…真空フォアライン、545…最上部ノズル、546…最上部通気口、550…遠隔プラズマ洗浄システム、553…キャビティ、554…洗浄ガス供給ポート、555…アプリケータチューブ、557…上部装填位置、560…コントローラ、562…メモリ、563…コンピュータプログラム、565…カソードレイチューブ、566…ライトペン、570…真空システム、580A…ソースプラズマシステム、580B…バイアスプラズマシステム。

Claims (32)

  1. 基板上に酸化シリコン層を製造する方法であって:
    原子酸素前駆物質とシリコン前駆物質を反応させ且つ反応生成物を該基板上に堆積させることにより反応チャンバ内で該基板上に該酸化シリコン層を形成するステップであって、該原子酸素前駆物質が該反応チャンバの外部で生成される、前記ステップと;
    該酸化シリコン層を約600℃以下の温度で加熱するステップと;
    該酸化シリコン層を誘導結合プラズマにさらすステップと;
    を含む、前記方法。
  2. 該酸化シリコン層が、約300℃〜約600℃の温度に加熱される、請求項1に記載の方法。
  3. 該酸化シリコン層が、約380℃に加熱される、請求項1に記載の方法。
  4. 該酸化シリコン層が、約1分〜約30分間加熱される、請求項1に記載の方法。
  5. 該酸化シリコン層が、約1分間加熱される、請求項1に記載の方法。
  6. 該酸化シリコン層が、反応チャンバ内で窒素雰囲気中約15ミリトール〜約760トールの圧力で加熱される、請求項1に記載の方法。
  7. 該圧力が、約50トールである、請求項6に記載の方法。
  8. 該プラズマが、ヘリウム又はアルゴン前駆物質を含む、請求項1に記載の方法。
  9. 該プラズマにさらされている間、該酸化シリコン層の温度が約300℃〜約600℃である、請求項1に記載の方法。
  10. 該温度が、約380℃である、請求項9に記載の方法。
  11. 約1000ワット〜約9600ワットの電力レベルで作動させるRF電源を用いて、該プラズマを生成させる、請求項1に記載の方法。
  12. 該電力レベルが、約1800ワットである、請求項11に記載の方法。
  13. 該酸化シリコン層が該プラズマにさらされている間、該反応チャンバの圧力が約2ミリトール〜約50ミリトールである、請求項1に記載の方法。
  14. 該チャンバ圧が、約20ミリトールである、請求項13に記載の方法。
  15. 該酸化シリコン層が、該プラズマに約1分〜約10分間さらされる、請求項1に記載の方法。
  16. 該酸化シリコン層が、該プラズマに約3分間さらされる、請求項15に記載の方法。
  17. 該誘導結合プラズマにさらされる前に、該酸化シリコン層が約1分〜約30分間加熱される、請求項1に記載の方法。
  18. 基板上に酸化シリコン層を形成する方法であって:
    原子酸素前駆物質とシリコン前駆物質を反応させ且つ反応生成物を該基板上に堆積させることにより反応チャンバ内で該基板上に該酸化シリコン層を形成するステップであって、該原子酸素前駆物質が該反応チャンバの外部で生成される、前記ステップと;
    該酸化シリコン層を紫外光にさらすステップと;
    該酸化シリコン層を誘導結合プラズマにさらすステップと;
    を含む、前記方法。
  19. 該紫外光にさらされる間、該酸化シリコン層の温度が約25℃〜約900℃である、請求項18に記載の方法。
  20. 該紫外光にさらされる間、該酸化シリコン層の温度が約300℃〜約600℃である、請求項18に記載の方法。
  21. 該紫外光の波長強度が、約220nmでピークを有する、請求項18に記載の方法。
  22. 該酸化シリコンが、該紫外光に約10秒〜約60分間さらされる、請求項18に記載の方法。
  23. 該酸化シリコンが、該紫外光に約30分間さらされる、請求項18に記載の方法。
  24. 該酸化シリコンが、該紫外光にヘリウム、アルゴン、N、NO、アンモニア、オゾン、又はHOを含む雰囲気中でさらされる、請求項18に記載の方法。
  25. 該反応チャンバ内の該雰囲気の該圧力が、約1トール〜約600トールである、請求項24に記載の方法。
  26. ウエハ基板上に酸化シリコン層を堆積させアニールする方法であって:
    該基板ウエハを、該酸化シリコン層の堆積が行われるHDP-CVDプロセスチャンバに準備するステップと;
    遠隔プラズマ生成ユニットを該HDP-CVDプロセスチャンバの外部で準備するステップであって、該遠隔プラズマ生成ユニットを用いて、該HDP-CVDプロセスチャンバに供給される原子酸素前駆物質を生成させる、前記ステップと;
    シリコン前駆物質を該HDP-CVDプロセスチャンバに供給するステップであって、該シリコン前駆物質と該原子酸素前駆物質を反応させて該ウエハ上に該酸化シリコン層を形成するステップと;
    堆積された該酸化シリコン層上に第一アニールを行うステップであって、該第一アニールが該層を約300℃〜約600℃の温度に約1分〜約30分間加熱する工程を含む、前記ステップと;
    堆積された該酸化シリコン層上に第二アニールを行うステップであって、該第二アニールが該層を高密度アルゴンプラズマに約1分〜約10分間さらす工程を含む、前記ステップと;
    を含む、前記方法。
  27. 該第一アニールと該第二アニールが、約4分〜約10分間行われる、請求項26に記載の方法。
  28. 該第一アニールが約1分間行われ、該第二アニールが約3分間行われる、請求項26に記載の方法。
  29. 該第一アニールと該第二アニールが、約380℃で行われる、請求項26に記載の方法。
  30. 該シリコン前駆物質が、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメトキシシラン(TMOS)、及びOMCTSとTMOSの混合物からなる群より選ばれる、請求項26に記載の方法。
  31. 該原子酸素前駆物質が、該遠隔プラズマ生成ユニットにおいて分子酸素から解離したプラズマによって生成される、請求項26に記載の方法。
  32. 該酸化シリコン層の形成の間、該基板ウエハが約30℃〜約75℃の温度で保持される、請求項26に記載の方法。
JP2009513422A 2006-05-30 2007-05-29 ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法 Active JP5401309B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US80348906P 2006-05-30 2006-05-30
US60/803,489 2006-05-30
US11/753,918 2007-05-25
US11/753,918 US7790634B2 (en) 2006-05-30 2007-05-25 Method for depositing and curing low-k films for gapfill and conformal film applications
PCT/US2007/069897 WO2007140376A2 (en) 2006-05-30 2007-05-29 A method for depositing and curing low-k films for gapfill and conformal film applications

Publications (2)

Publication Number Publication Date
JP2009539265A true JP2009539265A (ja) 2009-11-12
JP5401309B2 JP5401309B2 (ja) 2014-01-29

Family

ID=38779412

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009513422A Active JP5401309B2 (ja) 2006-05-30 2007-05-29 ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法

Country Status (7)

Country Link
US (1) US7790634B2 (ja)
EP (1) EP2033214A4 (ja)
JP (1) JP5401309B2 (ja)
KR (1) KR101046968B1 (ja)
CN (1) CN101454886B (ja)
TW (1) TWI355690B (ja)
WO (1) WO2007140376A2 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011109086A (ja) * 2009-11-12 2011-06-02 Novellus Systems Inc 膜の少なくとも一部を酸化シリコンに変換し、および/または、蒸気内紫外線硬化を利用して膜の品質を改善し、および、アンモニア内紫外線硬化を利用して膜を高密度化するシステムおよび方法
JP2012004401A (ja) * 2010-06-18 2012-01-05 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP2012513117A (ja) * 2008-12-18 2012-06-07 アプライド マテリアルズ インコーポレイテッド 低温ギャップフィル改善のための酸化シリコンcvdへの前駆体添加
JPWO2014163009A1 (ja) * 2013-04-04 2017-02-16 東レフィルム加工株式会社 ガスバリア性フィルムおよびその製造方法
JP2018512727A (ja) * 2015-02-23 2018-05-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質薄膜を形成するための周期的連続処理
JP2020518136A (ja) * 2017-04-27 2020-06-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 3d nandに適用するための低誘電率酸化物および低抵抗のopスタック
US10829643B2 (en) 2013-01-11 2020-11-10 Toray Industries, Inc. Gas barrier film
US10844186B2 (en) 2011-10-28 2020-11-24 Toray Industries, Inc. Gas barrier film
JP2021530730A (ja) * 2018-06-29 2021-11-11 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 光学部品のマイクロ/ナノ構造を間隙充填するための流動性cvdの使用

Families Citing this family (538)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7732353B2 (en) * 2007-04-18 2010-06-08 Ultratech, Inc. Methods of forming a denuded zone in a semiconductor wafer using rapid laser annealing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US8391025B2 (en) * 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US7791912B2 (en) * 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
DE102008044987B4 (de) * 2008-08-29 2019-08-14 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Verringerung von Partikeln in PECVD-Prozessen zum Abscheiden eines Materials mit kleiner Dielektrizitätskonstante unter Anwendung eines plasmaunterstützten Schritts nach der Abscheidung
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US7939421B2 (en) * 2009-07-08 2011-05-10 Nanya Technology Corp. Method for fabricating integrated circuit structures
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
JP5728482B2 (ja) 2009-09-25 2015-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8765573B2 (en) 2010-09-20 2014-07-01 Applied Materials, Inc. Air gap formation
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US20120083133A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9018089B2 (en) * 2011-08-30 2015-04-28 International Business Machines Corporation Multiple step anneal method and semiconductor formed by multiple step anneal
CN102417306B (zh) * 2011-09-08 2013-10-09 上海华力微电子有限公司 一种解决高磷浓度psg薄膜表面雾状颗粒的工艺方法
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN102820220A (zh) * 2012-07-03 2012-12-12 上海华力微电子有限公司 低温二氧化硅薄膜的形成方法
US9023737B2 (en) * 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US8921235B2 (en) 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9190263B2 (en) * 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
WO2015105633A1 (en) * 2014-01-13 2015-07-16 Applied Materials, Inc. Carbon dioxide and carbon monoxide mediated curing of low k films to increase hardness and modulus
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
WO2015112324A1 (en) 2014-01-24 2015-07-30 Applied Materials, Inc. Deposition of silicon and oxygen-containing films without an oxidizer
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9583332B2 (en) * 2014-01-29 2017-02-28 Applied Materials, Inc. Low temperature cure modulus enhancement
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299558B2 (en) * 2014-03-21 2016-03-29 Applied Materials, Inc. Run-to-run stability of film deposition
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
CN106373881A (zh) * 2015-07-20 2017-02-01 成均馆大学校产学协力团 多晶硅沉积方法及用于该方法的沉积装置
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10026620B1 (en) * 2017-06-22 2018-07-17 National Applied Research Laboratories Method of irradiating ultraviolet light on silicon substrate surface for improving quality of native oxide layer and apparatus using the same
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
SG11202010037QA (en) 2018-05-03 2020-11-27 Applied Materials Inc Rf grounding configuration for pedestals
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
SG11202105182QA (en) * 2018-12-04 2021-06-29 Applied Materials Inc Cure methods for cross-linking si-hydroxyl bonds
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR102617960B1 (ko) 2019-08-12 2023-12-26 삼성전자주식회사 2-스텝 갭-필 공정을 이용하여 반도체 소자를 형성하는 방법
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11972943B2 (en) 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN114868234A (zh) * 2019-10-29 2022-08-05 朗姆研究公司 实现无缝高质量填隙的方法
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022140346A1 (en) * 2020-12-27 2022-06-30 Applied Materials, Inc. Single precursor low-k film deposition and uv cure for advanced technology node
TWI785519B (zh) * 2021-03-05 2022-12-01 台灣積體電路製造股份有限公司 微波產生器、紫外光源、與基板處理方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230094012A1 (en) * 2021-09-15 2023-03-30 Applied Materials, Inc. Rf pulsing assisted low-k film deposition with high mechanical strength

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0982696A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
JP2000106394A (ja) * 1998-09-03 2000-04-11 Micron Technol Inc 集積回路製造のための低誘電率材料
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JP2002370059A (ja) * 2001-03-13 2002-12-24 Tokyo Electron Ltd 膜形成方法及び膜形成装置
JP2004274052A (ja) * 2003-03-04 2004-09-30 Air Products & Chemicals Inc Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化
WO2005010971A2 (en) * 2003-07-21 2005-02-03 Axcelis Technologies, Inc. Ultaviolet curing processes for advanced low-k materials
JP2005203794A (ja) * 2004-01-16 2005-07-28 Internatl Business Mach Corp <Ibm> 低誘電率および超低誘電率のSiCOH誘電体膜ならびにその形成方法
JP2006100833A (ja) * 2004-09-28 2006-04-13 Air Products & Chemicals Inc 多孔質の低誘電率組成物並びにそれを作製及び使用するための方法

Family Cites Families (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
AUPO748097A0 (en) * 1997-06-20 1997-07-17 Commonwealth Scientific And Industrial Research Organisation Alkene borates
US6207587B1 (en) * 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1130633A1 (en) * 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6759098B2 (en) * 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
KR100498834B1 (ko) 2000-04-04 2005-07-04 아사히 가세이 가부시키가이샤 절연 박막 제조용 코팅 조성물
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) * 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
DE10063688A1 (de) * 2000-12-20 2002-07-18 Infineon Technologies Ag Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
JP2004526318A (ja) 2001-03-23 2004-08-26 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜を生産するための方法
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2005069498A1 (en) 2003-12-17 2005-07-28 Cedraeus Inc. Method for a random-based decision-making process
EP1717848A4 (en) 2004-02-17 2010-03-24 Toagosei Co Ltd PROCESS FOR PRODUCING SILICONE OXIDE FILM
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
EP1751325A4 (en) 2004-06-04 2009-05-13 Applied Microstructures Inc STEAM-PHASE DEPOSITION CONTROLLING MULTILAYER COATINGS BONDED BY OXIDE LAYER
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
CN101448977B (zh) 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0982696A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
JP2000106394A (ja) * 1998-09-03 2000-04-11 Micron Technol Inc 集積回路製造のための低誘電率材料
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JP2002370059A (ja) * 2001-03-13 2002-12-24 Tokyo Electron Ltd 膜形成方法及び膜形成装置
JP2004274052A (ja) * 2003-03-04 2004-09-30 Air Products & Chemicals Inc Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化
WO2005010971A2 (en) * 2003-07-21 2005-02-03 Axcelis Technologies, Inc. Ultaviolet curing processes for advanced low-k materials
JP2005203794A (ja) * 2004-01-16 2005-07-28 Internatl Business Mach Corp <Ibm> 低誘電率および超低誘電率のSiCOH誘電体膜ならびにその形成方法
JP2006100833A (ja) * 2004-09-28 2006-04-13 Air Products & Chemicals Inc 多孔質の低誘電率組成物並びにそれを作製及び使用するための方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012513117A (ja) * 2008-12-18 2012-06-07 アプライド マテリアルズ インコーポレイテッド 低温ギャップフィル改善のための酸化シリコンcvdへの前駆体添加
JP2011109086A (ja) * 2009-11-12 2011-06-02 Novellus Systems Inc 膜の少なくとも一部を酸化シリコンに変換し、および/または、蒸気内紫外線硬化を利用して膜の品質を改善し、および、アンモニア内紫外線硬化を利用して膜を高密度化するシステムおよび方法
JP2012004401A (ja) * 2010-06-18 2012-01-05 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US10844186B2 (en) 2011-10-28 2020-11-24 Toray Industries, Inc. Gas barrier film
US10829643B2 (en) 2013-01-11 2020-11-10 Toray Industries, Inc. Gas barrier film
JPWO2014163009A1 (ja) * 2013-04-04 2017-02-16 東レフィルム加工株式会社 ガスバリア性フィルムおよびその製造方法
JP2018512727A (ja) * 2015-02-23 2018-05-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質薄膜を形成するための周期的連続処理
JP2020518136A (ja) * 2017-04-27 2020-06-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 3d nandに適用するための低誘電率酸化物および低抵抗のopスタック
JP7211969B2 (ja) 2017-04-27 2023-01-24 アプライド マテリアルズ インコーポレイテッド 3d nandに適用するための低誘電率酸化物および低抵抗のopスタック
JP2021530730A (ja) * 2018-06-29 2021-11-11 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 光学部品のマイクロ/ナノ構造を間隙充填するための流動性cvdの使用
JP7328264B2 (ja) 2018-06-29 2023-08-16 アプライド マテリアルズ インコーポレイテッド 光学部品のマイクロ/ナノ構造を間隙充填するための流動性cvdの使用

Also Published As

Publication number Publication date
JP5401309B2 (ja) 2014-01-29
KR101046968B1 (ko) 2011-07-06
CN101454886A (zh) 2009-06-10
US7790634B2 (en) 2010-09-07
TWI355690B (en) 2012-01-01
US20080026597A1 (en) 2008-01-31
WO2007140376A3 (en) 2008-01-24
EP2033214A2 (en) 2009-03-11
CN101454886B (zh) 2011-02-02
WO2007140376A2 (en) 2007-12-06
EP2033214A4 (en) 2011-11-30
TW200814196A (en) 2008-03-16
KR20090015160A (ko) 2009-02-11

Similar Documents

Publication Publication Date Title
JP5401309B2 (ja) ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法
US6583497B2 (en) Surface treatment of c-doped SiO2 film to enhance film stability during O2 ashing
US6602806B1 (en) Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6899763B2 (en) Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes
US7326657B2 (en) Post-deposition treatment to enhance properties of Si-O-C low k films
US6635575B1 (en) Methods and apparatus to enhance properties of Si-O-C low K films
US6958112B2 (en) Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
US6903031B2 (en) In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
KR100550419B1 (ko) 빠른증착속도로할로겐이도핑된실리콘산화물층을증착하는방법및장치
JP5225268B2 (ja) 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
US6633076B2 (en) Methods and apparatus for producing stable low k FSG film for HDP-CVD
US6468927B1 (en) Method of depositing a nitrogen-doped FSG layer
JPH10199873A (ja) フッ化ケイ酸ガラス膜の膜安定性向上のための方法及び装置
US6511923B1 (en) Deposition of stable dielectric films
EP1050601A1 (en) Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
JP2002057157A (ja) 銅ダマシン集積回路用hdp−fsg処理

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100518

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101008

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120928

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121219

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130305

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130702

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130709

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131001

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131028

R150 Certificate of patent or registration of utility model

Ref document number: 5401309

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250