JP2011109086A - 膜の少なくとも一部を酸化シリコンに変換し、および/または、蒸気内紫外線硬化を利用して膜の品質を改善し、および、アンモニア内紫外線硬化を利用して膜を高密度化するシステムおよび方法 - Google Patents

膜の少なくとも一部を酸化シリコンに変換し、および/または、蒸気内紫外線硬化を利用して膜の品質を改善し、および、アンモニア内紫外線硬化を利用して膜を高密度化するシステムおよび方法 Download PDF

Info

Publication number
JP2011109086A
JP2011109086A JP2010244261A JP2010244261A JP2011109086A JP 2011109086 A JP2011109086 A JP 2011109086A JP 2010244261 A JP2010244261 A JP 2010244261A JP 2010244261 A JP2010244261 A JP 2010244261A JP 2011109086 A JP2011109086 A JP 2011109086A
Authority
JP
Japan
Prior art keywords
chamber
vapor
deposited layer
substrate
predetermined
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010244261A
Other languages
English (en)
Inventor
Bhadri N Varadarajan
エヌ. ヴァラダラジャン バードリ
Schravendijk Bart Van
ヴァン スラヴェンディジク バート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2011109086A publication Critical patent/JP2011109086A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】膜の少なくとも一部を酸化シリコンに変換し、および/または、蒸気内UV硬化を利用して膜の品質を改善し、および、アンモニア内UV硬化を利用して膜を高密度化するシステムおよび方法を提供する。
【解決手段】チャンバ14内に蒸気を供給する段階と、チャンバ14内に、シリコンを含む堆積層が設けられている基板22を配置する段階と、所定の変換期間にわたって、蒸気の存在下で、堆積層にUV光を当てて、堆積層を少なくとも部分的に変換する段階とを備えるシステム10および方法を提供する。また、チャンバ14内にアンモニアを供給する段階と、チャンバ14内に、堆積層を有する基板22を配置する段階と、アンモニアの存在下で所定の変換期間にわたって堆積層にUV光を当てて、少なくとも部分的に堆積層を高密度化する段階とを備える。
【選択図】図1

Description

本開示は、膜の少なくとも一部を酸化シリコンに変換し、および/または、蒸気内UV硬化を利用して膜の品質を改善し、および、アンモニア内UV硬化を利用して膜を高密度化するシステムおよび方法に関する。
本明細書に記載する背景技術の説明は、本開示がどのような状況下で成されたかを概略的に説明するためのものである。本願に名前が挙げられている発明者による研究は、この背景技術のセクションに記載されている限りにおいて、記載されていなければ出願時に先行技術として認められない部分と同様に、本開示に対する先行技術として明示的または黙示的に認められるものではない。
多くの半導体プロセスでは、基板上に酸化シリコン(SiO、SiO、SiO等)を成膜または堆積層として形成する必要がある。酸化シリコンを形成する方法としては、化学気相成長(CVD)法(熱CVD法、または、プラズマCVD法、高密度プラズマ(HDP)CVD法等)が挙げられるとしてよい。しかし、金属形成前誘電体(Pre−Metal Dielectric:PMD)、層間誘電体(Interlayer Dielectric:ILD)、または、シャロー・トレンチ・アイソレーション(STI)等の一部の形成方法では、高アスペクト比の部分に充填を行う必要がある。アスペクト比が大きくなるにつれて、上記のようなCVD法を用いて間隙を充填するのがより難しくなる。
また、流動性酸化物、スピンオン誘電体(SOD)材料、スピンオンガラス(SOG)材料、および/または、スピンオンポリマー(SOP)材料等の流動性材料を用いるとしてもよい。流動性材料は、間隙充填特性が良好であることが多く、高アスペクト比の間隙充填に適した材料と言える。堆積層は、塗布された後さらに処理されて、高密度誘電体に変換され、および/または、酸化シリコンに変換される。また、流動性材料は一般的に、HDP酸化物(例えば、ウェットエッチングレート比(WERR)が低く(例えば、熱成長させたSiOに比べて1.2:1または1.5:1未満)、密度が高い)に匹敵する膜特性を有する必要がある。例に過ぎないが、SODはポリシラザン(PSZ)を含むとしてよく、SOGはシロキサン、シルセスキオキサン、および、シラザンを含むとしてよい。
STI法ではサーマルバジェットが比較的高く、堆積層の変換は、酸化雰囲気(通常は、酸素または蒸気)且つ高温で実行されるとしてよい。酸化雰囲気が酸素である場合、薄くクラストが形成されることがあり、この薄いクラスト層の下には低品質の膜が形成されることが多い。蒸気は、酸素に比べて酸化特性および浸透特性が良好である傾向があるが、窒化シリコン(SiN)裏打ち層が形成されない場合には、下方に設けられているシリコンが酸化してしまうことがある。酸化雰囲気且つ高温という条件は、サーマルバジェットがより低い方法では用いられないことがある。尚、サーマルバジェットは、特定温度が維持される期間によって指定されるのが通常である。例に過ぎないが、一部のPMD方法では、サーマルバジェットが所定期間について摂氏400度未満である。
堆積層を低温で高密度酸化物に変換することは難しい課題である。例に過ぎないが、摂氏400度未満での蒸気アニーリングでは、30分間もの長時間にわたって実行しても、完全に酸化物に変換しないのが普通である。また、形成される酸化物の品質は、シラノール(SiOH)が存在するために、許容可能なレベルに到達しないことが通常であり、密度が低くWERRが高い。
酸化物は、低大気圧化学気相成長(SACVD)法を用いて堆積させられ得る。また、低温酸化物は、さまざまなその他の方法、例えば、プラズマCVD(PECVD)法、原子層堆積(ALD)法、および、プラズマALD(PEALD)法を用いて堆積させるとしてよい。上記の方法では通常、凹状構造を適切に充填することができない。また、上記の方法を用いた場合、変換後のシラノール含有量が非常に大きくなることがあり、WERRが高く、および/または、密度が低くなり、このような欠陥を補償するには、サーマルバジェットを超える高温アニーリング工程が必要となる。
シラノール(SiOH)を低減して、および/または、堆積層を高密度化するには、変換後にさらに処理する必要があるとしてよい。高密度化処理は、サーマルバジェットの範囲内で実行する必要がある。方法の1つでは、サーマルバジェットの許容範囲内の最高温度および最長期間で堆積層をアニーリングする。例えば、STI間隙充填処理では、所定期間にわたって摂氏700度から摂氏800度程度のより高温にすることが可能である(しかし、酸化雰囲気内で行わないことが好ましい)。このような場合、堆積層をアニーリングすることによって、シラノールが除去されると共に酸化物の密度が高くなる。所定期間に対するサーマルバジェットが摂氏400度から摂氏480度の場合、アニーリングが奏する効果は非常に限定的なものとなってしまう。アニーリングは本来堆積層内の自由なOH基を低減することが出来るものであるが、このように温度が低いと通常、高密度化およびシラノール除去といった効果が実現されない。
基板を処理する方法であって、チャンバ内に蒸気を供給する段階と、チャンバ内に、シリコンを含む堆積層が設けられている基板を配置する段階と、所定の変換期間にわたって、蒸気の存在下で、堆積層にUV光を当てて、堆積層を少なくとも部分的に変換する段階とを備える。
他の特徴を挙げると、上記の方法は、所定の変換期間において、チャンバ内の蒸気の分圧を、チャンバ内のガスの70体積%より高く調節する段階をさらに備える。上記の方法は、所定の変換期間において、チャンバ内の蒸気の分圧を、チャンバ内のガスの15体積%より高く、且つ、70体積%より低く調節する段階をさらに備える。上記の方法は、所定の変換期間に先立って、所定の浸漬期間にわたって所定の温度で蒸気に基板を浸漬する段階をさらに備える。
他の特徴を挙げると、上記の方法は、チャンバ内に蒸気を供給する前に、チャンバに希釈ガスを供給して、チャンバ内で所定の圧力を実現する段階と、所定の圧力に到達した後、蒸気をチャンバに供給する段階と、所定の蒸気の分圧を実現するために十分な所定の期間にわたって待機する前または後に、チャンバ内に基板を配置する段階とをさらに備える。
他の特徴を挙げると、上記の方法は、チャンバに希釈ガスおよび蒸気を同時に供給する段階と、蒸気および希釈ガスの流動を調節して、所定の蒸気の分圧を実現する段階と、基板をチャンバ内に配置する段階とをさらに備える。
他の特徴を挙げると、上記の方法は、基板をチャンバ内の台の上に配置する段階と、所定の変換期間において、台の温度を制御する段階とをさらに備える。
他の特徴を挙げると、台の温度は、所定の変換期間において基板の温度が摂氏480度未満となるように制御される。上記の方法は、所定の変換期間の後、チャンバおよび別のチャンバのうち少なくとも一方において、堆積層を高密度化する段階をさらに備える。高密度化する段階はさらに、チャンバおよび別のチャンバのうち少なくとも一方にアンモニアを供給する段階と、アンモニアの存在下において所定の高密度化期間にわたって、チャンバおよび別のチャンバのうち少なくとも一方において、堆積層にUV光を当てる段階とを有する。
他の特徴を挙げると、高密度化する段階はさらに、チャンバおよび別のチャンバのうち少なくとも一方に、希釈ガスを供給する段階と、希釈ガスの存在下において所定の高密度化期間にわたって、チャンバおよび別のチャンバのうち少なくとも一方において、堆積層にUV光を当てる段階とを有する。
他の特徴を挙げると、高密度化する段階はさらに、所定の変換期間の後に、堆積層を加熱する段階を有する。堆積層は、流動性酸化物、スピンオン誘電体(SOD)、スピンオンガラス(SOG)、および/または、スピンオンポリマー(SOP)から成る群から選択される。UV光は、広帯域UV光を含む。
処理システムは、チャンバと、チャンバに蒸気を供給する蒸気源とを備える。UV源は、蒸気源からの蒸気の存在下において所定の変換期間にわたって基板の堆積層にUV光を当てて、堆積層を少なくとも部分的に変換する。
他の特徴を挙げると、チャンバ内での蒸気の分圧は、所定の変換期間において、チャンバ内のガスの15体積%より高く、且つ、70体積%より低い。チャンバ内での蒸気の分圧は、所定の変換期間において、チャンバ内のガスの70体積%より高い。基板は、所定の変換期間の前に、所定の浸漬期間にわたって蒸気に浸漬される。
他の特徴を挙げると、希釈ガス源は、蒸気源が蒸気を供給する前に、希釈ガスをチャンバに供給してチャンバ内で所定の圧力を実現する。蒸気源は、所定の圧力に到達した後に、蒸気をチャンバに導入する。基板は、所望の蒸気の分圧に対応する所定の期間の後、チャンバ内に配置される。
他の特徴を挙げると、蒸気源が蒸気を供給している間に希釈ガス源が希釈ガスを供給する。希釈ガス源は希釈ガスの流動を調節して、蒸気源は蒸気の流動を調節して、所望の蒸気の分圧を実現する。
他の特徴を挙げると、ヒータは、所定の変換期間においてチャンバ内の台を加熱する。ヒータは、基板の温度が摂氏480度未満となるように、台を加熱する。基板は、所定の変換期間の後、高密度化される。
他の特徴を挙げると、アンモニア源が、チャンバおよび別のチャンバのうち一方に、アンモニアを供給する。基板は、アンモニアの存在下において所定の高密度化期間にわたって堆積層にUV光を当てることによって高密度化される。
他の特徴を挙げると、希釈ガス源が、チャンバおよび別のチャンバのうち一方に希釈ガスを供給する。基板は、希釈ガスの存在下において所定の高密度化期間にわたって堆積層にUV光を当てることによって高密度化される。基板は、アニーリングによって高密度化される。堆積層は、流動性酸化物、スピンオン誘電体(SOD)、スピンオンガラス(SOG)、および/または、スピンオンポリマー(SOP)から成る群から選択される。UV源は、広帯域UV光を供給する。
基板の堆積層を高密度化する方法であって、チャンバにアンモニアを供給する段階と、チャンバ内に、堆積層を有する基板を配置する段階と、アンモニアの存在下で所定の変換期間にわたって堆積層にUV光を当てて、少なくとも部分的に堆積層を高密度化する段階とを備える。
他の特徴を挙げると、上記の方法は、堆積層を高密度化する段階に先立って、チャンバおよび別のチャンバのうち一方において、蒸気内でのUV硬化を利用して堆積層を酸化シリコンに変換する段階をさらに備える。
他の特徴を挙げると、上記の方法は、堆積層を高密度化する段階に先立って、チャンバおよび別のチャンバのうち一方に、蒸気を供給する段階と、チャンバおよび別のチャンバのうち一方に、堆積層を有する基板を配置する段階と、蒸気の存在下において所定の変換期間にわたって堆積層にUV光を当てて、堆積層を少なくとも部分的に変換する段階とをさらに備える。
他の特徴を挙げると、上記の方法は、所定の変換期間において、チャンバおよび別のチャンバのうち一方における蒸気の分圧を、チャンバ内のガスの70体積%より高くなるように調節する段階をさらに備える。上記の方法は、所定の変換期間において、チャンバおよび別のチャンバのうち一方における蒸気の分圧を、チャンバ内のガスの15体積%より高く、且つ、70体積%より低く調節する段階をさらに備える。
他の特徴を挙げると、上記の方法は、所定の変換期間の前に、所定の浸漬期間にわたって蒸気に基板を浸漬する段階をさらに備える。堆積層は、流動性酸化物、スピンオン誘電体(SOD)、スピンオンガラス(SOG)、および/または、スピンオンポリマー(SOP)から成る群から選択される。UV光は、広帯域UV光を含む。
本開示のその他の利用可能分野は、以下に記載する詳細な説明から明らかとなるであろう。詳細な説明および具体例は、本開示を例示することのみを目的として記載されるものであり、本開示の範囲を限定するものではないと理解されたい。
以下に記載する詳細な説明および添付図面から本開示に対する理解を深められたい。添付図面は以下の通りである。
本開示に係る、蒸気内UV硬化により堆積層を変換するチャンバを示す機能ブロック図である。
本開示に係る、蒸気内UV硬化を実行するステーションを複数有するチャンバを備えるシステムを示す機能ブロック図である。
本開示に係る、蒸気内UV硬化を利用して堆積層を変換する方法を説明するための図である。 本開示に係る、蒸気内UV硬化を利用して堆積層を変換する方法を説明するための図である。
本開示に係る、蒸気内UV硬化の後のSODに対するフーリエ変換型赤外分光(FTIR)の結果を示すグラフである。
本開示に係る、蒸気内UV硬化の後の蒸気アニーリングされたSODに対するFTIRの結果を示すグラフである。
不活性環境においてSODを硬化させた後のFTIRの結果を示すグラフである。
本開示に係る、蒸気内UV硬化の後高密度化処理を行って堆積層を変換する方法を説明するための図である。 本開示に係る、蒸気内UV硬化の後高密度化処理を行って堆積層を変換する方法を説明するための図である。
本開示に係る、アンモニア内UV硬化によって高密度化する方法を説明するための図である。 本開示に係る、アンモニア内UV硬化によって高密度化する方法を説明するための図である。
以下に記載する説明は、本質的に例示的なものに過ぎず、本開示、その用途、または利用を制限するものでは決してない。本開示を明確に説明することを目的として、図面では同様の構成要素については同じ参照番号を用いる。本明細書において、A、B、およびCのうち少なくとも1つ、と記載されている場合、非排他的論理和を用いた論理演算(A or B or C)を意味するものと解釈されたい。方法の複数の工程は、本開示の原理を変更することなく別の順序で実行され得るものと理解されたい。
本開示は、堆積層の少なくとも一部を酸化シリコンに変換し、および/または、蒸気内UV硬化を利用して膜の品質を改善するシステムおよび方法を記載する。本開示はさらに、アンモニア内UV硬化を利用して堆積層を高密度化するシステムおよび方法に関する。本明細書に記載されているように、堆積層の高密度化は、堆積層の一部を酸化シリコンに変換した後で実行されるとしてよい。これに代えて、堆積層の高密度化は、任意の堆積層に対して実行されるとしてよい。言い換えると、変換処理および高密度化処理は、同時に実行するとしてもよいし、別々に独立して実行するとしてもよい。本明細書で用いられる場合、酸化シリコンという用語は、酸化シリコン(SiO)、二酸化シリコン(SiO)、シラノール(SiO)、およびSiOを含むその他の化合物を意味する。堆積層を変換することによって、形成されるクラストを最大限に増やし、膜品質を改善する。本明細書に記載するような高密度化処理によって、膜の品質および密度がさらに改善され得る。
図1を参照しつつ説明すると、蒸気内UV硬化を行うことによって堆積層を酸化シリコンに変換するためのチャンバ14を備える半導体処理システム10が図示されている。一例に過ぎないが、堆積層は、シリコンを含む流動性材料から形成されているとしてよい。半導体処理システム10はさらに、チャンバ14内に配置されている台18を備える。台18には、基板22が配置されるとしてよい。基板22は、一面に堆積層が形成されているとしてよい。一例に過ぎないが、基板22は半導体基板を含むとしてよい。
チャンバ14には、1以上のバルブ24および導管を介して、1以上の希釈ガスのガス源23が接続されているとしてよい。希釈ガスは、不活性ガス、非反応性ガス、および/または、その他の種類のガスを含むとしてよい。一例に過ぎないが、適切な希釈ガスには、ヘリウム、アルゴン、および酸素が含まれるが、その他の希釈ガスを利用するとしてもよい。チャンバ14には、1以上のバルブ30および導管を介して、蒸気源26が接続されているとしてよい。チャンバ14の内部には、UV源34が配置されており、広帯域UV光および/または単一波長のUV光を供給する。UV源34の強度は、最大出力レベルに対する割合を設定することによって、変化させるとしてよい。
一例に過ぎないが、UV源34が供給する広帯域UV光の波長は、200nmから280nmにわたるとしてよいが、使用するUVの波長範囲を異ならせるとしてもよい。一例に過ぎないが、UV源として適切なものを1つ挙げると、最大出力レベルが185nm〜400nmについて800mW/cmであり、当該UV源の強度は最大電力出力レベルの0%から100%の間で変化させるとしてよいが、最大出力レベルおよび強度の範囲は変更するとしてもよい。別の適切なUV源としては、最大出力レベルが200nm〜280nmについて800mW/cmであり、当該UV源の強度は最大電力出力レベルの35%から100%の間で変化させるものが挙げられるが、最大出力レベルおよび強度の範囲は変更するとしてもよい。別の適切なUV源としては、172nm、222nm等の1以上の波長のエネルギーを出力するものが挙げられるとしてよい。別の適切なUV源としては、1以上のピーク波長を持つ広帯域UV光を出力する水銀ランプを含むとしてよい。想到し得るものであろうが、1以上のUVフィルタを利用して1以上の波長または波長範囲を選択するとしてよい。
UV源34は、基板22の堆積層にUV光を向ける。チャンバ14の内部には、内部の動作状態を監視するべく1以上のセンサを配置するとしてよい。一例に過ぎないが、チャンバ14の内部に、チャンバ14内の圧力および温度を監視するべく、圧力センサ38および温度センサ42を配置するとしてよい。温度センサ42は、台18の温度を監視するべく、台18の近傍または台18に接触して配置されるとしてよい。基板22の温度を監視するべく追加センサ43を利用するとしてもよい。一例に過ぎないが、センサ43は赤外センサを含むとしてよい。センサ42および43は、台18と基板22との間の温度差を監視する。
台18の温度を調整するべくヒータ46を設けるとしてよい。コントローラ48は、ヒータ46、バルブ24および30、圧力センサ38、ならびに、温度センサ42と通信し合う。コントローラ48は、制御プログラムを実行して、ヒータ46、ならびに/または、バルブ24および30を操作するとしてよい。また、コントローラ48は、チャンバ14内に基板22を配置し、必要に応じてカセット、別のステーション、または別のチャンバまで基板22を移動させるロボットと通信し合うとしてよい。
図2を参照しつつ説明すると、チャンバ61を備える半導体処理システム60が図示されている。チャンバ61は、1以上のステーション62−1、62−2、62−3、・・・、および62−N(まとめて、ステーション62とする)を有するとしてよい。尚、Nは、1以上の整数である。図示されているチャンバ61は複数のステーションを有しているが、複数のチャンバを利用するとしてもよいし、有するステーションが1つであるチャンバを利用するとしてもよい。ステーションまたはチャンバの一部にはUV光源が設けられていないとしてよい。
ロボット64を用いて、基板22をカセット68から取り出して、基板22をステーション62間で移動させて、本明細書に記載されているように、少なくとも一部分を変換する処理、膜の品質を改善する処理、および/または、高密度化させる処理を実行した後、基板22をカセット68へと戻すとしてよい。想到の範囲内であるが、基板22は、各ステーション62を通過するように移動させるとしてよい。一部の実施例によると、チャンバ61のステーション62は、温度およびUV強度の条件を同じまたは異なる値に設定して動作させられるとしてよく、ガスおよび圧力の条件を同じまたは異なる値に設定して動作させられるとしてよい。複数のチャンバを利用する場合、温度、UV強度、ガス、および圧力の条件は、チャンバ毎に異なる可能性がある。一部の実施例によると、当該半導体処理システムは、Novellus Systems,Inc.社製のSOLA(登録商標)UVサーマルプロセッシング(UVTP)システムを備えるとしてよいが、他の半導体処理システムを利用するとしてもよい。
図3Aおよび図3Bには、本開示に係る、蒸気内UV硬化を実行することによって堆積層を変換する方法が図示されている。図3Aによると、最初のステップ110において、台18の温度を所定温度に設定する。一例に過ぎないが、台18の温度は、サーマルバジェット未満に設定するとしてよい。一部の実施例によると、サーマルバジェットは、特定の期間について、摂氏480度以下である。別の実施例によると、サーマルバジェットは、特定の期間について、摂氏400度以下である。
ステップ114において、希釈ガスと相対的に、チャンバ14内での蒸気の分圧を調整する。一例に過ぎないが、以下のような手順で蒸気の分圧を所望の値に設定するとしてよい。最初に希釈ガスを導入して所望の圧力を実現するとしてよい。一例に過ぎないが、圧力は、0Torrから700Torrの間に設定するとしてよいが、他の値であってもよい。一部の実施例によると、圧力値は50Torrに設定するとしてよい。
この後希釈ガスの導入を遮断して、所定の期間にわたって蒸気を導入するとしてよい。希釈ガスの導入を遮断して蒸気を導入した後からチャンバ14に基板22を導入するまでの所定の待機期間を変化させることで、分圧条件が変化する。他のシステムでは、蒸気および希釈ガスを同時に導入して、ウェハを処理するまでに一方または両方を調節するとしてよい。
一例に過ぎないが、大まかに推定すると、分圧が低くなるのは、所定の待機期間を約5分間以下にする場合で、蒸気の分圧が中程度になるのは、所定の待機期間を約10分間程度にする場合で、蒸気の分圧が高くなるのは、所定の待機期間が約15分間程度である場合である。想到の範囲内であろうが、待機期間は、具体的に実施する際の詳細な内容に応じて変動する。
一例に過ぎないが、分圧を低く設定して基板22をチャンバ14に導入することによって、PSZ膜がSiNに変換される。一方、分圧を中程度に設定して基板22をチャンバ14に導入することによって、PSZ膜の一部がSiOHに変換される。分圧を高く設定して基板22をチャンバ14に導入することによって、PSZ膜が全てSiOに変換される。一部の実施例によると、分圧を調整する前、または、所望の分圧に到達する前に、基板22をチャンバ内に配置するとしてよい。
本例では、低い分圧とは、蒸気が15%未満の分圧を指す。中程度の分圧とは、蒸気が15%を超え、70%未満の分圧を指す。高い分圧とは、蒸気が70%を超える分圧を指す。想到の範囲内であろうが、他の半導体処理システムでは、分圧を直接制御可能であるとしてもよい。
チャンバ14内の蒸気の分圧が所望の値に到達すると、ステップ116において、基板22をチャンバ14内の台18の上に配置するとしてよい。一部の実施例によると、分圧を所望の値に調節する前、または、分圧が所望の値に到達する前に、チャンバ14に基板22を配置するとしてよい。ステップ120において、所定の期間にわたって、基板22を蒸気に浸漬させるか、または、蒸気内で硬化(蒸気アニーリング)させる。ステップ124において、所定の期間が終了した後に、UV源34の電源を入れて、第2の所定の期間にわたって基板22を蒸気内でUV硬化させる。
図3Bにおいて、半導体処理システムは、複数のチャンバ14を備えるとしてよい。同図に示す方法の最初のステップ130において、台18の温度を1以上の所定の温度に設定する。台18の温度は、チャンバ14が有する複数のステーション毎に異なるとしてもよいし、同じであるとしてもよい。ステップ134において、希釈ガスと相対的に、チャンバ14内の蒸気の分圧を調整する。チャンバ14内の分圧が所望の値に到達すると、ステップ116において、チャンバ14が有する複数のステーションのうち1つのステーションの台18の上に基板22を配置するとしてよい。想到の範囲内であろうが、分圧を調整する前、または、所望の分圧に到達する前に、基板をチャンバ内に配置するとしてよい。ステップ120において、所定の期間にわたって、基板22を蒸気に浸漬させるか、または、蒸気内で硬化(蒸気アニーリング)させる。ステップ124において、所定の期間が終了した後に、UV源34の電源を入れて、第2の所定の期間にわたって基板22を蒸気内でUV硬化させる。
当該方法のステップ128において、基板22をチャンバ14の別のステーションに移動させる必要があるか否かを判断する。ステップ128の判断結果が真である場合、ステップ132において基板22をチャンバ14の別のステーションに移動させて、ステップ120に続く。ステップ128の判断結果が偽である場合、終了する。図3Bに示す方法がチャンバ14のあるステーションから別のステーションへと1つの基板22を移動させることを含む場合、複数の基板22を処理することが可能となりパイプライン方式に移動させることができる。これに代えて、複数のチャンバ、または、有するステーションが1つであるチャンバを利用するとしてもよい。
一実施例によると、複数のステーションを備える1つのチャンバ14で複数の基板を処理することができる。UV強度および温度は、基板毎に制御することができる。これら複数の基板は、同一チャンバ内で複数の異なるステーションに配置される場合、ガス条件が共通または相違するとしてよい。これに代えて、基板毎にガス条件が異なるとしてもよい。台の温度は、摂氏300度に設定されるとしてよい。第1のステーションは、UV硬化を実行することなく基板を浸漬させるために利用されるステーションである。第2、第3、および、第4のステーションでは、UV強度が最大強度の35%、35%、および35%に設定されている。基板は、各ステーションで5分間にわたって処理される。
別の実施例によると、台の温度は摂氏300度に設定される。第1のステーションは、UV硬化を実行することなく基板を浸漬させるために利用されるステーションである。第2、第3、および、第4のステーションでは、UV強度が最大強度の35%、50%、および75%に設定されている。基板は、各ステーションで4分間にわたって処理される。
さらに別の実施例によると、台の温度は摂氏300度に設定される。第1のステーションは、UV硬化を実行することなく基板を浸漬させるために利用されるステーションである。第2、第3、および、第4のステーションでは、UV強度が最大強度の100%、100%、および100%に設定されている。基板が各ステーションに留まるのは、2〜3分間である。想到の範囲内であろうが、UV強度、硬化時間、ステーションまたはチャンバの数、台の温度、圧力、および/または、その他のパラメータは、用途に応じて変更するとしてよい。
図4は、本開示に係る、蒸気内UV硬化の後のSODに対するフーリエ変換型赤外分光(FTIR)の結果を示すグラフである。SODは、堆積時には、Si−H結合(2000〜2260cm−1)および部分Si−N結合(820−1020cm−1)の密度が非常に高い。Si−H結合および部分Si−N結合は、蒸気内UV硬化を実行すると、大部分がSi−O結合に変換される(1066cm−1)。本実施例では、蒸気内UV硬化の前に、所与の浸漬期間にわたって蒸気内に基板を浸漬させた。
図5は、本開示に係る、蒸気内UV硬化の後の蒸気アニーリングされたSODに対するFTIRの結果を示すグラフである。Si−H結合および部分Si−N結合は、蒸気内UV硬化を実行すると、蒸気内UV硬化によってSi−O結合にさらに変換される(1066cm−1)。この実施例によると、蒸気内UV硬化の前に、(浸漬期間よりも長い)所与の硬化期間にわたって蒸気内で基板を硬化させた。
図6は、不活性環境においてSODを硬化させた後のFTIRの結果を示すグラフである。SODは、堆積時には、Si−H結合(2000〜2260cm−1)および部分Si−N結合(820−1020cm−1)の密度が非常に高い。UV硬化を行うと、Si−H結合は、蒸気内UV硬化を行う場合よりも少なくなる。部分Si−N結合は増加し、Si−O変換は発生しない。
図7Aおよび図7Bは、本開示に係る、蒸気内UV硬化の後に酸化物の高密度化処理を行って堆積層を変換する方法を説明するための図である。図7Aに示すように、ステップ150において、台の温度を設定する。ステップ154において、チャンバ14内の蒸気の分圧を、希釈ガスと相対的に、設定する。ステップ156において、チャンバ14内に基板22を配置する。想到の範囲内であろうが、分圧を調整する前、または、所望の分圧に到達する前に、チャンバ内に基板を配置するとしてよい。ステップ158において、第1の所定の期間にわたって待機する。ステップ162において、UV源34の電源を入れて、第2の所定の期間にわたって硬化させる。ステップ166において、基板を高密度化させる。
基板22の高密度化は、任意の適切な方法を用いて行うとしてよい。一例に過ぎないが、アルゴン等の希釈ガスでアニーリングを行うことによって、基板の高密度化を行うとしてよい。これに代えて、さらに蒸気、アンモニア、または、ヘリウム内でUV硬化を実行することによって、基板22を高密度化するとしてもよい。アンモニアを用いる場合、他のガスは用いても用いなくてもよいが、チャンバを1Torrから600Torrの範囲内で加圧するとしてよい。一例に過ぎないが、他のガスを用いることなく、50Torrから600Torrとしてよい。別の実施例によると、600Torrを超える圧力、大気圧、または、大気圧よりも高い圧力(例えば、760Torrより高い圧力)で、チャンバを動作させるとしてよい。
図7Bに示す方法では、図7Aと同様のステップを実行する。ステップ166において基板の1以上の層を高密度化する前に、ステップ170において、被覆層を除去する処理を実行するとしてよい。例えば、化学機械研磨(CMP)を実行して、被覆層を除去して基板22の上面を平坦化するとしてよい。
図8Aおよび図8Bは、本開示に係る、アンモニア内UV硬化によって高密度化する方法を説明するための図である。図8Aに示す方法では、最初のステップ204において、台の温度を設定する。ステップ214において、チャンバ14にアンモニアを供給する。ステップ218において、チャンバ14の台18に基板22を配置する。ステップ220において、第1の所定の期間にわたって待機して、浸漬を行う。ステップ224において、UV源34の電源を入れて、第2の所定の期間にわたって基板を硬化させる。
図8Bに示す方法では、最初のステップ234において、チャンバ14が備える複数のステーションの台の温度を設定する。ステップ244において、チャンバ14にアンモニアを供給する。ステップ248において、チャンバ14が備えるステーションのうちの1つの台18に基板22を配置する。ステップ250において、第1の所定の期間にわたって待機するとしてよい。ステップ254において、UV源34の電源を入れて、第2の所定の期間にわたって基板22を硬化させる。第2の所定の期間が終了した後、ステップ258において、チャンバ14の別のステーションに基板を移動させる必要があるか否かを判断する。ステップ258の判断結果が真であれば、ステップ262において、チャンバ14の次のステーションに基板22を移動させる。ステップ258の判断結果が偽であれば、終了する。
一実施例によると、4つのステーションを備えるチャンバ14を利用するとしてよい。台の温度は、摂氏300度、摂氏330度、摂氏370度、摂氏400度に設定する。チャンバ14の第1、第2、第3、および、第4のステーションは、UV強度を100%に設定する。各チャンバ14内に基板22を留める時間は、7.5分間である。
上記の例ではアンモニアを用いているが、他の光活性化学物質を、単体または処理チャンバ内に存在する他の化学種と組み合わせて、利用するとしてもよい。適切な化学物質の例を挙げると、NH、あるいは、Hがジュウテリウムで置換されているNH同様の化合物(例えば、NHD、NHD、ND)、H;ヒドラジン(N)、または、テトラメチルシラン(4MS)あるいはトリメチルシラン(3MS)、アミン(例えば、メチルアミン、ジメチルアミン、あるいは、トリメチルアミン、または、エチルアミン)、エチレンあるいはプロピレン等のアルケン、または、アセチレン等のアルキン等、Hラジカルを生成するその他の光反応性ガス、または、上記の化学物質同士の組み合わせ、および/または、上記の化学物質とHe、NまたはAr等の不活性ガスとの組み合わせがある。具体例を挙げると、還元ガスはNHまたはHであってよい。具体例は、NHである。UV光は上記のような光活性化学物質と相互に作用して高密度化を促進すると共に、蒸気ではない外気中のUV光によってもまた、膜の高密度化が促進される。
さらに(上述したような蒸気以外の環境でのUV処理に加えて、または、それに加えて)、高密度化方法を利用するとしてよい。一例に過ぎないが、堆積層を高密度プラズマ(HDP)に暴露するとしてよい。HDPは、酸化性のOプラズマを含むとしてよい。しかし、HDPはさらに、He、Ar、H、または、Nを含むとしてよい。HDPを実行する際には、高周波(RF)バイアスを台に印加するとしてもよいし、印加しなくてもよい。印加の有無は、高密度化の深さに影響を及ぼす可能性がある。他の例としては、O内でUV硬化を実行することが挙げられる。O内でUV硬化を実行する場合には、大気圧、大気圧より高い圧力、または、大気圧未満の圧力で実行するとしてよい。一例に過ぎないが、1Tから700Tで(大気圧未満の圧力で)UV硬化を実行するとしてよい。圧力を高くすると(例えば、600T)、圧力を低くする場合に比べて、酸素ラジカルおよびオゾンの形成が促進される可能性が高くなるとしてよい。別の例では、離れた位置にあるOのプラズマ源に堆積層を暴露して、堆積層の高密度化を促進する酸素ラジカルを生成させる。別の例では、処理のサーマルバジェットに近い(しかし、サーマルバジェット未満の)温度でアニーリングを行う。当該アニーリングは、N、He、OまたはHO等の不活性ガスで実行されるとしてよい。さらに別の例では、高密度化を促進するHラジカルを生成するHまたはNHを利用する。
本開示の教示内容は幅広く、さまざまな形態で実施することが可能である。このため、本開示では具体例を記載したが、本開示の真の範囲は、それらの具体例に限定されるべきではない。これは、本開示の図面、明細書、および、特許請求の範囲を参照すれば、その他の変形例に想到可能なためである。

Claims (36)

  1. 基板を処理する方法であって、
    チャンバ内に蒸気を供給する段階と、
    前記チャンバ内に、シリコンを含む堆積層が設けられている基板を配置する段階と、
    所定の変換期間にわたって、前記蒸気の存在下で、前記堆積層にUV光を当てて、前記堆積層を少なくとも部分的に変換する段階と
    を備える方法。
  2. 前記所定の変換期間において、前記チャンバ内の前記蒸気の分圧を、前記チャンバ内のガスの70体積%より高く調節する段階をさらに備える請求項1に記載の方法。
  3. 前記所定の変換期間において、前記チャンバ内の前記蒸気の分圧を、前記チャンバ内のガスの15体積%より高く、且つ、70体積%より低く調節する段階をさらに備える請求項1に記載の方法。
  4. 前記所定の変換期間に先立って、所定の浸漬期間にわたって所定の温度で蒸気に前記基板を浸漬する段階をさらに備える請求項1に記載の方法。
  5. 前記チャンバ内に前記蒸気を供給する前に、前記チャンバに希釈ガスを供給して、前記チャンバ内で所定の圧力を実現する段階と、
    前記所定の圧力に到達した後、前記蒸気を前記チャンバに供給する段階と、
    所定の蒸気の分圧を実現するために十分な所定の期間にわたって待機する前または後に、前記チャンバ内に前記基板を配置する段階と
    をさらに備える請求項1に記載の方法。
  6. 前記チャンバに希釈ガスおよび前記蒸気を同時に供給する段階と、
    前記蒸気および前記希釈ガスの流動を調節して、所定の蒸気の分圧を実現する段階と、
    前記基板を前記チャンバ内に配置する段階と
    をさらに備える請求項1に記載の方法。
  7. 前記基板を前記チャンバ内の台の上に配置する段階と、
    前記所定の変換期間において、前記台の温度を制御する段階と
    をさらに備える請求項1に記載の方法。
  8. 前記台の温度は、前記所定の変換期間において前記基板の温度が摂氏480度未満となるように制御される請求項7に記載の方法。
  9. 前記所定の変換期間の後、前記チャンバおよび別のチャンバのうち少なくとも一方において、前記堆積層を高密度化する段階をさらに備える請求項1に記載の方法。
  10. 前記高密度化する段階はさらに、
    前記チャンバおよび別のチャンバのうち少なくとも一方にアンモニアを供給する段階と、
    前記アンモニアの存在下において所定の高密度化期間にわたって、前記チャンバおよび前記別のチャンバのうち前記少なくとも一方において、前記堆積層にUV光を当てる段階と
    を有する請求項9に記載の方法。
  11. 前記高密度化する段階はさらに、
    前記チャンバおよび別のチャンバのうち少なくとも一方に、希釈ガスを供給する段階と、
    前記希釈ガスの存在下において所定の高密度化期間にわたって、前記チャンバおよび前記別のチャンバのうち前記少なくとも一方において、前記堆積層にUV光を当てる段階と
    を有する請求項9に記載の方法。
  12. 前記高密度化する段階はさらに、
    前記所定の変換期間の後に、前記堆積層を加熱する段階
    を有する請求項9に記載の方法。
  13. 前記堆積層は、流動性酸化物、スピンオン誘電体(SOD)、スピンオンガラス(SOG)、および/または、スピンオンポリマー(SOP)から成る群から選択される請求項1に記載の方法。
  14. 前記UV光は、広帯域UV光を含む請求項1に記載の方法。
  15. チャンバと、
    前記チャンバに蒸気を供給する蒸気源と、
    前記蒸気源からの前記蒸気の存在下において所定の変換期間にわたって基板の堆積層にUV光を当てて、前記堆積層を少なくとも部分的に変換するUV源と
    を備える処理システム。
  16. 前記チャンバ内での前記蒸気の分圧は、前記所定の変換期間において、前記チャンバ内のガスの15体積%より高く、且つ、70体積%より低い請求項15に記載の処理システム。
  17. 前記チャンバ内での前記蒸気の分圧は、前記所定の変換期間において、前記チャンバ内のガスの70体積%より高い請求項15に記載の処理システム。
  18. 前記基板は、前記所定の変換期間の前に、所定の浸漬期間にわたって蒸気に浸漬される請求項15に記載の処理システム。
  19. 希釈ガスを供給する希釈ガス源をさらに備え、
    前記希釈ガス源は、前記蒸気源が前記蒸気を供給する前に、前記希釈ガスを前記チャンバに供給して前記チャンバ内で所定の圧力を実現し、
    前記蒸気源は、前記所定の圧力に到達した後に、前記蒸気を前記チャンバに導入し、
    前記基板は、所望の蒸気の分圧に対応する所定の期間の後、前記チャンバ内に配置される
    請求項15に記載の処理システム。
  20. 前記蒸気源が前記蒸気を供給している間に希釈ガスを供給する希釈ガス源をさらに備え、
    前記希釈ガス源は前記希釈ガスの流動を調節して、前記蒸気源は前記蒸気の流動を調節して、所望の蒸気の分圧を実現する請求項15に記載の処理システム。
  21. 台と、
    前記所定の変換期間において前記チャンバ内の前記台を加熱するヒータと
    をさらに備える請求項15に記載の処理システム。
  22. 前記ヒータは、前記基板の温度が摂氏480度未満となるように、前記台を加熱する請求項21に記載の処理システム。
  23. 前記基板は、前記所定の変換期間の後、高密度化される請求項15に記載の処理システム。
  24. 前記チャンバおよび別のチャンバのうち一方に、アンモニアを供給するアンモニア源をさらに備え、
    前記基板は、前記アンモニアの存在下において所定の高密度化期間にわたって前記堆積層に前記UV光を当てることによって高密度化される請求項23に記載の処理システム。
  25. 前記チャンバおよび別のチャンバのうち一方に希釈ガスを供給する希釈ガス源をさらに備え、
    前記基板は、前記希釈ガスの存在下において所定の高密度化期間にわたって前記堆積層にUV光を当てることによって高密度化される請求項23に記載の処理システム。
  26. 前記基板は、アニーリングによって高密度化される請求項23に記載の処理システム。
  27. 前記堆積層は、流動性酸化物、スピンオン誘電体(SOD)、スピンオンガラス(SOG)、および/または、スピンオンポリマー(SOP)から成る群から選択される請求項15に記載の処理システム。
  28. 前記UV源は、広帯域UV光を供給する請求項15に記載の処理システム。
  29. 基板の堆積層を高密度化する方法であって、
    チャンバにアンモニアを供給する段階と、
    前記チャンバ内に、前記堆積層を有する前記基板を配置する段階と、
    前記アンモニアの存在下で所定の変換期間にわたって前記堆積層にUV光を当てて、少なくとも部分的に前記堆積層を高密度化する段階と
    を備える方法。
  30. 前記堆積層を高密度化する段階に先立って、前記チャンバおよび別のチャンバのうち一方において、蒸気内でのUV硬化を利用して前記堆積層を酸化シリコンに変換する段階をさらに備える請求項29に記載の方法。
  31. 前記堆積層を高密度化する段階に先立って、
    前記チャンバおよび別のチャンバのうち一方に、蒸気を供給する段階と、
    前記チャンバおよび別のチャンバのうち前記一方に、前記堆積層を有する前記基板を配置する段階と、
    前記蒸気の存在下において所定の変換期間にわたって前記堆積層にUV光を当てて、前記堆積層を少なくとも部分的に変換する段階と
    をさらに備える請求項29に記載の方法。
  32. 前記所定の変換期間において、前記チャンバおよび別のチャンバのうち前記一方における前記蒸気の分圧を、前記チャンバ内のガスの70体積%より高くなるように調節する段階をさらに備える請求項31に記載の方法。
  33. 前記所定の変換期間において、前記チャンバおよび別のチャンバのうち前記一方における前記蒸気の分圧を、前記チャンバ内のガスの15体積%より高く、且つ、70体積%より低く調節する段階をさらに備える請求項31に記載の方法。
  34. 前記所定の変換期間の前に、所定の浸漬期間にわたって前記蒸気に前記基板を浸漬する段階をさらに備える請求項31に記載の方法。
  35. 前記堆積層は、流動性酸化物、スピンオン誘電体(SOD)、スピンオンガラス(SOG)、および/または、スピンオンポリマー(SOP)から成る群から選択される請求項31に記載の方法。
  36. 前記UV光は、広帯域UV光を含む請求項29に記載の方法。
JP2010244261A 2009-11-12 2010-10-29 膜の少なくとも一部を酸化シリコンに変換し、および/または、蒸気内紫外線硬化を利用して膜の品質を改善し、および、アンモニア内紫外線硬化を利用して膜を高密度化するシステムおよび方法 Pending JP2011109086A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US26071509P 2009-11-12 2009-11-12
US61/260,715 2009-11-12
US12/854,421 2010-08-11
US12/854,421 US8528224B2 (en) 2009-11-12 2010-08-11 Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia

Publications (1)

Publication Number Publication Date
JP2011109086A true JP2011109086A (ja) 2011-06-02

Family

ID=49943627

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010244261A Pending JP2011109086A (ja) 2009-11-12 2010-10-29 膜の少なくとも一部を酸化シリコンに変換し、および/または、蒸気内紫外線硬化を利用して膜の品質を改善し、および、アンモニア内紫外線硬化を利用して膜を高密度化するシステムおよび方法

Country Status (4)

Country Link
US (2) US8528224B2 (ja)
JP (1) JP2011109086A (ja)
KR (1) KR101799594B1 (ja)
TW (1) TWI608121B (ja)

Families Citing this family (312)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103999198B (zh) * 2011-11-01 2016-08-24 株式会社日立国际电气 半导体器件的制造方法、半导体器件的制造装置及记录介质
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6428466B2 (ja) * 2014-06-23 2018-11-28 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9728402B2 (en) * 2015-08-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable films and methods of forming flowable films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
WO2021022558A1 (en) * 2019-08-08 2021-02-11 China Triumph International Engineering Co., Ltd. A method to deposit thin film high quality absorber layer
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR102387088B1 (ko) * 2019-10-31 2022-04-15 세메스 주식회사 기판 처리 장치
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP7386732B2 (ja) * 2020-03-06 2023-11-27 東京エレクトロン株式会社 成膜方法
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05259156A (ja) * 1992-03-16 1993-10-08 Fujitsu Ltd 半導体装置の製造方法
JPH05343336A (ja) * 1992-06-09 1993-12-24 Handotai Process Kenkyusho:Kk 半導体製造装置及び半導体装置の製造方法
US5387546A (en) * 1992-06-22 1995-02-07 Canon Sales Co., Inc. Method for manufacturing a semiconductor device
JPH0855848A (ja) * 1994-08-11 1996-02-27 Semiconductor Energy Lab Co Ltd 酸化珪素膜の加熱処理方法
WO2003031673A1 (fr) * 2001-10-02 2003-04-17 Advanced Systems Of Technology Incubation Film mince d'oxyde metallique et son procede de fabrication
JP2003168783A (ja) * 2001-11-29 2003-06-13 Sony Corp 半導体記憶装置の製造方法
JP2005203794A (ja) * 2004-01-16 2005-07-28 Internatl Business Mach Corp <Ibm> 低誘電率および超低誘電率のSiCOH誘電体膜ならびにその形成方法
JP2006528426A (ja) * 2003-07-21 2006-12-14 アクセリス テクノロジーズ インコーポレーテッド 最新のLow−k材料のための紫外線硬化法
WO2007140376A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. A method for depositing and curing low-k films for gapfill and conformal film applications
WO2008036810A2 (en) * 2006-09-20 2008-03-27 Applied Materials, Inc. Bi-layer capping of low-k dielectric films
JP2009041080A (ja) * 2007-08-09 2009-02-26 Univ Of Electro-Communications 酸化膜形成方法、MOSデバイス製造方法、MOSトランジスタ製造方法、SiOx粉末、及びSiOx粉末製造方法
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59215732A (ja) * 1983-05-24 1984-12-05 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作製方法
DE3569265D1 (en) * 1985-01-17 1989-05-11 Ibm Deutschland Process for the production of low-resistance contacts
JPH0382769A (ja) * 1989-08-28 1991-04-08 Dainippon Screen Mfg Co Ltd シリコン酸化膜形成方法およびその装置
EP0434153B1 (en) * 1989-12-21 1994-03-16 Koninklijke Philips Electronics N.V. A method of making a semiconductor device with a npn bipolar transistor
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
JPH08195494A (ja) * 1994-05-26 1996-07-30 Sanyo Electric Co Ltd 半導体装置,半導体装置の製造方法,薄膜トランジスタ,薄膜トランジスタの製造方法,表示装置
US5840600A (en) * 1994-08-31 1998-11-24 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device and apparatus for treating semiconductor device
JPH08136904A (ja) * 1994-11-04 1996-05-31 Toyo Ink Mfg Co Ltd 液晶用基板プラスチックフィルム
GB9607129D0 (en) * 1996-04-04 1996-06-12 Gew Ec Ltd Uv dryer with improved reflector
KR20010032764A (ko) * 1997-12-02 2001-04-25 베리 아이클스 아이오도사일렌 전구체로부터 형성된 실리콘계 필름과 그제조방법
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6663723B1 (en) * 2000-10-24 2003-12-16 Advanced Micro Devices, Inc. Vapor drying for cleaning photoresists
US6911084B2 (en) * 2001-09-26 2005-06-28 Arizona Board Of Regents Low temperature epitaxial growth of quaternary wide bandgap semiconductors
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
EP2036120A4 (en) * 2006-05-30 2012-02-08 Applied Materials Inc NOVEL PLASMA CURING AND PLASMA CURING PROCESS TO ENHANCE THE QUALITY OF SILICON DIOXIDE FILM
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
JP4661753B2 (ja) * 2006-09-29 2011-03-30 東京エレクトロン株式会社 基板処理方法、洗浄方法及び記憶媒体
US8173537B1 (en) * 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7745346B2 (en) * 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
JP2010171231A (ja) * 2009-01-23 2010-08-05 Toshiba Corp シリコン酸化膜の形成方法
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
JP2013516788A (ja) * 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05259156A (ja) * 1992-03-16 1993-10-08 Fujitsu Ltd 半導体装置の製造方法
JPH05343336A (ja) * 1992-06-09 1993-12-24 Handotai Process Kenkyusho:Kk 半導体製造装置及び半導体装置の製造方法
US5387546A (en) * 1992-06-22 1995-02-07 Canon Sales Co., Inc. Method for manufacturing a semiconductor device
JPH0855848A (ja) * 1994-08-11 1996-02-27 Semiconductor Energy Lab Co Ltd 酸化珪素膜の加熱処理方法
WO2003031673A1 (fr) * 2001-10-02 2003-04-17 Advanced Systems Of Technology Incubation Film mince d'oxyde metallique et son procede de fabrication
JP2003168783A (ja) * 2001-11-29 2003-06-13 Sony Corp 半導体記憶装置の製造方法
JP2006528426A (ja) * 2003-07-21 2006-12-14 アクセリス テクノロジーズ インコーポレーテッド 最新のLow−k材料のための紫外線硬化法
JP2005203794A (ja) * 2004-01-16 2005-07-28 Internatl Business Mach Corp <Ibm> 低誘電率および超低誘電率のSiCOH誘電体膜ならびにその形成方法
WO2007140376A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. A method for depositing and curing low-k films for gapfill and conformal film applications
JP2009539265A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法
WO2008036810A2 (en) * 2006-09-20 2008-03-27 Applied Materials, Inc. Bi-layer capping of low-k dielectric films
JP2010504648A (ja) * 2006-09-20 2010-02-12 アプライド マテリアルズ インコーポレイテッド 低k誘電膜の二層キャッピング
JP2009041080A (ja) * 2007-08-09 2009-02-26 Univ Of Electro-Communications 酸化膜形成方法、MOSデバイス製造方法、MOSトランジスタ製造方法、SiOx粉末、及びSiOx粉末製造方法
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor

Also Published As

Publication number Publication date
KR20110052509A (ko) 2011-05-18
TWI608121B (zh) 2017-12-11
US9147589B2 (en) 2015-09-29
TW201120239A (en) 2011-06-16
US8528224B2 (en) 2013-09-10
KR101799594B1 (ko) 2017-11-20
US20120036732A1 (en) 2012-02-16
US20140020259A1 (en) 2014-01-23

Similar Documents

Publication Publication Date Title
TWI608121B (zh) 用於至少部分地轉換薄膜為二氧化矽及/或於蒸氣中使用紫外線硬化來改善薄膜品質以及於氨中使用紫外線硬化來改善薄膜密實化之系統及方法
KR102572641B1 (ko) 기판 표면들 상에 유동성 유전체 디포지션 처리
JP5455626B2 (ja) ボトムアップギャップ充填のための誘電堆積プロセスとエッチバックプロセス
KR102291889B1 (ko) 유기아미노실란 어닐링을 이용한 SiOCH 막의 형성 방법
KR102438577B1 (ko) 고 품질 fcvd 막들을 위한 진보된 프로세스 플로우
TWI673826B (zh) 可流動膜固化穿透深度之改進以及應力調諧
US7888273B1 (en) Density gradient-free gap fill
US20150118863A1 (en) Methods and apparatus for forming flowable dielectric films having low porosity
JP6761807B2 (ja) 高品質薄膜を形成するための周期的連続処理
KR20140010449A (ko) 손상된 저 k 필름들의 복구 및 기공 밀봉을 위한 자외선 보조형 시릴화
JP2013515376A (ja) 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
KR102322809B1 (ko) 감소된 유전 상수 및 강화된 기계적인 특성들을 갖는 저-k 유전체 층
KR20130101479A (ko) 조정 가능한 습식 에칭률을 갖는 유동성 산화물 막
KR20160003226A (ko) 응력 조절을 위한 저온 유동성 경화
US20170316936A1 (en) Optical filtering for integrated dielectrics uv curing processes
TW202105513A (zh) 微波輻射後處理介電膜的方法

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20130816

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20130823

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130816

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131028

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141027

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141111

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150210

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170601

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20171117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180227