JPH10199873A - フッ化ケイ酸ガラス膜の膜安定性向上のための方法及び装置 - Google Patents

フッ化ケイ酸ガラス膜の膜安定性向上のための方法及び装置

Info

Publication number
JPH10199873A
JPH10199873A JP9292781A JP29278197A JPH10199873A JP H10199873 A JPH10199873 A JP H10199873A JP 9292781 A JP9292781 A JP 9292781A JP 29278197 A JP29278197 A JP 29278197A JP H10199873 A JPH10199873 A JP H10199873A
Authority
JP
Japan
Prior art keywords
chamber
source
halogen
gas
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9292781A
Other languages
English (en)
Other versions
JP4138052B2 (ja
Inventor
K Ban Mohan
ケー. バン モハン
Suburamaniam Sudahaker
スブラマニアム スダハカー
Gupta Anand
グプタ アナンド
V S Rana Birendora
ヴィー. エス. ラナ ヴィレンドラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10199873A publication Critical patent/JPH10199873A/ja
Application granted granted Critical
Publication of JP4138052B2 publication Critical patent/JP4138052B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 ハロゲンドープシリコン酸化層の膜安定性を
改善する方法及び装置を提供する。 【解決手段】 本発明の方法は、第1のハロゲンソース
と第1のハロゲンソースと異なる第2のハロゲンソース
とを含むプロセスガスを、シリコン及び酸素ソースと共
に堆積チャンバ15に導入するステップを含む。導入
後、プラズマがプロセスガスから形成されてチャンバ1
5内に配置されている基板上にハロゲンドープ層が堆積
される。更にハロゲンソースを導入すると、膜のエッチ
ング効果を向上すると信じられている。膜の堆積のエッ
チング成分の増加は、膜のギャップ充填能力を向上し、
膜の安定性させる。好ましい実施形態でハロゲンドープ
膜はフッ化ケイ酸ガラス膜であり、第1のハロゲンソー
スとしてSiF4、シリコンソースとしてTEOS、第
2のハロゲンソースとしてF2又はNF3のいずれかを用
いる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明はウエハ処理中のハロ
ゲンドープ誘電層の堆積に関し、より詳細には、低い比
誘電率、高い膜安定性及び良好なギャップ充填特性を有
するフッ素ドープシリコン酸化層を形成するための方法
及び装置に関する。
【0002】
【従来の技術】現在の半導体デバイス製造における基本
的なステップの1つに、気体の化学反応により半導体基
板上に薄膜を形成する工程が挙げられる。このような堆
積方法は、化学気相堆積法(chemical vapor depositio
n)ないしCVDと呼ばれる。従来からの熱CVDプロセ
スでは、反応性ガスを基板表面に供給して、熱により化
学反応を引き起こして所望の膜を生成する。熱CVDプ
ロセスが行われる高い温度では、メタル層を有するデバ
イス構造体にダメージを与えることがある。
【0003】比較的低温でメタル層上に層を堆積する他
のCVD法の中には、プラズマ励起CVD(PECV
D:plasma enhanced CVD)法がある。プラズマCVD
法は、基板表面に近い反応領域に高周波(RF)エネル
ギーを印加して、反応ガスの分解及び/又は励起を促進
し、プラズマを生成する。プラズマ中の種の反応性が高
いため、化学反応を引き起こすために要するエネルギー
が低減でき、そのためこれらCVDプロセスに必要な温
度を下げることができる。このようにPECVDプロセ
スの温度は比較的低いため、堆積したメタル層の上の絶
縁層の形成及び他の絶縁層の形成には理想的となってい
る。
【0004】半導体デバイスの幾何的関係は、半導体デ
バイスが初めて導入された数十年前に比べて劇的に小さ
くなってきた。それ以降、集積回路は、2年でサイズが
半分の法則(しばしば「ムーアの法則」と呼ばれる)に
概ね従ってきており、これは2年毎に1つのチップにフ
ィットするデバイスの数が倍になることを意味してい
る。今日のウエハ製造プラントでは、表面形状のサイズ
が0.5ミクロン、更に0.35ミクロンの集積回路を
製造しており、明日のプラントではこれよりも小さな表
面形状サイズのデバイスを間もなく製造することになる
だろう。
【0005】デバイスのサイズがより一層小さくなり集
積密度が高くなるにつれて、産業界でかつては重要では
ないと思われていた問題が顕在化してきている。3層、
4層またはそれ以上の多層のメタルを半導体上に形成す
るマルチレベルメタルの技術の出現により、メタル間誘
電(IMD:intermetal dielectric)層等の絶縁層の
比誘電率を下げることが、半導体製造者の1つの目標と
なった。インターコネクトメタライゼーションのRC時
間遅れを低減してメタライゼーションの異なるレベルの
間のクロストークを防止しまた電力消費を低減するため
には、メタル間誘電層は低い比誘電率を有することが特
に望ましい。
【0006】低い比誘電率を得るために様々なアプロー
チがなされてきた。その中でもっとも有望な解決策の1
つは、シリコン酸化物層にフッ素又は塩素若しくは臭素
等のその他のハロゲン元素を包含させることである。フ
ッ素は、シリコン酸化膜に好ましいハロゲンドーパント
であって、SiOFの網状組織全体に亘る分極を低減す
る陰性原子であるので、シリコン酸化膜の比誘電率を低
減すると信じられている。フッ素ドープされたシリコン
酸化膜は、フッ化ケイ酸ガラス(FSG:fluoro silic
ate glass)膜とも呼ばれている。
【0007】FGS膜を堆積する方法としては、プラズ
マを、プラズマになる前段階の物質(前駆物質)(precu
rsor)としてSiF4、テトラエトキシシラン(TEO
S)及び酸素を含むプロセスガスから形成するものがあ
る。ガス分子中で4つのフッ素原子がシリコン原子に結
合しているので、他のフッ素ソースと比較した場合に所
定の流量に対して高いパーセンテージのフッ素を堆積チ
ャンバ内に供給するという理由から、SiF4はFSG
膜に対して特に効果的なフッ素ソースであると信じられ
ている。更にSiF4は、プラズマ反応に用いることの
できるシリコンに結合たフッ素を他のフッ素ソースより
も多く有している。FSG膜のためのフッ素ソースとし
てのSiF4の使用は、上述した米国特許出願第08/538,
696号明細書及び米国特許出願第08/616,707号明細書に
より詳細に論ぜられている。
【0008】メタル間シリコン酸化層にフッ素を包含さ
せることは、比誘電率を低減するだけはなく、半導体構
造体で近接して配置されるギャップを充填するなど、小
さな幾何的関係のデバイスを製造する際に生じる共通の
問題を解決するためにも役に立つ。フッ素はエッチング
種であるため、フッ素をドープすることにより成長中の
膜にエッチング効果が持ち込まれると考えられる。この
ように堆積とエッチングを同時に行う効果により、FS
G膜のギャップ充填の性能を向上することが可能にな
り、アスペクト比が1.8以上のメタル層が隣接し合う
ところを膜が適正に覆うことができるようになる。
【0009】
【発明が解決しようとする課題】このように、製造者は
フッ素を様々な誘電層に包含させること、特にメタル間
誘電層に包含させることを所望する。FSG層の堆積に
おいて生じる問題の1つに膜の安定性がある。FSG膜
によっては、その格子構造の中に緩く結合したフッ素原
子により、膜が吸湿し易くなることがある。吸湿によ
り、膜の比誘電率は上昇し、膜がアニール等の熱プロセ
スに曝露されたときに更に問題を生じさせることがあ
る。熱プロセスの高温が、吸収された水の分子や緩く結
合しているフッ素原子を酸化物層から追い出してメタル
層やその他の次に堆積する層の中へ移動させる。このよ
うな態様で分子や原子が移動することを、アウトガスと
称する。このようなアウトガスは、膜を所定の温度に加
熱したときに膜を離れるHFやH2Oを検出することに
よって測定されることができる。FSG膜が堆積された
後、基板処理中の少なくとも最高温度(例えば450
℃)まではアウトガスが少ないか又は無いことが好まし
い。
【0010】一般的に、FSG膜の比誘電率及びギャッ
プ充填能力は膜に含まれるフッ素の量に関係している。
フッ素含有量を増加すると、比誘電率は低減されギャッ
プ充填が改善される。しかし、フッ素含有率が高い(例
えば7原子重量パーセント[at.wt.%]又は8原子重量
パーセントより多いフッ素)FSG膜は、フッ素含有率
(例えば7原子重量パーセント[at.wt.%]又は8原子
重量パーセントより少ないフッ素)が低い膜より吸湿性
が高く、アウトガスの問題を有する傾向がある。
【0011】上記より、低い比誘電率を有する酸化物膜
が、進歩する技術と歩調を合わせる必要があることが理
解されよう。また、ハロゲンドープ酸化膜、特にフッ素
を多く含むFSG膜の安定性を向上させて、膜における
吸湿の及びアウトガスを低減するような方法が望まれる
ことが理解されよう。
【0012】
【課題を解決するための手段】本発明は、低い比誘電率
を有し且つ改善された高い安定性を有するハロゲンドー
プ層を提供する。また、本発明は、このような層を形成
するための方法及び装置を提供する。プラズマ堆積操作
中に第1のハロゲンソースガス及び第1のハロゲンソー
スと異なる第2のハロゲンソースガスを、堆積チャンバ
内にシリコン及び酸素ソースと共に導入することによ
り、膜の安定性が向上する。プラズマはガスから形成さ
れてチャンバ内に配置された基板上にハロゲンドープ層
を堆積する。更にハロゲンソースを導入すると、プラズ
マのために余分の自由フッ素が提供され、膜のエッチン
グ効果が向上される。膜堆積における向上されたエッチ
ング成分は、膜のギャップ充填能力を改善し、膜の安定
性に貢献する。
【0013】本発明の好ましい態様に従ってFSG膜を
堆積する。この態様では第1のハロゲンソースガスはS
iF4で、シリコンソースはTEOSである。酸素ソー
スとしてはO2、N2O又はこれらと同様のガスが可能
で、第2のハロゲンソースとしてはNF3、F2又はこれ
らと同様のソースのいずれかである。TEOSの酸素に
対する比は比較的高く、約1.9:1〜5.8:1であ
り、第2のハロゲンソースの第1に対する比は、約0.
0125:1〜0.5:1である。この態様に従って堆
積されたFSG膜は少なくとも9〜10at.wt.%のフッ
素を含んでおり、加熱が少なくとも450℃までの温度
であれば、層からのH2O又はHFアウトガスは実質的
に存在しない。
【0014】本発明の以上の態様及びその他の態様を、
利点及び特徴と共に、以下の文章及び添付図面と関連し
て詳細に説明する。
【0015】
【発明の実施の形態】
I.予備的説明 本発明は、低い比誘電率(実施形態のなかには約3.5
より低いものもある)と、所望のギャップ充填特性とを
有する絶縁層の堆積を可能にするものである。本発明の
絶縁層は、少なくとも450℃までの温度に晒されても
安定しており、従来の設計のCVDチャンバ内で堆積さ
れ得る。
【0016】II.代表的なCVD装置 本発明の方法を実行することができる好適なCVD装置
の1つを図1及び図2に示す。これらの図は化学気相堆
積装置10の垂直断面図であって、その装置10には真
空チャンバ、すなわちプロセスチャンバ15が設けら
れ、そのチャンバ15には、チャンバ壁15a及びチャ
ンバ蓋アセンブリ15bが設けられている。チャンバ壁
15a及びチャンバ蓋アセンブリ15bを、図3及び図
4に分解斜視図として示す。
【0017】リアクタ10にはガス分散マニホールド1
1が設けられており、そのガス分散マニホールドは、プ
ロセスガスをプロセスチャンバ内の中心にある加熱式ペ
デスタル12に載置されている基板(図示せず)に分散
する。処理中に、基板(例えば半導体ウエハ)はペデス
タル12の平らな(又は僅かに凸曲線状の)面12aに
配置される。ペデスタルは、下方のローディング/オフ
ローディング位置(図1に示す)と、マニホールド11
に近接している上方の処理位置(図1では点線で示し、
図2では実線で表わしている)との間を制御可能な状態
で移動する。センターボード(図示せず)はセンサーを
有し、ウエハの位置に関する情報を提供する。
【0018】堆積ガス及びキャリアガスは、従来の平坦
で円形なガス分散面板13aの穿孔13b(図4に示
す)を通ってチャンバ15に導入される。更に詳細に
は、堆積プロセスガスは、吸込みマニホールド11(図
2に矢印40で示す)を通り、従来の穿孔されたブロッ
カー板42を通り、そして分散面板13aの孔13bを
通ってチャンバに流入される。
【0019】堆積ガス及びキャリアガスは、マニホール
ドに達する前にガス供給ライン8を通して混合装置9に
入って混合され、それからマニホールド11に送られ
る。通常、各プロセスガスの供給ラインには、(i)プ
ロセスガスのチャンバ流入を自動的に又は手動で遮断す
るために用いることができる数個の安全遮断バルブ(図
示せず)と、(ii)供給ラインを通るガスの流れを測
定する質量流量コントローラ(これも図示せず)とが設
けられている。有毒ガスがプロセスで使用される場合
は、数個の安全遮断バルブが従来の配置で各供給ライン
に置かれる。
【0020】リアクタ10で行われる堆積プロセスは、
熱処理プロセス又はプラズマ励起プロセスのどちらかで
ありうる。プラズマ励起プロセスにおいて、RFパワー
供給源44は、ガス分散面板13aとペデスタルとの間
に電力を供給し、プロセスガス混合物を励起して、面板
13aとペデスタルとの間の円柱形領域にプラズマを形
成する(この領域を本明細書では・反応領域・と呼
ぶ)。プラズマの成分は、反応し、ペデスタル12に支
持されている半導体ウエハの表面に所望の膜が堆積され
る。RFパワー供給源44は、混合周波数RFパワー供
給源であり、通常、13.56MHzの高RF周波(R
F1)、360KHzの低RF周波(RF2)を供給
し、真空チャンバ15に導入された反応種の分解を促進
する。
【0021】堆積プロセス中、排出路23及び遮断バル
ブ24を取り囲んでいるチャンバ本体の壁部15aを含
むプロセスチャンバ10の全体がプラズマによって加熱
される。プラズマがオンされていないときは、高温の液
体がプロセスチャンバの壁15aを通って循環し、チャ
ンバは高温に維持される。チャンバ壁15aを加熱する
ために用いられる流体は、典型的な流体の種類、すなわ
ち水ベースのエチレングリコール又はオイルベースの伝
熱流体を含んでいる。この加熱によって、望ましくない
反応生成物の凝縮が有益に低減又は排除される。またこ
の加熱によって、低温の真空通路の壁に凝縮して、ガス
が流れていないときにプロセスチャンバに移動して戻っ
た場合にプロセスを汚染する可能性のある、プロセスガ
スの揮発性生成物及び他の汚染物質が一層排除される。
【0022】層に堆積されなかったガス混合物の残余物
(反応生成物を含む)は、チャンバから真空ポンプ(図
示せず)によって排気される。特にガスは、反応領域を
包囲している環状のスロット形オリフィス16を通し
て、環状の排気プリナム17に排気される。環状のスロ
ット16及びプリナム17はチャンバの円筒形側壁15
a(壁にある上部絶縁ライニング19を含む)の頂部
と、円形チャンバ蓋20の底部との間の隙間によって画
されている。360゜の円対称で均一なスロットオリフ
ィス16及びプリナム17は、ウエハ上にプロセスガス
の均一な流れを達成し、ウエハ上に均一な膜を堆積する
のに重要である。
【0023】ガスは、排気プリナム17から排気プリナ
ム17の横延長部21の下を流れ、のぞき窓22を過
ぎ、下方に延びるガス路23を通り、真空遮断バルブ2
4(本体は下部チャンバ壁15aと一体になっている)
を過ぎ、フォアライン(foreline)(図示せず)を通して
外部真空ポンプ(図示せず)に連結されている排出口2
5に入る。
【0024】好ましくはアルミニウムのペデスタル12
のウエハ支持プラタ(platter)は、平行な同心円をなす
ように構成されているダブルフルターンシングルループ
埋込みヒータ部材を用いて加熱される。このヒータ部材
の外側部分は支持プラタの外周に隣接して通され、内側
部分は小さな半径を有している方の同心円の軌跡上に通
される。ヒータ用の電熱線はペデスタル12のステムを
通過する。
【0025】一般的に、チャンバライニング、ガス吹込
みマニホールド面板及び他の多様なリアクタハードウエ
アのどれもが又は全てがアルミニウム又は陽極処理され
たアルミニウム等の材料から作られている。このような
CVD装置の例が、「CVDプロセスチャンバ」という
名称のZhao等に付与された米国特許第5,558,717号明細
書の記載されている。第5,558,717号の特許は、譲受人
であるアプライドマテリアルズインコーポレイテッドに
譲渡され、本明細書に全体で援用されている。
【0026】ウエハがロボットブレード(図示せず)に
よって、チャンバ10の側部の挿入/取出し口26を通
してチャンバの本体の中に及び中から移送されると、加
熱ペデスタルアセンブリ12及びウエハリフトピン12
bはリフト機構及びモータ32によって上下動される。
ペデスタル12は、モータ32によって処理位置14と
それより低いウエハローディング位置との間を上下動さ
れる。モータ、供給ライン8に連結されているバルブ又
は流量コントローラ20、ガス送出し装置、スロットル
バルブ32、RFパワー供給源44並びにチャンバ及び
基板の加熱システムは、コントロールライン36(数本
のみが図示されている)を介してそれら全てがシステム
コントローラ34によって制御されている。コントロー
ラ34は、光センサからのフィードバックによってスロ
ットルバルブ及びサセプタ等の可動式機械アセンブリの
位置を決定し、コントローラ34の制御の下、適切なモ
ータによって移動される。
【0027】好ましい実施形態において、システムコン
トローラは、ハードディスクドライブ(メモリ38)、
フロッピディスクドライブ及びプロセッサ37を有して
いる。プロセッサは、シングルボードコンピュータ(S
BC)、アナログインプット/アウトプットボード及び
デジタルインプット/アウトプットボード、インターフ
ェースボード並びにステッパモータコントロラボードを
有している。CVDシステム10の様々な部分が、ベル
サモジューラヨーロピアンズ(Versa Modular Europeans
(VME))規格に従っており、その規格によってボー
ド、カードケージ及びコネクタの寸法及び型が定められ
ている。16ビットデータバス及び24ビットアドレス
バスを有するバス構造もVME規格によって定められて
いる。
【0028】システムコントローラ34によって、CV
D装置の全ての動きが制御されている。システムコント
ローラはシステムコントロールソフトウエアを実行す
る。そのソフトウエアは、メモリ38等のコンピュータ
読取り可能媒体に記憶されているコンピュータプログラ
ムである。メモリ38は、好ましくはハードディスクド
ライブであるが、他の種類のものであってもよい。コン
ピュータプログラムは、命令集合を含んでおり、その命
令集合は、特定のプロセスのタイミング、ガスの混合、
チャンバ圧、チャンバ温度、RF電力レベル、サセプタ
位置及び他のパラメータを指示するものである。勿論、
例えばフロッピディスク又は他の適当なドライブを含む
他のメモリ素子に記憶されているもの等の他のコンピュ
ータプログラムも、コントローラ34を操作するのに用
いることができる。
【0029】図5に示すように、使用者とコントローラ
34とのインターフェースは、CRTモニタ50a及び
ライトペン50bによってなされる。この図5は1以上
のチャンバを含むことのできるマルチチャンバシステム
のCVDシステム10及びシステムモニタの略図であ
る。好ましい実施形態で、2つのモニタ50aが使用さ
れており、1つはオペレータ用にクリーンルーム壁に取
り付けられており、他方はサービス技術者用に壁の裏側
に取り付けられている。両モニタ50aは同時に同じ情
報を表示するが、ライトペン50bは1つのみが可能で
ある。ライトペン50bはCRTディスプレイによって
発せられた光をペンの先端にあるライトセンサで検出す
る。特定の表示面又は機能を選択するために、オペレー
タはディスプレイ表示面の指定された領域に触れ、ペン
50bのボタンを押す。接触領域は特に明るい色に変化
するか、新しいメニュー又は表示面がディスプレイさ
れ、ライトペンとディスプレイ表示面との間で通信でき
たことが確認される。勿論、使用者がコントローラ34
と通信するために、キーボード、マウス又は他の指示若
しくは通信装置等の他の装置を、ライトペン50bの代
わりに又はライトペン50bに加えて使用することもで
きる。
【0030】膜を堆積するプロセスは、コントローラ3
4で実行されるコンピュータプログラムプロダクトを用
いて実施され得る。コンピュータプログラムコードは、
68000アセンブリ言語、C、C++、パスカル、フォー
トラン又は他のもの等の従来のどのコンピュータ読取り
可能プログラミング言語によっても書かれることができ
る。プログラムコードとしては、単一のファイル又は複
数のファイルに入力されており、従来のテキストエディ
タを使用して、コンピュータのメモリシステム等のコン
ピュータ使用可能媒体で具現化又はストアされているも
のが好適である。入力されたコードテキストが高級言語
の場合、コードはコンパイルされ、その結果生じたコン
パイラコードは次に、コンパイルされたウインドライブ
ラリルーチンのオブジェクトコードとリンクする。シス
テム使用者は、リンクされ且つコンパイルされたオブジ
ェクトコードを実行するために、オブジェクトコードを
呼び出し、そのコードをコンピュターシステムによって
メモリにロードさせ、そのメモリからCPUにそのコー
ドを読取らせてコードを実行させ、プログラムで識別さ
れたタスクを行わせる。
【0031】図6は、特定の実施形態に従った、システ
ムコントロールソフトウエア、コンピュータプログラム
70の階層的コントロール構造を示したブロック図であ
る。使用者は、CRTモニタに表示されたメニュー又は
表示面に応じてプロセスセット番号及びプロセスチャン
バ番号を、ライトペンを用いてプロセス選択サブルーチ
ン73に入力する。プロセスセットは、特定のプロセス
を実行するのに必要なプロセスパラメータの所定の組合
わせであり、予め決められたセット番号で識別される。
プロセス選択サブルーチン73は、(i)所望のプロセ
スチャンバ、(ii)所望のプロセスを実行するように
プロセスチャンバを操作するのに必要な所望のプロセス
パラメータのセットとを識別する。特定のプロセスを行
うためのプロセスパラメータは、例えば、プロセスガス
の組成及び流量、温度、圧力、RFパワーレベル並びに
RF周波の低周波数等のプラズマ条件、冷却ガス圧及び
チャンバ壁温度等のプロセス条件と関係しており、その
プロセス条件は使用者にレシピの形で提供されている。
プロセスレシピによって特定されたパラメータは、ライ
トペン/CRTモニタインターフェースを用いて入力さ
れる。
【0032】プロセスをモニタする信号は、システムコ
ントローラのアナログ入力ボード及びデジタル入力ボー
ドによって提供され、プロセスを制御する信号は、CV
D装置10のアナログ出力ボード及びデジタル出力ボー
ドに出力される。
【0033】プロセスシーケンササブルーチン75は、
識別されたプロセスチャンバ及びプロセスパラメータの
セットをプロセス選択サブルーチン73から読み込むた
めと、多様なプロセスチャンバの制御操作のためとのプ
ログラムコードを含んでいる。多数の使用者がプロセス
組合せ番号及びプロセスチャンバ番号を入力することが
でき、或いは一人の使用者が多数のプロセス組合せ番号
及びプロセスチャンバ番号を入力することができ、シー
ケンササブルーチン75によって、選択されたプロセス
が所望の順序にスケジュールされるように操作される。
好ましくは、シーケンササブルーチン75は以下のステ
ップを行うプログラムコードを含んでいる。(i)プロセ
スチャンバの作動状況をモニタしてチャンバが使用され
ているか否かを決定するステップ、(ii)何のプロセスが
使用されているチャンバ内で行われているかを決定する
ステップ、(iii)実行されるプロセスの型及びプロセス
チャンバのアベイラビリティ(availability)をベースに
して所望のプロセスを実行するステップ。ポーリング
(polling)のような、プロセスチャンバが使用可能か
をモニタする従来の方法を用いることができる。シーケ
ンササブルーチン75は、どのプロセスが実行されるか
をスケジュールするときに、どのプロセスを優先させる
かといったスケジュールを決定するために、選択したプ
ロセスに対する、所望のプロセス状況と対比した使用プ
ロセスチャンバの現状況若しくは使用者が入力した各々
の特定のリクエストの「年代(age)」、又はシステムプ
ログラマが含めることを望む他の関連あるファクタを考
慮するように設計されることができる。
【0034】一旦、シーケンササブルーチン75によっ
て、どのプロセスチャンバ及びプロセスセットの組合わ
せが次に実行されるかが決定されると、シーケンササブ
ルーチン75は、特定のプロセスセットパラメータをチ
ャンバ管理サブルーチン77a〜77cに渡してプロセ
スセットが実行される。チャンバ管理サブルーチン77
a〜77cは、複数の処理タスクを、シーケンササブル
ーチン75によって決定されたプロセスセットに従って
プロセスチャンバ15内で制御するものである。例え
ば、チャンバ管理サブルーチン77aはプロセスチャン
バ15内のスパッタ及びCVDプロセスの操作を制御す
るプログラムコードを含んでいる。チャンバ管理サブル
ーチン77は、また多様なチャンバ構成要素サブルーチ
ンを実行を制御し、それらのサブルーチンは、選択され
たプロセスセットを実行するのに必要なチャンバ構成要
素の操作を制御する。チャンバ構成要素サブルーチンの
例としては、基板位置決めサブルーチン80、プロセス
ガス制御サブルーチン83、圧力制御サブルーチン8
5、ヒータ制御サブルーチン87及びプラズマ制御サブ
ルーチン90がある。当業者は、チャンバ15内でどの
ようなプロセスの実行が望まれるかによって、他のチャ
ンバ制御サブルーチンが含まれ得ることを容易に認識す
るであろう。操作中に、チャンバ管理サブルーチン77
aは、実行される特定のプロセスセットに従って、プロ
セス構成要素サブルーチンを選択的にスケジュールする
か又は呼び出す。チャンバ管理サブルーチン77aは、
シーケンササブルーチン75がどのプロセスチャンバ1
5及びプロセスセットが次に実行されるかをスケジュー
ルしたのと同様にプロセス構成要素サブルーチンをスケ
ジュールする。通常、チャンバ管理サブルーチン77a
は、個々の構成要素をモニタするステップと、実行され
るプロセスセットのプロセスパラメータをベースにして
どの構成要素に操作が必要かを決定するステップと、モ
ニタステップ及び決定ステップに応答してチャンバ構成
要素サブルーチンを実行するステップとを含んでいる。
【0035】特定のチャンバ構成要素サブルーチンを図
6を参照して説明する。基板位置決めサブルーチン80
はチャンバ構成要素を制御するプログラムコードを含ん
でおり、そのプログラムコードは基板をサセプタ12上
にローディングするためと、基板と基板をチャンバ15
内で望ましい高さに持ち上げてガス分散マニホールド1
1との間の間隔を制御するため(これは任意事項であ
る)に用いられるものである。基板がプロセスチャンバ
15内にローディングされると、サセプタ12は基板を
受けるように下げられ、その後サセプタ12はチャンバ
内で所望の高さに持ち上げられ、CVDプロセス中にガ
ス分散マニホールドから第1の距離又は間隔で基板は維
持される。操作中、チャンバ管理サブルーチン77aか
ら転送されたサポート高さに関するプロセスセットパラ
メータに応じて、基板位置決めサブルーチン80はサセ
プタの移動を制御する。
【0036】プロセスガス制御サブルーチン83は、プ
ロセスガス組成及び流量を制御するプログラムコードを
有する。プロセスガス制御サブルーチン83は、安全遮
断バルブの開閉位置を制御し、また所望のガス流量を得
るために質量流量コントローラの流量を増減する。プロ
セスガス制御サブルーチン83は、全てのチャンバ構成
要素サブルーチンと同様にチャンバ管理サブルーチン7
7aによって呼び出され、チャンバ管理サブルーチンか
ら所望のガス流量に関するプロセスパラメータを受け取
る。基本的に、プロセスガス流量制御サブルーチン83
は、ガス供給ラインを開けて、繰り返して、(i)必要な
質量流量コントローラを読み取ること、(ii)読取り値
を、チャンバ管理サブルーチン77aから受け取った所
望のガス流量と比較すること、(iii)必要に応じてガス
供給ラインの流量調整することの操作を行う。更に、プ
ロセスガス制御サブルーチン83は、ガス流量を危険流
量に対してモニタするステップと、危険な状態が検出さ
れたら安全遮断バルブを動作させるステップとを含んで
いる。
【0037】プロセスの中には、反応プロセスガスがチ
ャンバ内に導入される前にチャンバ内の圧力を安定させ
るために、ヘリウム又はアルゴン等の不活性ガスがチャ
ンバ15に流入されるものもある。プロセスガス制御サ
ブルーチン83は、これらのプロセスに対しては不活性
ガスをチャンバ内の圧力を安定するために必要な時間チ
ャンバ15に流入するステップを含むようにプログラム
され、そして上述のステップは実行される。更に、プロ
セスガスが、例えばテトラエチルオルソシラン(TEO
S)といった液体前駆物質から気化される場合、プロセ
スガス制御サブルーチン83は、ヘリウム等の送出しガ
ス(delivery gas)をバブラ(bubbler)アセンブリ内の
液体前駆物質に通して泡立てるステップ又はヘリウム等
のキャリアガスを液体噴射装置に導入するステップを含
むように書込まれる。このタイプのプロセスにバブラが
使用されると、プロセスガス制御サブルーチン83は送
出しガスの流れ、バブラ内の圧力及びバブラ温度を、所
望のプロセスガス流量を得るように調整する。上述した
ように、所望のプロセスガス流量はプロセスパラメータ
としてプロセスガス制御サブルーチン83に転送され
る。更に、プロセスガス制御サブルーチン83は、所望
のプロセスガス流量を得るために必要な送出しガス流
量、バブラ圧及びバブラ温度を、所定のガス流量に対す
る必要な値を含んでいる記憶された表にアクセスするこ
とによって得るためのステップを含んでいる。一旦必要
な値が得られると、それに応じて送出しガス流量、バブ
ラ圧及びバブラ温度がモニタされ、必要な値と比較さ
れ、調整される。
【0038】圧力制御サブルーチン85は、チャンバの
排気装置115のスロットルバルブの開口部の大きさを
調整することによって、チャンバ15内の圧力を制御す
るためのプログラムコードを含んでいる。スロットルバ
ルブの開口部の大きさは、全プロセスガス流、プロセス
チャンバの大きさ及び排気装置115のポンピングの設
定圧力に対応して、チャンバ圧を所望のレベルに制御す
るように設定されている。圧力制御サブルーチン85が
呼び出されると、所望の又は目標の圧力レベルが、チャ
ンバ管理サブルーチン77aからパラメータとして受入
れられる。圧力制御サブルーチン147は、チャンバに
連結された1以上の従来圧力計を読むことによってチャ
ンバ15内の圧力を測定し、測定値を目標圧力と比較
し、PID(比例、積分及び微分)値を目標圧力に対応
させて記憶圧力表から得て、スロットルバルブを圧力表
から得られたPID値に従って調整するように作動す
る。また、圧力制御サブルーチン85は、開口部がチャ
ンバ15を所望の圧力に調整する特定の大きさになるよ
うにスロットルバルブを開閉するように書込まれること
もできる。
【0039】ヒータ制御サブルーチン87は、基板20
を加熱するのに用いられる加熱ユニットへの電流を制御
するプログラムコードを含んでいる。加熱制御サブルー
チン87はまたチャンバ管理サブルーチン77aによっ
て呼び出され、目標値又は設定値の温度パラメータを受
信する。ヒータ制御サブルーチン87は、サセプタ12
に配置されている熱電対の電圧出力を測定することによ
って温度を測定し、測定温度を設定温度と比較し、加熱
ユニットに加えられる電流を増減し、設定温度を得る。
温度は、記憶換算表の対応する温度を測定電圧から調べ
ることにより、又は4次の多項式(a fourth order poly
nominal)を用いて温度を計算することによって得られ
る。埋込み型ループ状体がサセプタ12を加熱するのに
用いられる場合、ヒータ制御サブルーチン87は、ルー
プ状体に加えられる電流のランプ増減(ramp up/down)
を漸次制御する。漸次的なランプ増減は、ランプの寿命
を延ばしその信頼性を増加する。加えて、プロセス安全
コンプライアンスを検出するように組込みフェールセー
フモードを含めることができ、プロセスチャンバ15が
適当に準備されていない場合、加熱ユニットの操作を停
止することができる。
【0040】プラズマ制御サブルーチン90は、チャン
バ15内のプロセス電極に印加されるRFパワーレベル
の低周波数及び高周波数をセットするためと、使用され
る低周波数のRF周波をセットするためのプログラムコ
ードを含んでいる。前に説明したチャンバ構成要素サブ
ルーチンと同様に、プラズマ制御サブルーチン90はチ
ャンバ管理サブルーチン77aによって呼び出される。
【0041】上述のリアクタの記載は、主に説明するた
めのものであり、電子サイクロトロン共鳴(ECR)プ
ラズマCVD装置、誘導結合式RF高密度プラズマ装置
等の他のプラズマCVD装置が用いられ得る。加えて、
上述の装置の変形、例えばサセプタの設計、ヒータの設
計、RFパワー周波数、RFパワーの接続位置及び他の
部分の変更等を行うことも可能である。例えば、ウエハ
は石英ランプによって支持されて加熱されることも可能
である。本発明の層及びその層を形成するための方法
は、特定の装置及び特定のプラズマ励起方法に限定され
ない。
【0042】III.安定したハロゲンドープシリコン酸
化膜の堆積本発明は低い比誘電率、良好なギャップ充填
特性及び高安定性を有する、共形なハロゲンドープ層を
提供する。FSGフィルムが、SiF4、TEOS、O2
及び第2のフッ素ソースを含む処理ガスから堆積される
本発明の好ましい実施形態は、以下に例として説明及び
記載されている。本発明は、この好ましい実施形態のど
の方法にも限定されることを意図するものでなく、他の
プロセスガスからの他のFSG膜の形成及びハロゲンド
ープシリコン酸化膜に適応できる。
【0043】本発明の好ましい実施形態に従ってSiF
4−FSG膜を形成するために、真空ロックドアを通し
て真空チャンバ15にウエハがローディングされ、サセ
プタ12上に載置される(図7、ステップ200)。サ
セプタは、次に処理位置14に移動される(ステップ2
05)。処理位置14内でウエハは、ガス分散マニホー
ルド11から約200〜600mil(5.08〜1
5.24mm)(好ましくは約220〜300mil
(5.59〜7.62mm))に置かれている。
【0044】ウエハが適当に配置されたならば、ウエハ
及びサセプタは、200〜500℃の温度に加熱され
(ステップ210)、プロセスガスがガス分散マニホー
ルドから反応チャンバに導入される(ステップ21
5)。好ましくは、ウエハ及びサセプタは約300〜4
50℃に加熱される。プロセスガスは、第1のフッ素ソ
ースとしてSiF4と、TEOSと、O2の形での酸素
と、比較的容易に自由フッ素に分解するNF3又はF2
の第2のフッ素ソースとを含んでいる。実施の態様の中
には、他のフッ素ソース(例えば、CF4、C26、T
EFS、FASI−4等)を、第2のフッ素ソースとし
て使用することが可能なものもある。しかしながら、膜
を不安定にする一因となる炭素又は他の元素を含まない
第2のフッ素ソースを使用することが好ましい。
【0045】第2のフッ素ソースの含有はプラズマの中
に更なる自由フッ素を提供し、その自由フッ素は膜の成
長のエッチング効果が向上されると考えられている。エ
ッチングが向上すると、より良いギャップ充填能力と改
善された安定性が提供される。第1のフッ素ソースの第
2のフッ素ソースに対する比は、膜の安定特性及びギャ
ップ充填を最大にするように正確に制御され得る。例え
ば、第1のフッ素ソースとしてSiF4が、第2のフッ
素ソースとしてNF3が使用された場合、チャンバへの
過剰なNF3の導入は膜の均一性、安定性及び堆積率に
逆に作用する。各々のガスが導入される率は、ファクタ
の中でチャンバの堆積及び設計に依存しており、以下に
より詳細に論ずる。
【0046】約1〜100torr(好ましくは約2〜
10torr)の間で選択される圧力が反応チャンバ内
でセットされ、堆積中に真空ポンプ装置及びプロセスガ
スの導入(ステップ220)と関連してスロットルバル
ブ32によって維持される。プロセス条件がセットされ
ると、混合周波数RFパワー源を用いてプラズマが形成
される(ステップ225)。プラズマは選択された時間
維持され、所望の厚さを有する層を堆積する。
【0047】パワー源は、高周波数が3〜14MHz
(好ましくは13.56MHz)且つ50〜2000W
(約0.1〜3.95W/cm2のパワー密度)、低周
波数が約500KHz以下(好ましくは約350KH
z)且つ300W以下で操作される。低周波数パワー源
は、膜の堆積中のイオン衝撃を制御する。低周波数源が
操作されるところでパワーを増加すると、イオン衝撃が
増加し、膜の成長が更に安定する。従って低周波数パワ
ー源400W以上で操作されることが好ましく、所定の
ハードウエア形状に対して適当ならば、低周波数パワー
源が約800W以上で操作されることが更に一層好まし
い。
【0048】堆積が完了した後、シリコン、酸素及びハ
ロゲン元素の導入は止められ、プラズマは消える。そし
て、「ハロゲンドープシリコン酸化膜の安定性を改善す
る方法及び装置」という名称で、アプライドマテリアル
ズインコーポレイテッドに譲渡されている米国特許出願
第08/616707号明細書に記載されているように、ヘリウ
ム流は更に5〜120秒以上維持されて、膜がより一層
安定化される(ステップ230)。この米国特許出願第
08/616707号明細書は1996年3月15日に出願され
ており、本明細書に援用されている。好ましくは、ヘリ
ウム流は少なくとも更に約10秒間維持される。この
間、チャンバ温度及び圧力は変わらない。米国特許出願
第08/616707号明細書に記載されているように、ヘリウ
ムのみを流すステップは膜をアニールして、緩く結合し
た原子のより強い結合の形成及び/又は堆積膜からの移
動を可能にすると考えられている。原子が堆積膜からガ
ス放出すると、ヘリウム流はガス放出した原子を運び去
るので、原子は膜表面の他の原子と結合又は反応して更
なる緩い結合を形成することはない。ヘリウムアニール
ステップ中に、堆積温度(例えば〜600℃)より高い
温度にチャンバを加熱して、更に膜を安定させることも
可能である。しかし、好ましくはチャンバ温度は、堆積
金属ラインが損傷をうけないように約450℃以下に維
持される。
【0049】本発明の、この好ましい実施形態で、ガス
は以下に示すステップ215の範囲の速度で導入され
る。TEOSは、室温では液体であるが、液体噴射バル
ブ等を用いて気化された後、ヘリウムキャリアガスと混
合される。TEOSは、液体噴射バルブへ、約500〜
3000mgm(正味流量約960〜5760sccm
に相当する)で導入される。O2はチャンバに約200
〜2000sccmで導入される。ヘリウムは本明細書
に援用されている米国特許出願第08/616707号明細書に
記載されているように、高速で導入される。一実施形態
において、高速のヘリウム導入速度は、TEOSに対し
て1600sccmのヘリウムキャリアガス流量であ
り、異なったガスラインから導入されるヘリウム流量は
1000sccmで提供される。
【0050】SiF4がチャンバに導入される速度は、
FSG膜の所望のフッ素濃度に依存しているが、0.5
〜5.7パーセント(フーリエ変換赤外分光(FTI
R)のSiF結合に対するSiF+SiO結合のピーク
面積の比によって測定)のSiF4−FSGを含むFS
G膜に対して一般的に約100〜4000sccmであ
ろう。選択したパーセントを有するSiF4−FSGの
FSG膜の堆積に使用されるSiF4のTEOSに対す
る最適な比は、プラズマのパワー密度及び他のファクタ
に依存している。高周波数RFパワーが1350W(パ
ワー密度2.67W/cm2)のとき、SiF4のTEO
Sに対する最適な比は、1%のSiF4−FSG膜に対
して約0.169:1(2000mgmに対して650
sccmであり、約3840sccmの正味流量と等
価)で、5.7%のSiF4−FSG膜に対して約1.
823:1(1000mgmに対して3500sccm
であり、約1920sccmの正味流量と等価)であ
る。高パワー密度のときは、同様の膜を堆積するのに少
ないSiF4が使用される。
【0051】本実施形態の第2のフッ素ソース(NF3
又はF2)が30〜500sccmの割合で導入され
る。プロセスガスでのSiF4と第2のフッ素ソースの
組合わせは、安定した膜の堆積をもたらし、ただSiF
4、NF3又はF2等の一つのフッ素ソースから堆積され
たFSG膜よりも良好なギャップ充填及び他の特性を有
する SiF4を使用すると、プラズマ内に余分のシリコンが
提供され、もって膜の堆積率が増加する。SiF4は、
また安定したSiFの網目の成長を促進する。一方NF
3又はF2は、プラズマ内で分解されるのが比較的容易で
あり、更なる自由フッ素ソースを提供し、成長する膜の
エッチング効果を向上し、もってギャップ充填能力を増
加する。NF3又はF2が導入される比は、プラズマに対
して過剰な自由フッ素を提供しないように制御されるべ
きである。過剰なNF3又はF2がチャンバに導入される
と、プラズマ中の過剰なフッ素が、成長している膜を過
剰にエッチングし、堆積速度の減少及び均一性の問題を
もたらす。従って、NF3又はF2のいずれかの、SiF
4に対する比は、約0.0125:1から0.5:1ま
での間にあるのが好ましい。
【0052】更に、シリコンソースの酸素ソースに対す
る比は或る所定の比を越えて大きくされるのが好ましい
(所定の比とは、そのように比を大きくせずに、単一の
ハロゲンドーパントのみを用いて膜を堆積する場合に最
適となる比)。好ましい一実施形態において、TEOS
の酸素に対する比は約1.9:1から5.8:1までの
間である。更に好ましくは、比は約3.851:1(例
えば2000mgm(3840sccmと等価)のTE
OS及び1000sccmのO2)。反応に用いること
のできる酸素の量が、シリコンの量と比べて比較的少な
い場合、成長している膜のOH結合の形成を低減し、む
しろ水分を吸収し膜の不安定性をもたらすと信じられて
いる。
【0053】上述したガス導入速度はアプライドマテリ
アルズインコーポレイテッドによって製造された5.9
リットルの堆積を有する抵抗加熱DxZ DCVDチャ
ンバをベースにしていることを理解されたい。他の実施
形態において、ガスが導入される実際の速度は、設計及
び/又は容積が異なると変化するであろう。
【0054】一実施形態においては、プロセスガスの全
ての成分が最初に同時にチャンバに導入される。ステッ
プ215のこのようなガス成分の同時導入の変形とし
て、本発明の好ましい実施形態では、成分の導入は厳密
な順序で行われる。これらの実施形態において、ヘリウ
ム又は他のガスが、堆積チャンバに始めに導入され、T
EOS及びフッ素ソースが導入される前にヘリウムから
プラズマが形成される。次に、「境界面でのプラズマ励
起CVD膜の膜質を改良する方法及び装置」という名称
の、1996年2月9日に出願された、発明者Anand Gu
pta, Virendra V.S.Rana, Amrita Verma, Mohan K.Bhan
及び Sudhakar Subrahmanyamによる米国特許出願第08/5
99,270号明細書に記載されているように、TEOS、S
iF4及び第2のフッ素ソースはプラズマが全出力に達
した後に導入される。この米国特許出願第08/599,270号
は、アプライドマテリアルズインコーポレイテッドに譲
渡され、本明細書に全ての用途において援用されてい
る。このようなプロセス順序は、プラズマが起こる一方
で反応ガス同士に起こる可能性のある不完全な反応を最
小にし、従って更に膜の安定性及び品質を改善する。
【0055】IV.試験結果及び測定値 本発明の有効性を示すため、本発明の方法の利益を用い
る場合と用いない場合で様々なFGS膜を堆積する実験
を行った。これらの実験は、アプライドマテリアルズイ
コンーポレイテッド製の抵抗加熱DxZチャンバで行わ
れた。DxZチャンバは、200mmウエハ用であり、
同様にアプライドマテリアルズインコーポレイテッド製
のP5000基板プロセスシステム内に置かれている。
【0056】実験では、3つの異なったFSG膜が低抵
抗シリコンウエハ上に堆積された。各々の膜の堆積は、
チャンバ内への2100sccmの速度のSiF4、1
000sccmのO2、1600sccmのヘリウムキ
ャリアガスと混合された2000mgmのTEOS及び
チャンバ内へ1000sccmで導入される第2のヘリ
ウムソースの導入を含んでいる。第1の膜(基板1)の
場合、NF3はプロセスガスに加えられない。第2及び
第3の膜(基板2及び基板3)の場合、NF3はそれぞ
れ50sccm及び100sccmで加えられる。それ
ぞれの膜に対して均一性、応力、反射率及び比誘電率を
測定した。測定結果を以下の表1に示す。
【0057】
【表1】 表1から明らかなように、プロセスガス内へ比較的少な
い量のNF3が導入されると、膜に含まれるフッ素の量
が増加し、よって膜の比誘電率が減少される。また、熱
離脱スペクトル(TDS:thermal desorption spectru
m)のデータは、比較的少ない量のNF3を含んだプロセ
スガスから堆積される膜が、NF3の添加なしで堆積さ
れた膜より安定していることを示している。図8(A)
は、本発明の利益を受けないで、第1の基板上に堆積さ
れた膜からのH2O,F及びHFのアウトガスを測定し
たTDSのデータを示すグラフであり、図8(B)は、
50sccmのNF3プロセスガスを導入して第2の基
板上に堆積されたFGS膜に対する同様のTDSのデー
タのグラフである。図8(A)では、約400〜450
℃でH2O及びHFのアウトガスがいくらか発生するこ
とは明らかである。一方、図8(B)から明らかなよう
に、少なくとも約600℃まで、HF及びH2Oのアウ
トガスは実質的に発生しない。
【0058】V. 代表的な構造体 図9は、本発明に従ったCMOS集積回路400の簡略
化した断面図である。図示の如く、集積回路400はN
MOSトランジスタ403とPMOSトランジスタ40
6とを有しており、これらは、フィールド酸化物領域4
20によって分離し電気的に絶縁される。トランジスタ
403と406はそれぞれ、ソース領域412と、ドレ
イン領域415と、ゲート領域418とを有している。
【0059】プリメタル誘電層421が、トランジスタ
403とトランジスタ406をメタル層M1から隔て、
コンタクト424によりメタル層M1とこれらトランジ
スタの間を接続している。メタル層M1は、集積回路4
00に含まれる4つのメタル層M1〜M4の中の1つで
ある。メタル層M1〜M4のそれぞれは、メタル間誘電
層IMD1、IMD2、IMD3によりそれぞれ、隣接
メタル層から隔てられている。この隣接メタル層は、バ
イア426によって所定の開口部に接続される。メタル
層M4の上には、平坦化されたパッシベーション層43
0が堆積する。
【0060】本発明の誘電層を、集積回路400に示さ
れるそれぞれの誘電層に用いてもよいが、この場合は、
好ましい具体例の形成に用いられるPECVDと共に、
低比誘電率、良好なギャップ充填特性等の膜の物理的特
性が、IMD1〜IMD3のメタル間誘電層で示されて
いる隣接メタル層同士の間の絶縁層として更に有用であ
る。通常、このようなメタル間誘電層は、厚さ0.2〜
0.3ミクロンである。
【0061】また、本発明の誘電層は、集積回路によっ
ては含まれていることもあるダマシーン層(damascene l
ayers)に用いてもよい。ダマシーン層では、ブランケッ
トFSG層が基板上に形成され、選択的に基板までのエ
ッチングがなされた後メタルで充填され、エッチバック
又は研磨がなされて、M1のようなメタルコンタクトを
形成する。メタル層を堆積した後、第2のブランケット
FSG層を堆積し、選択的にエッチングする。そして、
エッチング領域をメタルで充填し、エッチバック又は研
磨を行いバイア426を形成する。
【0062】この簡略化した集積回路200は、例示の
目的のみのためのものであることが理解されよう。いわ
ゆる当業者は、本発明の方法を用いてマイクロプロセッ
サ、アプリケーションスペシフィック集積回路(ASI
CS)、メモリーデバイス等のその他の集積回路を製造
することができる。更に、 本発明の方法は、BiCM
OS、NMOS、及びバイポーラ等の技術を用いる集積
回路の製造に用いられる。
【0063】本発明のいくつかの実施形態について充分
に説明したが、当業者には、本発明に従って低い比誘電
率の酸化物層を堆積する他の均等な方法又は代替的な方
法が明らかであろう。例えば、ここに説明した具体例は
TEOSをシリコンソースとして用いO2 を酸素ソース
として用いているが、シラン等の他のシリコンソース、
2O 、COなどの他の酸素ソースなど、他のソースを
用いることも可能である。またSiF4以外のフッ素ソ
ース(例えばC26又はTEFS)を用いてもよい。こ
のような代替なものや均等なものは、本発明の範囲に含
まれる。
【図面の簡単な説明】
【図1】本発明に従った化学気相堆積装置の一実施形態
の垂直断面図である。
【図2】本発明に従った化学気相堆積装置の一実施形態
の垂直縦断面図である。
【図3】図1に示すCVDチャンバの部分的な分解斜視
図である
【図4】図1に示すCVDチャンバの部分的な分解斜視
図である
【図5】1以上のチャンバを設けることの可能なマルチ
チャンバ装置内の装置モニタ及びCVD装置10の簡略
図である。
【図6】本発明にしたがった、システム制御ソフトウエ
ア(コンピータプログラム70)の階層制御構造のブロ
ック図である。
【図7】本発明の方法の実施形態に従ったハロゲンドー
プシリコン酸化膜の形成のプロセスステップを示したフ
ローチャートである。
【図8】(A)及び(B)は、本発明の利益がある場合
とない場合の、堆積膜内のH2O、HF及びFのアウト
ガスを比較した熱吸収スペクトルのグラフである。
【図9】本発明の方法に従って製造された半導体デバイ
スの簡略断面図である。
【符号の説明】
10…化学気相堆積装置、11…ガス散布マニホール
ド、12…ペデスタル、14…処理位置、15…プロセ
スチャンバ(リアクタチャンバ)、20…チャンバ蓋、
24…遮断バルブ、34…コントローラ、37…プロセ
ッサ、38…メモリ、44…RFパワー供給源、50a
…CRTモニタ、50b…ライトペン、73…プロセス
選択サブルーチン、75…シーケンササブルーチン、7
7…チャンバ管理サブルーチン、80…基板位置決めサ
ブルーチン、83…プロセスガス制御サブルーチン、8
5…圧力制御サブルーチン、87…ヒータ制御サブルー
チン、90…プラズマ制御サブルーチン、400…集積
回路、403…NMOSトランジスタ、406…PMO
Sトランジスタ、412…ソース領域、415…ドレイ
ン領域、418…ゲート領域、421…プリメタル層、
424…コンタクト、426…バイア、430…パッシ
ベーション層。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 スダハカー スブラマニアム アメリカ合衆国, カリフォルニア州, サニーヴェイル, ワイルドウッド アヴ ェニュー 1235, ナンバー168 (72)発明者 アナンド グプタ アメリカ合衆国, カリフォルニア州, サン ノゼ, ブライアークリーク コー ト 1270 (72)発明者 ヴィレンドラ ヴィー. エス. ラナ アメリカ合衆国, カリフォルニア州, ロス ガトス, アンドレ コート 101

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】 リアクタチャンバ内の基板上にハロゲン
    ドープシリコン酸化層を堆積するための方法であって、 第1のハロゲンソース、前記第1のハロゲンソースと異
    なる第2のハロゲンソース、シリコンソース及び酸素ソ
    ースを含むプロセスガスを前記チャンバ内に導入するス
    テップと、 前記プロセスガスからプラズマを形成し、前記ハロゲン
    ドープシリコン酸化層を前記基板上に堆積するステップ
    と、を含む方法。
  2. 【請求項2】 前記ハロゲンドープシリコン酸化層がフ
    ッ化ケイ酸ガラス(FSG)層であって、前記第1のハ
    ロゲンソースが第1のフッ素ソースを含む請求項1に記
    載の方法。
  3. 【請求項3】 前記第2のハロゲンソースが第2のフッ
    素ソースを含む請求項2に記載の方法。
  4. 【請求項4】 前記第1のフッ素ソースがSiF4を含
    み、前記シリコンソースが気化されたテトラエトキシシ
    ラン(TEOS)を含む請求項3に記載の方法。
  5. 【請求項5】 前記フッ素ソースがNF3又はF2を含む
    請求項4に記載の方法。
  6. 【請求項6】 真空チャンバを形成するためのハウジン
    グと、 基板を保持するための、前記ハウジングの中に配置され
    た基板ホルダと、 プロセスガスを前記真空チャンバに導入して、前記基板
    上に層を堆積するためのガス送出し装置と、 前記プロセスガスからプラズマを形成するように構成さ
    れているプラズマ発生装置と、 前記ガス送出し装置及び前記プラズマ発生装置を制御す
    るためのコントローラと、 前記化学気相堆積リアクタ装置の操作管理を具現化した
    コンピュータ読取り可能プログラムを有するコンピュー
    タ読取り可能媒体を含む、前記コントローラに連結され
    ているメモリと、 を具備する基板処理装置であって、 前記コンピュータ読取り可能プラグラムは、 第1のハロゲンソース、前記第1のハロゲンソースと異
    なる第2のハロゲンソース、シリコンソース及び酸素ソ
    ースを含むプロセスガスを前記チャンバ内に導入するよ
    うに前記ガス送出しシステムを制御する第1の命令集合
    と、 前記プロセスガスからプラズマを形成して、前記基板上
    に前記ハロゲンドープシリコン酸化層を堆積するように
    前記プラズマ発生装置を制御する第2の命令集合と、を
    備える、基板処理装置。
  7. 【請求項7】 前記第1の命令集合が、前記第1のハロ
    ゲンソースとして第1のフッ素ソースを前記チャンバに
    導入するように前記ガス送出し装置を制御する請求項6
    に記載の基板処理装置。
  8. 【請求項8】 前記第1の命令集合が、前記第2のハロ
    ゲンソースとして第2のフッ素ソースを前記チャンバに
    導入するように前記ガス送出し装置を制御する請求項7
    に記載の基板処理装置。
  9. 【請求項9】 前記第1の命令集合が、前記シリコンソ
    ースとして気化されたTEOSを前記チャンバに導入す
    るように前記ガス送出し装置を制御する請求項8に記載
    の基板処理装置。
  10. 【請求項10】 前記第1の命令集合が、前記第1のフ
    ッ素ソースとしてSiF4を前記チャンバに導入するよ
    うに前記ガス送出し装置を制御する請求項8に記載の基
    板処理装置。
  11. 【請求項11】 前記第1の命令集合が、前記第2のハ
    ロゲンとしてNF3又はF2を前記チャンバに導入するよ
    うに前記ガス送出し装置を制御する請求項10に記載の
    基板処理装置。
JP29278197A 1996-10-24 1997-10-24 フッ化ケイ酸ガラス膜の膜安定性向上のための方法及び装置 Expired - Fee Related JP4138052B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/736555 1996-10-24
US08/736,555 US5827785A (en) 1996-10-24 1996-10-24 Method for improving film stability of fluorosilicate glass films

Publications (2)

Publication Number Publication Date
JPH10199873A true JPH10199873A (ja) 1998-07-31
JP4138052B2 JP4138052B2 (ja) 2008-08-20

Family

ID=24960335

Family Applications (1)

Application Number Title Priority Date Filing Date
JP29278197A Expired - Fee Related JP4138052B2 (ja) 1996-10-24 1997-10-24 フッ化ケイ酸ガラス膜の膜安定性向上のための方法及び装置

Country Status (2)

Country Link
US (1) US5827785A (ja)
JP (1) JP4138052B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000150387A (ja) * 1998-11-18 2000-05-30 Applied Materials Inc 配管系構造及び配管系ユニット
US6998340B2 (en) 2002-05-17 2006-02-14 Oki Electric Industry Co., Ltd. Method of manufacturing semiconductor device
JP2021535599A (ja) * 2018-08-29 2021-12-16 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 非uv高硬度低kの膜堆積

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08167601A (ja) * 1994-12-13 1996-06-25 Sony Corp 半導体装置の製造方法
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US6042901A (en) * 1996-02-20 2000-03-28 Lam Research Corporation Method for depositing fluorine doped silicon dioxide films
US6001728A (en) * 1996-03-15 1999-12-14 Applied Materials, Inc. Method and apparatus for improving film stability of halogen-doped silicon oxide films
JPH10242142A (ja) * 1997-02-21 1998-09-11 Nippon Asm Kk 半導体素子とその製造方法
US6228781B1 (en) * 1997-04-02 2001-05-08 Applied Materials, Inc. Sequential in-situ heating and deposition of halogen-doped silicon oxide
US6551665B1 (en) * 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6451686B1 (en) * 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6121164A (en) * 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6159870A (en) * 1998-12-11 2000-12-12 International Business Machines Corporation Borophosphosilicate glass incorporated with fluorine for low thermal budget gap fill
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
US6180540B1 (en) 1999-02-18 2001-01-30 Taiwan Semiconductor Manufacturing Company Method for forming a stabilized fluorosilicate glass layer
US6261975B1 (en) * 1999-03-04 2001-07-17 Applied Materials, Inc. Method for depositing and planarizing fluorinated BPSG films
US6936310B1 (en) * 1999-04-02 2005-08-30 Sharp Kabushiki Kaisha Plasma processing method
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6583069B1 (en) 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
US6541400B1 (en) 2000-02-09 2003-04-01 Novellus Systems, Inc. Process for CVD deposition of fluorinated silicon glass layer on semiconductor wafer
US6355581B1 (en) * 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
JP2002057212A (ja) * 2000-08-09 2002-02-22 Mitsubishi Electric Corp 半導体装置、及び半導体装置の製造方法
US6232217B1 (en) 2000-06-05 2001-05-15 Chartered Semiconductor Manufacturing Ltd. Post treatment of via opening by N-containing plasma or H-containing plasma for elimination of fluorine species in the FSG near the surfaces of the via opening
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
JP3712356B2 (ja) * 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US6511922B2 (en) * 2001-03-26 2003-01-28 Applied Materials, Inc. Methods and apparatus for producing stable low k FSG film for HDP-CVD
US7074489B2 (en) 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20050009367A1 (en) * 2003-07-09 2005-01-13 Taiwan Semiconductor Manufacturing Co. Novel method to increase fluorine stability to improve gap fill ability and reduce k value of fluorine silicate glass (FSG) film
US7226875B2 (en) * 2004-11-30 2007-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for enhancing FSG film stability

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JP2960466B2 (ja) * 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5045346A (en) * 1990-07-31 1991-09-03 Gte Laboratories Incorporated Method of depositing fluorinated silicon nitride
US5290383A (en) * 1991-03-24 1994-03-01 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
JPH04341568A (ja) * 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
DE69224640T2 (de) * 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
US5182000A (en) * 1991-11-12 1993-01-26 E. I. Du Pont De Nemours And Company Method of coating metal using low temperature plasma and electrodeposition
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
JP3204836B2 (ja) * 1994-03-25 2001-09-04 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5643640A (en) * 1995-11-27 1997-07-01 International Business Machines Corporation Fluorine doped plasma enhanced phospho-silicate glass, and process

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000150387A (ja) * 1998-11-18 2000-05-30 Applied Materials Inc 配管系構造及び配管系ユニット
US6998340B2 (en) 2002-05-17 2006-02-14 Oki Electric Industry Co., Ltd. Method of manufacturing semiconductor device
US7419919B2 (en) 2002-05-17 2008-09-02 Oki Electric Industry Co., Ltd. Method of manufacturing semiconductor device
US7985696B2 (en) 2002-05-17 2011-07-26 Oki Semiconductor Co., Ltd. Method of manufacturing semiconductor device
JP2021535599A (ja) * 2018-08-29 2021-12-16 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 非uv高硬度低kの膜堆積

Also Published As

Publication number Publication date
US5827785A (en) 1998-10-27
JP4138052B2 (ja) 2008-08-20

Similar Documents

Publication Publication Date Title
JP4138052B2 (ja) フッ化ケイ酸ガラス膜の膜安定性向上のための方法及び装置
EP0874391B1 (en) Process for depositing a Halogen-doped SiO2 layer
US6583497B2 (en) Surface treatment of c-doped SiO2 film to enhance film stability during O2 ashing
US6858923B2 (en) Post-deposition treatment to enhance properties of Si-O-C low films
US6899763B2 (en) Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes
EP1077480B1 (en) Method and apparatus to enhance properties of Si-O-C low K films
US6602806B1 (en) Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6020035A (en) Film to tie up loose fluorine in the chamber after a clean process
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
US6523494B1 (en) Apparatus for depositing low dielectric constant oxide film
US6035803A (en) Method and apparatus for controlling the deposition of a fluorinated carbon film
US6149974A (en) Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US6121164A (en) Method for forming low compressive stress fluorinated ozone/TEOS oxide film
EP1050601A1 (en) Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6451686B1 (en) Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6753270B1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
EP1054444A1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
EP1050600B1 (en) Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041006

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070925

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080410

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080410

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080513

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080605

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110613

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110613

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120613

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120613

Year of fee payment: 4

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120613

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130613

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees