JP2002261159A - 基板搬送容器 - Google Patents

基板搬送容器

Info

Publication number
JP2002261159A
JP2002261159A JP2001047404A JP2001047404A JP2002261159A JP 2002261159 A JP2002261159 A JP 2002261159A JP 2001047404 A JP2001047404 A JP 2001047404A JP 2001047404 A JP2001047404 A JP 2001047404A JP 2002261159 A JP2002261159 A JP 2002261159A
Authority
JP
Japan
Prior art keywords
container
substrate
transport container
substrate transport
power supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001047404A
Other languages
English (en)
Other versions
JP3939101B2 (ja
JP2002261159A5 (ja
Inventor
Yoko Suzuki
庸子 鈴木
Akira Tanaka
亮 田中
Takashi Kishi
貴士 岸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ebara Corp
Original Assignee
Ebara Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2001047404A priority Critical patent/JP3939101B2/ja
Application filed by Ebara Corp filed Critical Ebara Corp
Priority to KR1020027009932A priority patent/KR20020076278A/ko
Priority to TW090129780A priority patent/TW533174B/zh
Priority to PCT/JP2001/010546 priority patent/WO2002047152A1/ja
Priority to EP01999971A priority patent/EP1343202A4/en
Priority to US10/000,304 priority patent/US6758876B2/en
Publication of JP2002261159A publication Critical patent/JP2002261159A/ja
Priority to US10/766,565 priority patent/US20040187451A1/en
Publication of JP2002261159A5 publication Critical patent/JP2002261159A5/ja
Application granted granted Critical
Publication of JP3939101B2 publication Critical patent/JP3939101B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67366Closed carriers characterised by materials, roughness, coatings or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67396Closed carriers characterised by the presence of antistatic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Central Air Conditioning (AREA)

Abstract

(57)【要約】 【課題】 銅配線と誘電率が3以下のいわゆる低誘電率
絶縁膜を組合せた半導体チップ製造工程等に用いて好適
な基板搬送容器の使用方法を提供する。 【解決手段】 銅配線と比誘電率3.0以下の低誘電率
絶縁膜を用いた加工線幅0.18μm以下の半導体チッ
プの製造工程において、特に環境気体中の粒子濃度、湿
度、有機物濃度、イオン性ガス濃度を少なくとも1つ一
定値以下に維持する基板搬送容器の構成を備えた。ま
た、空気清浄器及び/又は除湿機を備え、基板を収納す
るための自動化対応基板搬送容器において、容器の位置
決め機構及び給電設備を備えた半導体製造装置のロード
ポート、保管庫、搬送装置の所定の場所に着座したとき
に、給電端子が接触することなく、自動的に給電を行う
ことを特徴とした空気清浄器及び/又は除湿機を備え
た。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体ウエハ、フ
ォトマスクまたはハードディスク等の被処理物を極めて
清浄度の高い雰囲気下で保管または搬送するのに使用し
て好適な基板搬送容器(ポッド)の構造、性能及びその
運用方法に関する。特に、前記基板搬送容器を利用し
た、半導体製造プロセスにおける基板搬送容器(ポッ
ド)の管理運用方法に関する。
【0002】
【従来の技術】半導体素子の微細化が進むにつれて、よ
り高度な清浄空間が必要になることが予測されている。
例えば、パターン欠陥や配線短絡の原因になる粒子状汚
染物質の管理対象粒径は、0.1μm以下になることが
予測されている。更に、粒子状汚染物質に加えてガス状
汚染物質の低減も必要になってくる。各種炭化水素分子
は、半導体ウエハに吸着することにより、ゲート酸化膜
の耐圧不良や成膜後の膜厚のバラツキを引き起こし、塩
基性ガスは化学増幅型レジストと反応して解像度劣化に
なり、酸性ガスは配線コロージョンの原因になる。
【0003】それに加えて近年では水分(湿気)も低減
対象物質になってきた。これは、微細化に伴い配線材料
や成膜材料が多様化して、環境中の水分が上記材料と結
合して不具合を引き起こす場合があるからである。一
方、微細化とは別に、半導体ウエハの大口径化と処理の
自動化も進んでいく。半導体製造ラインの自動化は、汚
染源である人と基板を隔離する必要があることと、半導
体ウエハの大口径化によって搬送容器の重量が10kg
程度になり、人による取り扱いが困難になるためであ
る。
【0004】従来、半導体チップの高集積化・高速化に
伴い、半導体チップ内の素子を結ぶ配線の材料は、アル
ミ配線が使われていた。しかしながら、線幅が0.13
μm以下になると、従来のアルミを用いた場合、発熱の
問題、信号遅延の問題が顕著となるため、アルミ配線の
代りに、アルミよりも電気抵抗の低い銅配線が使われよ
うとしている。又、配線間を絶縁する絶縁材料として従
来SiOが使われていた。しかしながら、SiO
比誘電率が約4と高く、単に配線材料をアルミから銅に
代えても、信号遅延の問題は20%位の改善にしかなら
ないため、絶縁膜の材料として、比誘電率が3以下の低
誘電率材料を使う必要性がでてきている。
【0005】これに先立ち線幅0.18μmレベルのプ
ロセス検討段階から銅配線及び低誘電率材料の検討が始
まっている。低誘電率絶縁膜の材料としては、有機材料
や多孔質材料を使うため、空気中の水分を吸湿して、誘
電率が上昇してしまうなど、その取り扱いは従来の絶縁
膜とは異なり、とても難しい。又、配線材料の銅も、従
来使われてきたアルミと異なり、空気中の酸素と結合し
て、酸化膜を発生しやすい。又、銅分子がアルミ分子と
比べて非常に活性なため、銅を含んだ粒子や蒸気自体が
クリーンルーム内に放出されると、クリーンルームを汚
染し、半導体チップの歩留まりを著しく低下させてしま
う。又、シリコン表面の有機汚染は、ゲート酸化膜の信
頼性低下、減圧CVDにおけるインキュベーションタイ
ムの長期化や異常膜成長を引き起こすことは以前から知
られている。今後新たに低誘電率絶縁膜として優れた材
料が発見されてもその材料表面が環境の有機物、イオン
等の不純物の影響を受けやすいために採用できない場合
もでてくるであろう。逆に環境を制御してやることによ
り、今まで使えなかった材料までも絶縁膜として使える
可能性も出てくる。又、半導体ウエハ上に塗布されたレ
ジストは、アンモニアが存在すると、現像後のレジスト
の頂部がその底部と比べ幅が広がる、いわゆる“T−ト
ップ”現象が発生する。
【0006】
【発明が解決しようとする課題】本発明は上述した事情
に鑑みてなされたもので、銅配線と誘電率が3以下のい
わゆる低誘電率絶縁膜を組合せた半導体チップ製造工程
等に用いて好適な基板搬送容器、及びその運用方法を提
供することを目的とする。また、線幅が微細化していく
と作業者から発生する微量のアンモニアや有機物、そし
て作業服から発生するパーティクル状汚染物質による基
板の汚染が深刻になってくる。また今後、半導体製品の
需要の多様化により、DRAMやMPUのような少品種
大量生産する製品よりもシステムLSIのような多品種
少量生産する製品が占める割合が増えていくことが予想
されている。このため、半導体処理プロセスを容易に且
つ、迅速に組替えることが可能な設備が必要になってく
る。本発明は自動化された半導体製造工場において、多
品種少量生産する半導体チップも含めた半導体デバイス
の製造等に用いて好適な基板搬送容器、及びその運用方
法を提供することを目的とする。
【0007】
【課題を解決するための手段】本発明は、上記問題を解
決するために、銅配線と誘電率3以下の低誘電率絶縁膜
を用いた半導体チップの製造工程において、例えばシリ
コンウエハ表面に低誘電率絶縁膜、レジスト膜、又は銅
膜が形成されている基板を、基板搬送容器に入れて、装
置間で搬送したり、保管するときに、少なくともその基
板搬送容器内の空気に含まれる水蒸気量を、一定値以下
に制限したり、少なくともアンモニアの濃度を一定値以
下に制限したり、更に銅膜が基板搬送容器内の空気に曝
露される場合、少なくとも、水蒸気量、もしくは酸素量
を制限し、銅配線の誘電率3以下の低誘電率絶縁膜を用
いた半導体チップの配線形成工程で発生する種々の問題
を解決することができるものである。特に、環境気体中
の粒子濃度、湿度、有機物濃度、イオン性ガス濃度を少
なくとも1つ一定値以下に維持する基板搬送容器の構成
を提供する。更に、自動化運転された半導体製造工場に
おいて、合理的に効率よく運用するための方法を提供す
る。
【0008】
【発明の実施の形態】以下、本発明の実施の形態につい
て添付図面を参照しながら説明する。
【0009】先ず、自動化の必要性とクリーンルームに
ついて説明する。作業者による人為的なミスや、作業者
から発生する微量のアンモニアや有機物による半導体ウ
ェハ等の基板の汚染を防止するには、基板の取り扱い空
間から作業者を遠ざけることが有効であり、その手段と
して自動化設備の導入がある。この自動化設備に対応し
た基板搬送容器は、例えばSMIF(Standard
Mechanical InterFace)容器
(Pod)やFOUP(Front Opening
Unified Pod)があり、この搬送容器を所定
の位置に位置決めし、外部からドアを開閉するためのド
アオープナや、自動搬送するための搬送装置と合わせて
使用する。SMIF容器(Pod)やFOUPは密閉容
器であり、容器外の清浄度、即ちクリーンルームの清浄
度を緩くすることができる。これにより、設備費用や運
転費用を低減できる。クリーンルームを空気の流れによ
って分類すると、一方向流型クリーンルーム、非一方向
流型クリーンルーム、混流型クリーンルームに分けられ
る。
【0010】一方向流型クリーンルームの空気の流れを
図1(a)に示す。この方式は、天井又は一つの壁の前
面からULPAフィルタ635等を通った清浄空気が吹
き出し、フィルタに対向した床又は壁631から流出
し、循環ライン632及び送風装置633を通って換気
するクリーンルームである。この方式は、部屋全体を高
い清浄環境にでき、仕切り壁を少なくできる反面、最も
コストのかかるクリーンルームである。非一方向流型ク
リーンルームの空気の流れを図1(b)に示す。この方
式は、天井又は一つの壁の一部からULPAフィルタ6
35等を通った清浄空気が吹き出し、室内が清浄空気で
希釈され、排気口634から排出される。この方式は、
例えばJIS B 9920で定義されるクラス6(F
ederal Standard 209Dのクラス1
000に相当)より緩い清浄度のクリーンルームに用い
られる。高い清浄度に出来ない反面、仕切り壁を少なく
でき、設備費用及び運転費用ともに安価なクリーンルー
ムである。仕切り壁を少なく出来るため、生産ラインの
組替えなどが比較的簡単に行なえる利点がある。この方
式は、主に基板を容器内に収納して取り扱うミニエンバ
イロメント方式の半導体製造工場に最適である。図1
(c)に示す混流型クリーンルームは前記一方向流型ク
リーンルームと非一方向流型クリーンルームが混在する
形式のクリーンルームである。例えば、半導体製造装置
と通路を含む生産ラインは一方向流型クリーンルームに
して高い清浄空間にし、サービスエリアなどは清浄度の
緩い非一方向流型クリーンルームにして設備費用及び運
転費用を低減した方式である。仕切り壁636が必要に
なるため、生産ラインの組替えが困難という欠点を持
つ。この方式は、主に基板をそのままの状態で取り扱う
オープンカセット方式の半導体製造工場に適している。
【0011】図2は、銅配線と低誘電率絶縁膜を用いた
半導体チップの配線形成工程例を示す。図2に示すよう
に、半導体素子を形成した半導体基材上の導電層の上に
有機膜あるいは多孔質膜からなる絶縁膜をCVD(化学
蒸着装置あるいはコータにより)堆積し、プラグ膜等を
必要に応じて形成した後に、コータによりその上にレジ
ストを塗布し、乾燥させる。その次に、ステッパにより
露光させた後、現像してレジストのパターンを絶縁膜上
に形成させる。エッチングにより絶縁膜に、コンタクト
ホールと配線用の溝を形成し、その上にTaN等からな
るバリア層、更にその上に電解めっきの給電層として銅
シード層を形成する。
【0012】そして、半導体基板Wの表面に銅めっきを
施すことで、半導体基材のコンタクトホール及び溝の内
部に銅を充填させるとともに、絶縁膜上に銅層を堆積さ
せる。その後アニールを行った後に、化学的機械的研磨
(CMP)により、絶縁膜上の銅層を除去して、コンタ
クトホールおよび配線用の溝に充填させた銅層の表面と
絶縁膜の表面とをほぼ同一平面にする。この工程を配線
層分繰り返すことで、6〜8層といった多層配線が形成
される。
【0013】低誘電率絶縁膜が空気に曝露している場
合、空気中の絶対湿度は4×10−3g/g(25℃で
の相対湿度で20%)以下、更に好ましくは1×10
−3g/g(25℃での相対湿度で5%)以下が望まし
い。銅膜が空気に曝露している場合、空気中の絶対湿度
は4×10−3g/g (25℃での相対湿度で20
%)以下、更に好ましくは1×10−3g/g (25
℃での相対湿度で5%)以下が望ましい。さらに沸点8
0℃以上の有機物濃度は、1μg/m以下、望ましく
は0.5μg/m以下が望ましい。酸素濃度は、10
000ppm以下であることが好ましく、1000pp
m以下であることが更に好ましい。レジスト膜が空気に
曝露している場合、少なくとも塩基性ガス濃度は、1μ
g/m以下、好ましくは0.5μg/m以下が望ま
しい。又、湿度が低い場合、静電気が発生しやすくな
り、その静電気によりFET、コンデンサ等の素子が破
壊されるおそれがあるので、各半導体ウエハに、アース
をとるのが望ましい。アースのとり方としては、導電性
のウエハキャリアを用いるか、又はウエハキャリアに金
属端子を用い各半導体ウエハにアースをとるか、又はウ
エハキャリア自身に導電性の材料を用いてもよい。
【0014】次に、本発明の実施の形態の基板搬送容器
について説明する。先ず、自動化に対応した基板搬送容
器の一般的な機能について説明する。例えば、半導体ウ
エハを複数枚収納するための基板搬送容器は、少なくと
も次の部品から構成されている。 四角形の逆コップ形状をした容器本体、 容器本体と係合し、外部から開閉するための機構を内
蔵したドア、 基板を所定の間隔で保持するための保持手段、 ウエハの振動を防止するためのリテーナ、 容器を取り扱うための把持手段。 容器本体は、一般的に内部の収納物が確認できるような
透明材料又は不透明材料に一部透明材料を使用した高分
子材料で造られている。ドアには外部から開閉用のラッ
チピン等をガイドするための位置決め孔が設けられてお
り、更に外部からの開閉用ラッチピンの動作と連動して
施錠及び開錠する機構を内蔵している。このラッチ機能
は、機械的な駆動により動作するものや、真空又は圧縮
空気、更に磁石による固定の補助をしたものが製品化さ
れている。把持手段は、容器天井部や側壁部に配置され
ており、ロボットが掴むための位置決めノッチを有する
フランジ形状をしたものや、人が握って取り扱える形状
をしたものがある。本発明の実施の形態における、前記
自動化対応容器としての基本要件は、機能を満たすもの
であればいずれの方法を採用しても良い。
【0015】図3に、本実施例の半導体ウエハを搬送す
る基板搬送容器1を示す。更に、ウエハのID、履歴、
ステータスを各バッチごとに管理するために、基板搬送
容器にメモリチップを搭載させて、プロセスデータを管
理させてもよい。容器は箱体1と蓋体2から構成され、
それらは例えば止め具、ラッチ機構などで固定され、外
環境からの汚染を遮断する。
【0016】複数のシリコンウエハWは、ウエハキャリ
ア4に収納され、それが基板搬送容器1の内部に収納さ
れている。その基板搬送容器1の内部にはガス状汚染物
質捕捉メディア6と除湿剤8が配置されている。ガス状
汚染物質捕捉メディア6としては、イオン交換不織布や
活性炭素繊維、ゼオライト等が用いられ、基板搬送容器
内の例えば炭化水素やアンモニア等のガス状汚染物質を
除去する。除湿剤8としては例えばシリカゲルが用いら
れ、基板搬送容器内の水分を除去する。これらは、ガス
状汚染物質捕捉効率、除湿効率を高めるために、表面積
をなるべく多くとることが望ましい。フィルタ形状とし
て、波形又はひだおり等が望ましい。イオン交換不織布
又は活性炭素繊維の面積は、その基板搬送容器内部の表
面積の10%以上、好ましくは20%以上を持つことが
望ましい。除湿材は、交換頻度削減のため、除湿したい
空間容積1L当たり、0.1g以上0.4g以下、好ま
しくは0.5g以上3g以下の吸湿容量を持つものが望
ましい。
【0017】図4は、基板搬送容器の第2実施例であ
る。ガス状汚染物質捕捉メディア6および除湿剤8から
発塵するおそれがあるので、半導体ウエハの粒子汚染を
防ぐために、ガス状汚染物質捕捉メディア6及び除湿剤
8の周囲を、HEPAフィルタ、ULPAフィルタ濾材
等の粒子除去フィルタ5で覆うことにより、半導体ウエ
ハWへ粒子が付着するのを防ぐものである。
【0018】図5は、基板搬送容器1の内部の空気をモ
ータ7aで駆動されるファン7bにより強制的に循環さ
せて、除湿剤又は除湿器8、ガス状汚染物質捕捉メディ
ア6、粒子除去フィルタ5によって積極的に不純物を除
去するようにしたものである。なお、粒子汚染が問題に
ならない場合は、粒子除去フィルタ5を省いてもよい。
基板搬送容器1の外部に、外部から電源を供給するため
の端子19を備え、ファンモータ及び/又は除湿器を駆
動するための電源を外部から供給してもよい。その端子
表面は、クリーンルームの金属汚染を防ぐために、表面
を金メッキされているものが望ましい。更に外部から給
電する場合、基板搬送容器の存在と給電の要否を確認
し、又は外部電源コネクタと、基板搬送容器の電源供給
端子が接続されていることを確認してから電源を供給す
ることが望ましい。クリーンルームで使用されるので、
電気端子間でスパークすると、金属微粒子が発生してク
リーンルームを汚染するからである。
【0019】更に、図5に示すように基板搬送容器1に
電池9を搭載してもよい。又、充電端子を基板搬送容器
に設けておき、外部電源から電気を供給して充電又は給
電できるようにしてもよい。また、図6に示すようにバ
ッテリ9を用いるようにしてもよい。図5に示す容器の
場合、図7に示すように、例えば無人搬送車10の上に
基板搬送容器1が置かれてプロセス装置11間を基板搬
送容器が搬送されている間、無人搬送車10に搭載され
たバッテリ又は図示しない外部電源から給電される電気
の一部から基板搬送容器1内部のモータに給電されて、
基板搬送容器内部の空気が循環される。又、更にプロセ
ス装置11に基板搬送容器1が置かれて待機している場
合はプロセス装置11から給電してもよい。例えば、プ
ロセス装置に備えられたバッテリ又は図示しない外部電
源から給電される電気の一部を使用する。充電において
も同様である。
【0020】更に図8、図9に示すように、ファンモー
タ7の停止時にファンモータからの発塵が問題になる場
合は、ファンモータ7の上流側に粒子除去フィルター5
を配置してもよい。又、ファンモータ7からの発塵だけ
でなく、ガス状汚染物の発生も問題になる場合には、フ
ァンモータの上流側にケミカルフィルタ6を配置しても
よい。又、モータからの水分発生が問題になる場合は、
モータの前後に除湿剤8を配すればよい。これらは単独
でも、組合せてもよい。
【0021】又、図10に示すように、基板搬送容器内
部には、フィルタ5,6を収納したダクト12に送気す
るための送気孔21と基板搬送容器内の空気を外部へ排
気する排気孔22を設けてもよい。このような基板搬送
容器1は、図11に示すようにプロセス装置または無人
搬送車(AGV)内の環境と接続される。この送気孔2
1及び排気口22には逆流防止機構を有し、送気時以外
は密閉状態になる。プロセス装置11又はAGVの方に
モータファン7を設け、基板搬送容器内部の空気がフィ
ルターダクト12a,12を通って、循環するようにし
てもよい。モータファン7はプロセス装置又はAGV1
0に備えられたバッテリ9、又は図示しない外部電源か
ら給電される電気の一部を使用する。更には図13に示
すように基板搬送容器1には、送気孔21と排気孔22
のみをつけて、ファンモータ、フィルターダクト類は、
図14に示すように、プロセス装置11又は搬送装置1
0または保管庫に設けて、基板搬送容器1内部の空気を
循環させてもよい。
【0022】更に、図14、図15に示すように、プロ
セス装置11又は搬送装置10または保管庫は、クリー
ンルーム内の空気を吸気し、ファン7によって、基板搬
送容器1に送気し、基板搬送容器1の排気孔22は開放
して、クリーンルームや装置内の排気ダクトに排気して
もよい。なお、モータファン上流のフィルタ5,6,及
び除湿剤8は省略してもよい。
【0023】又、図16、図17に示すように、フィル
タユニットを基板搬送容器1の外において、基板搬送容
器1に開口した送気孔21と排気孔22を介して、基板
搬送容器内部の空気をフィルタ5,6及び除湿剤8を通
して循環させてもよい。フィルタユニットが基板搬送容
器の外にあるので、内部の洗浄が容易であり、又、フィ
ルタ交換が簡単に出来る。
【0024】基板搬送容器1の内部には、空気流れが送
気孔21と排気孔22間でショートパスして、ウエハW
に行かなくなることを防止するために、図18に示すよ
うに整流板23をつけてもよい。整流板23の空気流の
開口率は空気送気孔21から離れるに従い開口率が上が
る。これによりウエハ積層方向に対して均一な気体の流
れを形成する。特に粒子径0.1μm以下の粒子は、重
力による移動よりもブラウン運動による移動が上回る。
例えば0.1μmの粒子の場合、ブラウン運動による1
秒間当たりの移動量は3×10−3cm、重力による1
秒間当たりの沈降距離は9×10−5cmである。従っ
て、ウエハ間を流れる空気の平均速度は、少なくとも、
0.3cm/s以上30cm/s以下、好ましくは1c
m/s以上10cm/s以下の速度にすることが望まし
い。
【0025】又、粒子は、重力により下に沈むので、図
19に示すように送気孔21を基板搬送容器1内の上部
に、排気孔22を基板搬送容器1内の下部に付けるか、
あるいは、図20に示すように基板搬送容器1内の下部
の空気を吸引するように気体の流れを形成することが望
ましい。
【0026】図21に、基板を入れた場合の基板搬送容
器内の気体の流れの各種具体例を説明する。容器1内の
気体の流れは、ファン7から送られた気体がケミカルフ
ィルタ(ガス状汚染物捕捉フィルタ)6、ULPAフィ
ルタ5を通り、最も清浄な気体がウエハWへ供給され
る。ウエハWを通過後、容器1の内壁面に沿って再びフ
ァンモータ7に戻る。容器1の内壁面は、容器の上下左
右面のうち少なくとも1面を示す。ボックスドア開口部
は、どこに設けてもよい。自動化対応基板搬送容器に対
しては、ボックスドア開口部は前面又は下面となる。
【0027】図21(a)は、ファン7からフィルタ
5,6、ウエハWの間を通過した気体が、容器1の左右
内壁面に沿ってファン7に戻る例を示している。図21
(b)は、ファン7からフィルタ5,6およびウエハW
の間を通過した気体が、容器1の上下内壁面に沿ってフ
ァン7に戻る例を示している。図21(c)は、ファン
7からフィルタ5,6およびウエハWの間を通過した気
体が、容器1の内壁面のすべての面に沿ってファン7に
戻る例を示している。図21(d)は、ファン7からフ
ィルタ5,6を通過した気体が、容器1の左右内壁面に
沿って流れてからウエハWに当たり、その間を通過して
ファン7に戻る例を示している。図21(e)は、ファ
ン7からフィルタ5,6を通過した気体が、容器1の上
下内壁面に沿って流れてからウエハWに当たり、ファン
7に戻る例を示している。図21(f)は、ファン7か
らフィルタ5,6を通過した気体が容器内壁面に沿って
流れてファンに戻る例を示している。この例においては
ウエハWに直接気体が流れなくてもよい。いずれの気体
の流れにおいても、基板搬送容器内に基板を収納後、再
度取り出すまでの間、少なくとも1回望ましくは3回以
上循環することが望ましく、収納する基板の要求する環
境と基板を収納する前後の容器外環境の汚染度に応じて
循環回数を増やせば良い。ファンの消費電力量に制限が
ない場合は気体の流れは常に循環するようにすることが
最も望ましい。尚ここでいう「収納する基板の要求する
環境」とは各工程間の搬送環境として歩留まり悪化原因
になる汚染物質,具体的には粒子状物質、イオン、ドー
パント、有機物、水分をすべてもしくはいずれかを管理
濃度以下に低減した環境を容器内に構築することを意味
する。この環境制御を行う基板搬送容器は、例えば半導
体製造プロセスの工程内、工程間、工場内フロア間、工
場間のいずれの間の搬送に用いてもよく、また搬送だけ
でなく保管の用途に用いてもよい。
【0028】又、基板搬送容器自体にバッテリを持つ場
合は、ファンの駆動を一定時間毎に行う間欠運転を行っ
てもよい。これにより、バッテリの電流消費をおさえら
れる。給電端子19の位置は、図22に示すように、基
板搬送容器1の底部にある方が、基板搬送容器の重さ
で、装置側の電気端子と電気抵抗が小さく接続されるの
で望ましい。給電端子19は、少なくとも、装置側又は
基板搬送容器側で、図23に示すように、(a)スプリ
ングで押し付けるか、又は、(b)金属端子自身がバネ
作用を持つものが望ましい。
【0029】更に給電端子の端子表面は、受電側、給電
側共に金めっきされているものが望ましい。供給電流は
直流でもよいが、交流を用いて、図24に示すように、
電磁誘導によって電流を、AGV10又はプロセス装置
11から基板搬送容器1へ供給してもよい。その場合、
端子表面には、金属表面が露出せず、金属どうしが接触
しないので、発塵により、クリーンルームを金属汚染し
ないので好ましい。
【0030】又、図25に示すように、AGV10又は
プロセス装置11の接続口と、基板搬送容器1の送気孔
21、排気孔22が接続されていないときは、基板搬送
容器1の送気孔21と排気孔22をそれぞれ塞ぐ、シャ
ッタ又は逆止弁24がついていることが好ましい。
【0031】又、図26に示すように、基板搬送容器1
の送気孔21と排気孔22は、基板搬送容器1の下部へ
向いて設けられており、AGV10又はプロセス装置1
1の送気孔21a又は排気孔22aと、シール25を介
して気密に接続されることが好ましい。シールは、基板
搬送容器側に付いていても、AVG又はプロセス装置側
に付いていてもよい。
【0032】基板搬送容器の断面は、図27に示すよう
に、(a)四角形であっても、(b)円形であってもよ
いが、四角形である場合、汚染物質を洗浄するために、
四隅の曲率Rは、好ましくは半径10mm以上、より好
ましくは、半径20mm以上がよい。
【0033】半導体ウエハの容器内への保管時で、最も
困難なのは湿度の問題である。この実施の形態の基板搬
送容器においては、その容器本体とドアとの材料を吸水
率0.1%以下の高分子材料または不透湿材料で構成し
ている。吸水率の測定方法はASTM(America
n Society for Testingand
Materials)D570規格により定められてい
る。半導体ウエハ等の搬送・保管容器に使用される一般
的な材料の吸水率を述べると、PC(ポリカーボネー
ト)0.2%、PBT(ポリブチレンテレフタレート)
0.08%、PEEK(ポリエーテルエーテルケトン)
0.14%、PEI(ポリエーテルイミド)0.25
%、PP(ポリプロピレン)0.03%である。
【0034】この容器10の構成材料の吸水率は、少な
くとも0.3%以下、好ましくは0.1%以下が良い。
吸水率0.1%以下の高分子材料としては、PE(ポリ
エチレン)<0.01%、PP(ポリプロピレン)0.
03%、PBT(ポリブチレンテレフタレート)0.0
6〜0.08%、PPS(ポリフェニレンスルフィド)
0.02%、PTFE<0.01%、PC(カーボン2
0%添加ポリカーボネート)0.1%、PBT(カーボ
ン20%添加ポリブチレンテレフタレート)0.05%
などがある。特にこの容器材料として好ましいものは、
吸水率が0.1%以下であり、耐薬品性が良好で、高温
での安定性が高く、且つ成型収縮率が1%以下好ましく
は0.5%以下のPPS(ポリフェニレンスルフィ
ド)、カーボン添加PPS、カーボン添加ポリブチレン
テレフタレート(PBT)、カーボン添加ポリカーボネ
ートが特に好ましい。本材料は前記機能を満足するもの
であれば異なる材料を混合したアロイ材料でも良い。
【0035】また、低湿度にすると、ウエハが帯電しや
すくなるので、少なくともウエハに接するウエハ支持部
材とウエハ支持部材から容器下部に接地するドアは、カ
ーボンまたは他の導電性材料を添加した導電性材料が特
に好ましい。高分子材料は一般的に、表面抵抗率が1×
10〜1×10Ωの材料を静電気導電性材料、1×
10〜1×1012Ωの材料を静電気拡散性材料、1
×1012Ω以上の材料を絶縁性材料として分類する。
また、体積抵抗率が1×10〜1×10Ω・cmの
材料を静電気導電性材料、1×10〜1×1011Ω
・cmの材料を静電気拡散性材料、1×1011Ω・c
m以上の材料を絶縁性材料として分類する。本発明で
は、表面抵抗率が1×1010Ω以下、体積抵抗率が1
×10Ω・cm以下、更に好ましくは表面抵抗率が1
×10Ω以下、体積抵抗率が1×10Ω・cm以下
が望ましい。更に、ガス状不純物捕捉素子として用いる
イオン交換不織布や活性炭は、製造直後の状態で水を吸
着しているので、予め脱水処理をして使用するのが好ま
しい。
【0036】気密性の高い容器内を乾燥ガス、即ち乾燥
空気または水分を含まない不活性ガスと置換すると、置
換直後は湿度は略0%の限界湿度まで低下する。しかし
ながら、この状態で乾燥ガスの供給を停止して放置して
おくと、容器内壁面の高分子材料が保持している水分が
湿度勾配によって容器内部に拡散する。従って、乾燥ガ
スにより置換した容器内部の湿度は、時間の経過と共に
増大する。一例として、乾燥ガスによる置換後に略0%
の相対湿度が従来の市販PC(ポリカーボネート)容器
を使用した場合には、数時間後には30%以上に上昇す
ることを示している。吸水率0.02%のポリフェニレ
ンスルフィド(PPS)を使用することで、乾燥ガスに
より置換直後の略0%の相対湿度は数時間以上経過して
も略12%程度に留まり、顕著な湿度上昇抑制効果が確
認された。これにより保管搬送時の容器内湿度が上昇す
ることが防止できることは明らかである。尚、自然酸化
膜の成長は暗所で保管することにより抑制効果があるこ
とが知られている。このため、容器本体を構成する材料
は、光透過性材料よりも光遮断性材料を用いることが好
ましい。
【0037】また、容器は金属板を用いてプレス加工で
一体成型するようにしてもよい。前記光遮断性材料は、
光遮断すべき波長が1500nm(近赤外)以下、好ま
しくは750nm(可視光)以下で、光の遮断は10ル
ックス以下、好ましくは5ルックス以下、より好ましく
は1ルックス以下である。更に、容器材質を帯電するよ
うな材質にして、そこに容器内微粒子を吸着させ、ウエ
ハへの微粒子の付着を防止してもよい。例えば、図28
に示すように、更に容器に積極的に外部から給電・除電
を行い、容器帯電時には容器内の微粒子を容器内壁に吸
着させ、容器除電時には容器内壁に吸着した微粒子を除
去する。容器は、給電・除電装置30に搭載されたとき
のみ容器1の給電・除電を行う。容器には給電・除電装
置30と通電する給電・除電端子39を備える。
【0038】ドア2を容器1に固定するラッチ機構に
は、図29(a)(b)に示すような機械的なラッチ機
構26をドア内部に備えたもの、図29(c)に示すよ
うな容器とドアのシール面に磁石(電磁石) 30を備
えたもの、図29(d)に示すように容器とドアのシー
ル面に真空チャック40を備えたもの等がある。真空チ
ャックは真空源例えば真空ポンプ32から吸気能力を得
る。又、容器内全体の圧力を負圧にする事によりドア2
を容器1に取り付けてもよい。
【0039】図29(d)の好ましい実施例を図29
(e)(f)に示す。容器1は開平可能なドア2を備
え、ドアは容器との間で容器内密閉を保つためにシール
材54を備える。シール材はドアの外枠に沿うように一
体で成型される。シール材は、1つでも複数でもよい。
また、容器はドア2を閉じるための排気ダクト53、そ
の排気ダクトの一端を開閉するポート弁52を備える。
排気ダクトのドア側端部には、容器のフランジに沿うよ
うな溝55を形成する。搬送装置側ではインターフェー
ス50を備え、インターフェースにつながるバルブ51
を備える。次に真空チャック方式の流れを説明する。図
29(e)(f)に示すように、容器からドアを開く方
法は、ドア2が容器1を閉じた状態で、インターフェー
ス50に載せる。バルブ51を開きインターフェースを
真空排気する。バルブ51を閉じ、インターフェース部
を真空状態にして、ポート弁52を開く。バルブ51を
開き、インターフェースに大気を導入し、ドアを開け
る。容器にドアを閉じる方法は、ドアを容器に何らかの
手段で押し付けた状態であり、ポート弁52が開いた状
態でインターフェースを真空排気する。ドアは容器を閉
じる。ポート弁52を閉じ、バルブ51を開いてインタ
ーフェースに大気を導入し、容器は移動可能になる。
【0040】前記機械的なラッチ機構の駆動源は、図2
9(b)については外部からの機械的なラッチ操作手段
によるもの、又は図29(b)に示すようにダクト31
を用いて圧縮空気の押し込み又は吸引力によりラッチ2
6をボックスドア2から出し入れするものなどがある。
また図29(b)のより好ましい実施例を図30に示
す。ドア内部にラッチ26が水平方向に摺動可能に備え
られ、ラッチ26を常に外側に押すスプリング等の押圧
手段42を備える。押圧手段には例えば金属性のコイル
バネを用いる。ラッチは第1の固定位置と第2の固定位
置をもつ。第1の固定位置はラッチがドアの外側にあ
る。これは、ダクト31から気体を吸引しない場合ラッ
チ26はドアの外側に突き出る。第2の固定位置はラッ
チがドアの内側にしまわれる。これは、ダクト31より
気体を吸引する場合、ラッチはドアの内側に入りこむ。
また容器1はドアのラッチを受け入れる溝45を備え
る。ラッチ、溝の数は複数あってもよい。ボックスドア
2に用いる導電性高分子材料はカーボンなどを添加した
ものが後述する静電気対策上、好ましい。
【0041】次に、容器の位置決めについて説明する。
図32に示すように、容器底部553にステーション側
の位置決めピン554に対して位置決め穴556を形成
する。また、図32(a)、(b)に示すように容器底
部553の端部553aを斜めにカットしステーション
側の容器搭載部555に入り易くする。(c)に示すよ
うに装置搭載部555の端部555aを斜めにカットし
ても良い。この際、容器端部553aは斜めにカットし
てもしなくてもよい。
【0042】図33に示すように、容器内の清浄雰囲気
維持のため、ボックスとボックスドアを閉じる際にシー
ルを要する。お互いのシール面に部材を介してシールす
る方法がある。ドア2に配置されたシール材と圧接する
容器本体フランジ部には、機械的強度を上げるためフラ
ンジ内側にガイドリブ559を設けても良い。また、シ
ール材との接触部に突起560を設けてより小さな圧接
力で高い気密性を持つようにしても良い。シール材55
7にはフッ素系エラストマー、ポリエステル系エラスト
マー、ポリウレタン系エラストマーまたはポリオレフィ
ン系エラストマーが好ましい。更に加熱処理するとシー
ル材からの脱ガス防止効果が高まる。また、容器内の圧
力を陽圧にして外部環境から汚染物質導入を防ぐ方法も
ある。陽圧環境は、常に、または定期的に作る。更に、
図34に示すように、容器内識別用のバーコード558
を容器1の外表面に備えても良い。
【0043】次に、粒子除去フィルタについて説明す
る。粒子除去手段としてはエアフィルタを使用する方法
が一般的である。JIS規格では、対象粒径と捕集効率
等によって以下の4種類に大別している。 粗塵用エアフィルタ:主として5μmより大きい粒
子の除去に用いるエアフィルタ。 中性能フィルタ:主として5μmより小さい粒子に
対して中程度の粒子捕集効率を持つエアフィルタ。 HEPAフィルタ:定格風量で粒径が0.3μmの
粒子に対して99.97%以上の粒子捕集効率を持ち、
かつ圧力損失が245Pa以下の性能を持つエアフィル
タ。 ULPAフィルタ:定格風量で粒径が0.1μmの
粒子に対して99.9995%以上の粒子捕集効率を持
ち、かつ圧力損失が245Pa以下の性能を持つエアフ
ィルタ。
【0044】本発明の対象とする基板搬送容器のように
高度な清浄空間を創る場合には、HEPAフィルタまた
はULPAフィルタを用いるのが良い。ULPAフィル
タは一般的にひだ折りした濾材に流路を確保するための
スペーサを設けた構造である。このULPAフィルタの
圧力損失は、濾材の通気抵抗や濾材の折り込み量、流路
の均一性等によって変わる。構造的にフィルタの開口面
積が小さくなる場合は、奥行き寸法を大きくし、より多
くの濾材を充填してやることにより極力圧力損失が小さ
いフィルタを用いることが好ましい。濾材もガラス繊
維、弗素樹脂等、種々製品化されており、どの濾材を用
いても良いが、耐薬品性に優れ、発ガスが少なく、通気
抵抗の小さい弗素系樹脂が好ましい。開口面積が大きく
できる場合は、奥行き寸法を小さくし、限られた空間を
有効に使用するのが良い。
【0045】Al又はSUS又は高分子材料で出来た枠
材の内側にひだ折りしたHEPA又はULPA濾材を充
填する。図35に示すように、このひだ折り濾材は、濾
材100の山部にリボン101と呼ばれる仕切り材を持
つ。リボンにより、一定間隔でひだ折りした濾材を配置
し、濾材を通る空気の路を確保している。このひだ折り
した濾材100を外枠102に接着剤103で固定す
る。リボンの代わりに濾材にエンボス加工して流路を確
保したり、リボンを無くしても良い。また、外枠が高分
子材料の場合は、接着剤を用いずに、熱融着によって固
定しても良い。尚、図36に示すように、上記リボンの
変わりに波型断面形状のセパレータ104を入れて製作
しても良い。また、濾材をひだ折りしないで、平膜で製
作する構造としてもよい。例えば図35に示すように、
円環状の内側支持材105と外側支持材106との間に
濾材100を入れ、機械的に固定する平膜構造フィルタ
としてもよい。係るフィルタによれば、通気抵抗が大き
いので、ポンプなどの送気手段に適する。
【0046】粒子除去フィルタ濾材として、PTFE濾
材、ガラス繊維、エレクトレット不織布、再生処理品が
ある。フィルタ構造としてはひだおり構造(プリーツ、
ミニプリーツ)、メンブレン(シート状)、コルゲー
ト、中空系膜がある。粒子除去フィルタの使用構造は、
図38、39に示すように、フィルタ材100を外枠1
02で囲みユニット化する。捕捉した粒子状物質がフィ
ルタから発散されないように粒子除去フィルタと外枠の
間をシール部107でシールしなければならない。シー
ル材には接着剤103を用いる方法が一般的である。ま
たフィルタ材100を外枠102に圧接することも可能
である。接着剤にはウレタン系、エポキシ系などを使用
する。更に外枠材料が高分子材料の場合は、フィルタ濾
材を熱融着する方法もある。図39に示すように、粒子
除去フィルタ5、ガス状不純物除去フィルタ6共に別ユ
ニットで構成する事によって、各々の寿命が来たときに
それぞれ交換が出来るので、フィルタの有効利用が出来
る。また、粒子除去フィルタ5とガス状不純物除去フィ
ルタ6の間にフィルタ外枠102を介して空間が出来る
ので、水分の伝達やフィルタ自体の圧損回避になる。
【0047】次に、ケミカルフィルタ(ガス状汚染物質
除去フィルタ)6について説明する。ガス状不純物除去
手段としては、除去対象物質に応じて種々選択すること
ができる。塩基性ガス除去手段としては、強酸性、弱酸
性カチオン交換不織布または繊維、あるいは強酸性、弱
酸性カチオン交換ビーズで効率良く除去することができ
る。また、酸性薬液を添着した活性炭やセラミックでも
除去できる。酸性ガスやボロン、リンの除去手段として
は、強塩基性、弱塩基性アニオン交換不織布または繊
維、あるいは強塩基性、弱塩基性カチオン交換ビーズで
効率良く除去することができる。また、塩基性薬液を添
着した活性炭やセラミックでも除去できる。有機物は、
活性炭、活性炭素繊維、ゼオライト、モレキュラーシー
ブ、シリカゲル、多孔質セラミックで除去できる。オゾ
ンは、粒状またはシート状の二酸化マンガンを担持また
は添着したメディアや活性炭などで除去できる。また、
ベーパー状でイオン化したメタル、例えば硫酸銅など
は、イオン交換不織布やイオン交換ビーズで除去でき
る。吸着素材構成は除去対象物質とフィルタの許容寸
法、形状、圧力損失などに応じて適宜選択することがで
きる。
【0048】図40は、シート状吸着材をひだ折り加工
したケミカルフィルタの例を示すものである。外枠材1
02、シート状吸着剤108、セパレータ104、シー
ル材103で構成される。外枠材102はAl又はSU
S又は高分子材料である。シート状吸着剤としては、
イオン交換不織布又は繊維、活性炭素繊維、粒状又
は粉末状活性炭バインド不織布、図41に示すように
シート状不織布109を2枚重ねて熱融着し、その隙間
に粒状活性炭又はイオン交換樹脂等の吸着剤110を充
填したもの、活性炭素繊維などから脱落するゴミを捕
捉するための不織布又は繊維等が使用できる。外枠と吸
着剤の固定は、例えばウレタン系接着剤や、スポンジ状
ガスケット材に圧接する方法が考えられる。
【0049】図42に示すようにケミカルフィルタは、
外枠材102、吸着剤108又は110、支持材111
で構成される。イオン交換不織布108又は繊維又は吸
着機能を持たない不織布又は繊維109で、粒状活性炭
又はゼオライト又は粒状セラミック又は粒状単結晶シリ
コン又はイオン交換樹脂110を単独又は組み合わせて
包む構造であり、粒状活性炭が膨らまないように支持材
111で補強する。別な方法として、図43に示すよう
に、ハニカム112又はコルゲートコア113の隙間に
粒状活性炭又はゼオライト又は粒状セラミック又は粒状
単結晶又はイオン交換樹脂110を単独又は組み合わせ
て充填し、不織布109と支持材111で包む構造でも
良い。このハニカム又はコルゲートコアの材料は、吸着
機能を持つものでも、持たないものでも良い。
【0050】他の構造として、図44に示すように一体
成型された吸着剤114をイオン交換不織布又は繊維1
08又は吸着機能を持たない不織布又は繊維109で包
んだ構造がある。吸着剤は、例えば三次元網目構造の発
泡ウレタンに活性炭又は酸やアルカリ添着した活性炭或
いはイオン交換樹脂又はゼオライトを接着したものや、
粒状活性炭を接着剤などで乾式一体成型したものが使用
できる。
【0051】繊維状又はペーパー状活性炭又はセラミッ
クをコルゲート状113に加工したり、格子状115に
加工したり、粉末活性炭をハニカム状112に成型した
ものをイオン交換不織布又は繊維又は吸着機能を持たな
い不織布又は繊維で包んだ吸着剤を外枠に収納した構
造。又は上述のの吸着剤を使ったハニカム構造112
としてもよい(図45参照)。
【0052】ケミカルフィルタ(ガス状不純物除去フィ
ルタ)の材料としては活性炭、活性炭素繊維、ゼオライ
ト、シリカゲル、セラミック、イオン交換不織布、又は
繊維、イオン交換樹脂、酸又はアルカリ添着活性炭、二
酸化マンガン担持活性炭、金属触媒、光触媒がある。フ
ィルタ構造としては材料単体、または複数の材料を併用
する事が出来る。複数の材料を使用する場合、例えば粒
状活性炭とイオン交換不織布がある。イオン交換不織布
が粒状または粉状活性炭をはさむようになる。イオン交
換不織布はシート状、ひだおり状がある。またウレタ
ン、発泡体、プラスチック、高分子材、金属などに担持
させることもある。例えば、ウレタン担持粒状活性炭と
ウレタン担持イオン交換樹脂、ウレタン担持粒状活性炭
とウレタン担持粒状添着活性炭などである。更に、平板
形、ロールコア形、w字形、円筒形、プレートフィン
形、バイパス形、3次元骨格形がある。
【0053】図46に示すように、ガス状不純物除去フ
ィルタの使用構造は、フィルタ材108を外枠102で
囲みユニット化する。外枠102はステンレス、アルミ
など金属や、プラスチックなどでも良い。フィルタ10
8の外枠への取りつけ方法は、(a)に示すように直接
的に、または(b)に示すように間接的に取りつける。
直接的の場合フィルタ自体の弾性力を利用して外枠へ圧
接する。例えばフィルタをひだおり状にしてその積層方
向の弾性力で外枠102に圧接する。間接的に取りつけ
る場合、弾性材116をフィルタと外枠の間に介して取
りつける。例えば、ガスケット(テフロン(登録商
標))がある。また、(c)に示すように外枠内面に突
起物117を設けフィルタを圧接する際に突起物にシー
ル作用を持たせる。
【0054】また、前記粒子除去フィルタとケミカルフ
ィルタを一つの外枠に収納し、一体型複合膜フィルタに
しても良い。あるいは、粒子除去濾材とシート状ケミカ
ルフィルタ素材を同時にひだ折り状に折り込み一体化し
ても良い。
【0055】次に、除湿剤及び除湿器について説明す
る。空気中の水分を除去するには、例えばシリカゲル、
ゼオライト(合成ゼオライト含む)、炭酸カルシウム、
塩化マグネシウムを主成分とした除湿材で除湿する方法
がある。除湿材を使う場合は、シリカゲルのように加熱
脱離して再利用できる除湿材で、カートリッジタイプで
簡便に交換ができ、自動交換が可能な構造が好ましい。
容器を冷却したり、冷却した棒を一定時間容器内に挿入
して湿気分を結露水にして回収する方法も考えられる。
また、固体高分子電解質膜を使用した除湿ユニットも利
用可能である。除湿のメカニズムについて図47を示
す。この方法は、除湿側空間120の水分子を触媒によ
って水素と酸素に分解し、所定電圧を印加した固体高分
子電解質膜121を介して除湿側空間120の外側、即
ち、放湿側空間122に水素を放出して除湿するもので
ある。
【0056】固体高分子電解質膜による除湿ユニットの
最低構成部品例を図48に示す。陽極123、陰極12
4、固体高分子電解質膜121、触媒層125は必須の
構成部品である。また、各部品組立の特徴としては、陽
極123及び陰極124は触媒層125と多孔質な基材
とを備える。該基材が固体高分子電解質膜121に食い
こんでいると共にこの食いこみ部に上記触媒層が形成さ
れていることにより、固体高分子電解質膜121と多孔
性電極129(123,124)と触媒125の一体構
造をとること特徴としている。例えば菱彩テクニカ社製
のロサール等を用いることができる(図49参照)。
【0057】図50に固体高分子電解質膜を用いた除湿
ユニットの他の構成例を示す。固定フランジ部127を
容器本体1とモールド加工した形状の実施例である。除
湿ユニットの有効面積は、正方形、長方形、円形、楕円
形、多角形等、いずれの形状をとっても良いが、電極面
積に対する、有効面積(処理ガスの接する開口面積)の
割合が大きくなるように設計することが望ましく、具体
的には少なくとも処理ガスの接する開口面積が電極面積
の50%以上99%以下になるように、さらに好ましく
は60%以上90%以下になるように設計することが望
ましい。また処理ガスの接する開口面積と電極面積の比
が同じであれば、円形よりも楕円形、正方形より長方形
にすることが望ましく、具体的には短軸が長軸の、短辺
が長辺の10%以上99%以下になるように設計するこ
とが望ましい。固体高分子電解質膜としてはプロトンを
伝達するものであればよいが、例えばデュポン社製のナ
フィオン(Nafion)−117(登録商標)等の公
称膜厚約170μmを用いることができる。またナフィ
オン115、ダウケミカル社のXUS−13.204.
10等を用いても良い。触媒としては性能と耐久性の面
から白金黒を用いるのが望ましいが、白金担持カーボン
や他の白金属金属触媒を用いても良い。多孔性電極12
9に均一な電圧をかけるために必要な電流端子128は
アルミやステンレスなどが望ましい。またパッキンから
有機物の脱ガスの少ないPTFE等を用いるのが望まし
い。固定フランジは直接処理ガスに接するためプロセス
ガスによる腐食を受ける可能性のある金属は避け、樹脂
製の材質、例えばポリカーボネートを用いることが望ま
しいが、腐食性ガスを使用しない場合はステンレスでも
良い。また外部から電極部を直接接触できない様に固定
フランジ若しくは容器本体にガイドを設けることが望ま
しい。
【0058】いずれの方法も、ファンによって容器内の
気体が流動することにより、より短時間で除湿が可能に
なる。本発明の実施に当たっては、機器類を配置可能な
手段であればどの除湿手段を用いても良い。また、容器
本体またはドアに高純度窒素や不活性ガスあるいは乾燥
空気の給気、排気ポートを配置し、容器内空気の置換を
除湿器と併用すれば、容器内を低湿度にする時間を削減
することが可能になる。
【0059】また水分を除去する除湿ユニットとして、
除湿器と吸湿性の材料(活性炭、イオン交換体、シリカ
ゲルなど)を併せて搭載するのが好ましい。これは吸湿
性の材料を除湿器により常に乾燥させた状態にしてお
き、吸湿性材料の持つ最も吸湿速度が大きい初期状態を
常に保つためである。更に強制的にガスを供給する手段
を持つ容器の運用がもっとも短時間で急速に除湿する。
気体の流れを発生させる手段がファンであっても、ガス
パージであっても、基板搬送容器内に基板を収納後、再
度取り出すまでの間、少なくとも1回以上好ましくは3
回以上循環することが望ましく、収納する基板の要求す
る環境と基板を収納する前後の容器外環境の汚染度に応
じて循環回数を増やせば良い。ファンの消費電力量に制
限がない場合は気体の流れは常に循環するようにするこ
とが最も望ましい。尚ここでいう「収納する基板の要求
する環境」とは各工程間の搬送環境として歩留まり悪化
原因になる汚染物質,具体的には粒子状物質、イオン、
ドーパント、有機物、水分をすべてもしくはいずれかを
管理濃度以下に低減した環境を容器内に構築することを
意味する。この環境制御を行う基板収納ボックスは、例
えば半導体製造プロセスの工程内、工程間、工場内フロ
ア間、工場間のいずれの間の搬送に用いてもよく、また
搬送だけでなく保管の用途に用いてもよい。
【0060】なお、低湿度保持を目的にした場合、容器
の材料は、吸水率が小さい材料を使用するのが良い。こ
れは、クリーンルームの一般的な設定条件である25
℃、50%RHの環境で、基板搬送容器内だけを湿度低
下すると、容器を構成する高分子材料中に含まれる水
が、湿度勾配によって低い方へ移動する、容器外環境
空気に含まれる水が容器内との湿度勾配で透過し、内側
に水が移動する、容器接合部からの容器外環境空気の
漏れ込み、の3種類の原因によって湿度の低い容器内に
移動する。高い気密性を持った基板搬送容器の場合、こ
れらの原因のうち、容器内湿度の上昇に最も影響を与え
る原因は、の高分子材料に吸水していた水が低湿度側
に移動することである。
【0061】高分子材料の吸水率は一般的にASTM
(American Societyfor Test
ing and Materials)D570規格に
よって実施されており、文献または高分子材料製造メー
カのカタログなどに記載されている。基板搬送容器の高
分子材料は、ポリカーボネートが良く用いられるが、ポ
リカーボネートの吸水率は0.2〜0.3%であり、例
えば容器本体及びドア重量3kg中には6〜9gの水を
含む計算になる。吸水率を少なくとも0.1%以下の材
料で成型することにより、容器内に持ち込まれる水の量
を低減することが可能であり、除湿器の性能向上にも寄
与する。吸水率0.1%以下の高分子材料は、ポリエチ
レン<0.01%、ポリプロピレン0.03%、ポリブ
チレンテレフタレート0.06〜0.08%、ポリフェ
ニレンスルフィド0.02%、ポリテトラフルオロエチ
レン<0.01%、カーボンを20%添加したポリカー
ボネート0.1%、カーボンを20%添加したポリブチ
レンテレフタレート0.05%などがある。このうち、
基板搬送容器には、耐薬品性、高温特性に優れ、成型収
縮率の低いポリフェニレンスルフィドやポリブチレンテ
レフタレートまたは前記材料にカーボンを添加した材料
を用いるのが好ましい。
【0062】送風装置には軸流ファン、シロッコファ
ン、スクロールファンなどを用いる。一般的な軸流ファ
ンモータの構造断面を図51に示す。ブラシレスファン
モータは、一般的にケーシング611、羽根車612、
回転軸613、マグネット614、巻き線615、制御
基板616、軸受617から構成されている。前記構成
部品のうち、主な汚染発生源は、マグネット614、巻
き線615、制御基板616、軸受617及び各部接合
に使用する接着剤である。まず、マグネット材料にゴム
を使用していたり、フェライト系磁石を接着剤で固着し
ているものは、ゴムや接着剤が汚染源になり、塩基性ガ
スや有機物を放出する。巻き線615は、製造工程にお
いて銅線の滑りを良くするために用いる潤滑剤や絶縁材
などから有機物を放出する。制御基板616からは、銅
箔の腐食防止のために塗布するフラックスやソルダ(半
田)レジストから有機物を放出する。軸受617から
は、潤滑剤として用いる鉱物油系グリスから有機物が、
また、転動体や保持器が内輪または外輪と擦れることに
より粒子汚染物質を放出する。
【0063】例えば、マグネット614、制御基板61
6、巻き線615に、ポリパラキシリレン樹脂を化学蒸
着法により被覆処理するパリレン被覆技術がある。パリ
レン被覆は、ジパラキシリレンを低圧下で加熱・昇華さ
せ、約600℃に加熱すると熱分解してパラキシリレン
ラジカルガスが生成する。これを被着体上に導くことに
より分子量約50万の高分子量ポリパラキシリレンを被
覆することができる。パリレン被覆は、処理温度が3
5℃程度であり、被着体にはほとんど熱的な負荷がかか
らない、化学蒸着法なので複雑な形状であったり、金
属とプラスチックのような複合材料の被着体に対しても
均一な膜厚の被覆が可能、ガス透過性が極めて低い、
大量に一括被覆処理することにより処理コストを安く
できる、市販のファンモータの構成部品に直接被覆が
できるため、設計変更が必要無い、といった特徴が挙げ
られ、化学汚染物質の低減に適した被覆方法といえる。
被膜厚さは、0.1μm以上0.5μm以下が適当であ
る。
【0064】軸受617は、外輪、内輪、転動体、保持
器で構成されている。一般的に外輪、内輪、転動体は、
高炭素クロム軸受鋼またはステンレス鋼またはセラミッ
クが、保持器はステンレス鋼やポリアミド樹脂が用いら
れている。最近では、特殊環境用として、真空用途、低
発塵用途、耐食用途、非磁性用途の軸受が開発されはじ
め、1986年頃から市販されるようになってきた。軸
受から発生する不純物としては、まず粒子状汚染物質が
ある。文献によると、軸受から発生する粒子状汚染物質
は、保持器が転動体や内外輪と擦れることによって発生
する場合が多いという知見が得られている。一方、化学
汚染物質は、鉱物油系グリースを用いた軸受がもっとも
放出量が多く、フッ素系グリース、フッ素系樹脂固体潤
滑材の順に少なくなる。軸受の選定は、放出ガスが最も
少ない固体潤滑材と、自己潤滑性を有するポリアミド系
樹脂又はフッ素系樹脂を材料にした保持器を組み合わせ
ることにより、粒子状汚染物質と化学汚染物質の放出低
減を図ることができる。
【0065】更に、前記対策をとらないグリスなどを用
いた一般的な軸受からの汚染物質の放出を防止する方法
として、磁性流体シールを用いることが出来る。磁性流
体は、ベースオイルに例えば界面活性剤を配合し、極微
粒子状の磁性粉末を分散させた液体状のものである。ベ
ースオイルとしては、例えばパラフィン、合成イソパラ
フィン、アルキルナフタリン、パーフルオロエーテルな
どが使用される。磁性粒子としては、鉄、ニッケル、コ
バルト、フェライトなどが用いられる。
【0066】磁性流体シールを取り付けた軸流ブラシレ
スファンモータの回転軸613周辺の拡大断面構造例を
図52に示す。磁性流体シールに必要な部品としては、
永久磁石619、磁性材料でできた永久磁石保持器62
0、磁性流体624が挙げられ、他の部品としてはスリ
ーブ622、軸受と永久磁石保持器を仕切るためのスペ
ーサ623 がある。磁性流体624は、永久磁石6
19によって発生した磁力線により永久磁石⇒永久磁石
保持器⇒磁性流体⇒回転軸⇒永久磁石保持器⇒永久磁石
に流れる磁界が形成されており、回転運動による磁性流
体の散逸を防止している。軸受617から発生した粒子
状、分子状汚染物質は、磁性流体624によってシール
され、汚染物質の放出を防止する。その他、特記事項と
して、回転軸が磁性体であること、永久磁石保持器が磁
性体又は磁性体で被覆されていること、永久磁石保持器
を保持するハウジングが非磁性体又は非磁性体材料で被
覆されていること、そして磁性流体の近傍に別の磁性体
を配置しないことが挙げられる。近傍に別の磁性体が存
在する場合は、磁力線を遮るための対策を講じる必要が
ある。図52に示す実施例では、2つの軸受の両方に磁
性流体シールを用いているが、必要に応じて片側だけに
しても良い。
【0067】マグネット614からの汚染物質を低減す
る方法として、プラスチックマグネットを使うことが出
来る。プラスチックマグネットは、もともとフェライト
焼結マグネットでの割れ防止や、例えばマグネットと羽
根車との一体化による部品点数の削減、ファンモータ組
み立て工数の削減、寸法精度の向上などを目的として使
用している。本発明では前記長所の他に、磁性体を熱可
塑性樹脂により一体成形できる点に着目し、化学汚染物
質の低減を図っている。磁性体としては、フェライト
系、サマリウムーコバルト系などがあるが、必要とする
磁束密度に応じて使い分けることができる。
【0068】送風装置以外の容器本体1内の気体循環方
法を図53に示す。容器1外の加熱源530から、熱を
放出し、容器本体に備えられた熱吸収部531が熱を吸
収する。例えば赤外線などの熱線を用い用いることによ
り容器本体内では気体が熱吸収部付近では温められるた
め容器本体1内で熱対流が起きる。容器本体1内で発生
した気体流れはフィルタ(粒子フィルタ5、ガス除去フ
ィルタ6)と接触し、浄化される。更に容器本体内に除
湿ユニットを備えてもよい。更に気体のウエハ積層方向
への均一な流れを形成する整流板521を備えてもよ
い。
【0069】容器1内に乾燥ガスを供給する装置を図5
4に示す。乾燥ガスとしては、乾燥空気や窒素ガスを用
いる。前記乾燥ガスを充填した乾燥ガスボンベ38を装
置内に備える。容器本体1内に乾燥ガスを入れる際、そ
の管路に、容器内部の圧力を検知する圧力計37、それ
を基に、乾燥ガス供給量を制御するマスフロコントロー
ラ36を備える。排気は真空引きすると更に良い。前記
乾燥ガスボンベ38を備えたAGV10の場合、移動が
容易になる。又、乾燥ガス供給源を工場の窒素ガスライ
ンから引いてくることによりプロセス装置11にも配置
できる。
【0070】また、低湿度にすると、ウエハが帯電しや
すくなるので、少なくともウエハに接するウエハ支持部
材とウエハ支持部材から容器下部に接地するドアは、カ
ーボン等を添加した導電性材料が特に好ましい。更に、
ガス状不純物捕捉素子として用いるイオン交換不織布や
活性炭は、製造直後の状態で水を吸着しているので、予
め脱水処理をして使用するのが好ましい。
【0071】次に半導体素子の製造方法について説明す
る。半導体製造工程は、半導体チップ内のトランジス
タ、コンデンサ等の素子を形成してそれらの素子を銅配
線等で結ぶ前工程と、ウエハから各チップを切断して、
外部端子へ配線する後工程に分かれる。図2に工程図を
示す。前工程では素子がウエハ上に形成された後、多層
配線の層の数だけ配線工程が繰り返される。トランジス
タ(FET)、コンデンサ等が形成されたシリコンウエ
ハは、その上に絶縁体膜を形成するために、コータまた
はCVD等のプロセス装置に搬送される。ここで、誘電
率3以下の低誘電率絶縁膜が形成される。低誘電率絶縁
膜としては、SiOX系等の無機材料例えば多孔質やハ
ニカム形状にしたもの、またはPAE(Poly Ar
ylene Ether)系やMSQ(Methyl
Silses Quioxane)系の有機材料、更に
有機物を多孔質にしたもの等が用いられようとしてい
る。これらの低誘電率絶縁膜は、水分を吸収しやすく水
分を吸収することにより劣化したり、吸収された水分に
より、絶縁膜の誘電率が上昇してしまったりする。更
に、環境中の有機物やイオン、メタルといった不純物の
影響をうけて絶縁膜の物性が変化することが想定され
る。環境の変動により安定した成膜が得られない可能性
があるため、安定してクリーンな環境を提供することが
必須となる。
【0072】そこで、表面に低誘電率絶縁膜が形成され
たウエハをすでに説明した基板搬送容器内に収納して、
CVD、コータ等の絶縁膜形成装置からレジスト塗布装
置の間を搬送する。基板搬送容器としては、既に説明し
た種々のものが使用可能であるが、基板搬送容器内部に
は除湿手段を有し、除湿できた方が好ましい。また、基
板搬送容器内の空気が循環して除湿手段を通って除湿さ
れるのが好ましい。基板搬送容器内の湿度は25%以下
が好ましく、更には10%以下、更に5%以下が望まし
い。
【0073】湿度が低くて静電気による素子の破壊が問
題になる場合には各ウエハにアースをとるのが好まし
い。ウエハを収納するウエハ支持部材を導電性材料で構
成しそれらを介してウエハ電荷を除電する。導電性材料
としては、高分子材料にカーボン、界面活性剤、メタル
等を添加した高分子材料を用いる。例えば、底部にドア
を持つ容器では、ウエハ支持部材を支えるのはボックス
ドアであり、ボックスドアを導電材料で形成する。ボッ
クスが装置やステーション接地時にボックスドアを介し
て接地する。ボックスドアは全体が導電性材料でも、表
層のみ導電性材料でそこからボックスドア底部へ導電す
る物体を用いてアースするようにしても良い。またウエ
ハ支持部材を支える部分のみ例えば導電性高分子又は金
属材料を用いても良い。
【0074】更に、化学汚染が問題になる場合にはケミ
カルフィルタを基板搬送容器内部に設置するのが好まし
い。ケミカルフィルタとしては非メタン系有機物をとる
ものが望ましく更に、イオンをとるものを組み合わせて
もよい。又、粒子汚染も問題になる場合にはHEPA、
ULPA等の粒子フィルタを併用してもよい。
【0075】図55及び図56は、表面に銅膜が露出し
ている基板Wを収納した基板カセット4を入れて密閉
し、密閉した状態で基板カセット4ごと搬送する基板搬
送容器1の一例を示すもので、これは、この基板搬送容
器1を、一般的なSMIFポッドで構成したものであ
る。ここで、更に、8インチウエハ搬送用基板搬送容器
1の内部に、除湿剤等の除湿手段を設けて基板搬送容器
1の内部の湿度を制御することで、絶縁膜の劣化を防ぐ
ことができる。この場合、基板搬送容器1の内部の湿度
を10%以下に抑えるのが好ましく、5%以下に抑える
のが更に好ましい。なお、湿度が少ない場合に、静電気
発生により素子が破壊されるおそれがある場合は、各基
板の銅表面にアースをとり、その静電気を逃がして基板
を搬送/保管するのが望ましい。
【0076】そして、基板搬送容器1の内部に粒子除去
フィルタとファンモータを設置して、基板搬送容器1の
内部の気体を循環させ清浄化させることで、基板間のク
ロスコンタミネーションを防ぐことができる。また、基
板搬送容器1の内部に化学吸着フィルタと粒子フィルタ
の両方を設置することで、粒子及びイオン等を除去する
ことができる。なお、粒子フィルタのみを設置したり、
化学フィルタとしてイオン除去フィルタのみを使用して
も良いことは勿論である。また、基板搬送容器1の内部
にファンモータ等を設置した場合には、基板搬送容器1
の内部に電池を備えることなく、基板搬送容器1をベー
ス部材等に設置した時に該ベース部材等に設けたコンセ
ントと通電してファンモータが回転するようにしても良
い。
【0077】また、基板搬送容器1の内部は、通常空気
で満たされるが、酸素量を制限した不活性ガス等を使用
することで、銅の酸化を防止することができる。その酸
素量としては、10000ppm以下であることが好ま
しく、1000ppm以下であることが更に好ましい。
【0078】図53乃至図55は、基板搬送容器1の他
の例を示すものである。これは、例えば、複数の300
mm基板Wを基板搬送容器本体501の内側に固定した
基板支持部材504に収納した状態で収容し、搬送・保
管等を行なうものである。この基板搬送容器1は、角筒
状の基板搬送容器本体501と、基板搬出入ドア自動開
閉装置に連結されて基板搬送容器本体501の側面の開
口部を機械により開閉可能な基板搬出入ドア502と、
開口部と反対側に位置し、フィルタ類及びファンモータ
の着脱を行なうための開口部を覆う蓋体503と、基板
Wを保持するための基板支持部材504とULPAフィ
ルタ505、ケミカルフィルタ506、ファンモータ5
07とから構成されている。
【0079】基板搬出入ドア502は、機械による開閉
が可能であり、基板搬送容器本体501の底部には、基
板搬出入ドア自動開閉装置への精度の高い位置決めを行
なうためのキネマティックカップリングピン508と係
合するVグローブ509が設けられている。基板搬出入
ドア502には、基板搬出入ドア自動開閉装置側から自
動開閉できるように、位置決めピン受け部510と、ド
ア開閉用のラッチキーが挿入される受容部511が設け
られている。また、OHT(OverheadHois
t Transport)やAGV(Automati
c Guided Vehicle)といった搬送装置
で搬送できるように、ロボット把持手段512が装備さ
れている。材質は、PC、PEEK、PEI等が用いら
れている。Vグローブ509、位置決めピン受け部51
0とドア開閉用のラッチキーが挿入される受容部51
1、ロボット把持手段512、その他自動化インターフ
ェイスに関する事項は、SEMIスタンダードE1.
9、E47.1、E57、E62に準拠した設計になっ
ている。
【0080】基板搬送容器本体501の内部は、基板搬
出入ドア502及び蓋体503の間に隙間を有する左右
一対の基板支持部材504と一体化された仕切板530
によって、中央の中央室513aと該中央室513aの
両側に位置する一対の側室513bに区画されている。
仕切板530の基板搬出入ドア502側には、基板Wに
係合するようにドア側に広がるテーパ部を有する基板支
持部材504が一体に設けられている。可動式ウエハ振
動防止機構515は、ウエハを容器内で固定し、その振
動を防止するように、ウエハに対して垂直方向から押さ
える。例えば可動式ウエハ振動防止機構515は、基板
搬出入ドア502の内側に備えられている。
【0081】中央室513aの蓋体503側には、主に
粒子を除去することを目的とする粒子除去フィルタを構
成するULPAフィルタ505と不純物ガスを除去する
ガス状不純物捕捉フィルタを構成するケミカルフィルタ
506が、蓋体503側から基板搬出入ドア502側に
空気を流通可能なように配置されている。一方、捕捉フ
ィルタ506の上流側には、ファンモータ507が基板
搬出入ドア502側に空気を送り出すように配置されて
いる。
【0082】基板搬出入ドア502の両端部は、内向き
に滑らかに湾曲した形状に形成されているとともに、そ
の中央部には、三角形状の整流板514が設けられてい
るが、整流板514は省略しても良い。また、基板搬出
入ドア502には、基板位置ズレ防止用の固定具515
が装備されている。同様に、蓋体503の内面も内向き
に湾曲した形状に形成されているとともに、その中央部
には、三角形状の整流板516が設けられている。ま
た、複数枚の基板Wに対して均一に清浄空気を供給する
ことを目的とした整流板517が、内側の清浄空気吹き
出し開口部に隣接して2箇所に取り付けられている。更
に、フィルタ部後段に整流板521を設けても良い。整
流板521には、多孔板、メッシュ等を用いる。直接処
理ガスに接する、多孔板やメッシュや固定フランジは、
プロセスガスによる腐食を受ける可能性があるので、材
料としては金属は避け、樹脂製の材質、例えば弗素系樹
脂、ポリブチレンテレフタレート、ポリカーボネートを
用いることが好ましい。しかしながら、腐食性ガスを使
用しない場合はステンレス材を用いてもよい。
【0083】ここで、例えば25枚の基板Wを収納した
場合、1枚目と25枚目の基板Wと基板搬送容器1の基
板搬送容器内壁面との隙間は、他の基板Wどうしの間隔
よりも広く設定されている。ここで1枚目とは、容器底
部側の一番下に収納される基板を指す。1枚目の隙間
は、容器下部内面と1枚目の基板との間隔であり、25
枚目の隙間は、容器上部内面と25枚目の基板との間隔
である。1枚目及び25枚目の基板の隙間は、2mm以
上10mm以下が望ましい。このように広く設定する
と、基板Wへの均一な流量供給を阻害するが、清浄空気
吹き出し開口部に整流板517を設けることにより、空
気入口部において1枚目と25枚目の基板Wとキャリア
本体の間の隙間との流量の均一化を図り、効率的に清浄
化を行なうことができるように構成されている。また、
ULPAフィルタ505の下流側に、流速の均一化とU
LPA濾材保護を目的とした多孔板又は網状材料を配置
してもよい。
【0084】蓋体503の底部には、二次電池を内蔵し
た電源ユニット518が配設されており、これにはファ
ンモータ507の端子519と接続する接点が設けられ
ている。電源ユニット518の内部には、ファンモータ
507の運転制御基板が内蔵されており、ファンモータ
507は、この制御基板に予め入力された制御プログラ
ムに沿って運転・停止のタイミングや回転数が制御され
るようになっている。また、電源ユニット518の底部
には充電用端子520が設けてあり、基板搬出入ドア自
動開閉装置上や充電ステーションに着座した時、装置側
の端子と接続されて、自動的に二次電池を充電できる構
造になっている。
【0085】上記のように、基板搬送容器に各種フィル
タや二次電池等を搭載すると、基板搬送容器の重心位置
がフィルタ側に移動する。重心位置が一方に片寄った状
態で、例えばロボット把持手段512を掴んでOHTな
どで搬送しようとすると、OHTのホイストなどに悪影
響を及ぼす恐れがある。このような問題が起こらないよ
うに、フィルタや二次電池等の部品は軽量化することが
望ましい。更に、各種フィルタと反対側に位置する基板
搬出入ドア502及び/又は基板搬送容器本体501の
開口部付近にバランスウエイトを配置し、基板を全て収
納した状態で基板搬送容器の重心位置を基板のフェイシ
ャル基準線から少なくとも±100mm以内、更に望ま
しくは±60mm以内に調整することが望ましい。フェ
イシャル基準面とは、基板を二等分し、基板の搬出入が
行われるキャリア前面に平行する垂直面である。別な方
法として、例えば基板搬出入ドア502に内蔵されるラ
ッチ機構の材料を例えば金属製にして基板搬出入ドア5
02を重くし、重心位置を修正しても良い。
【0086】図60は、基板搬送容器内における半導体
ウエハの各種の除電方式を示す。図60(a)に示すよ
うに、FOUP構造においてのウエハ除電は容器内でウ
エハを支える基板支持部材504を介して装置側へアー
スする。基板支持部材504は、基板搬送容器本体50
1を含む一体構造のため、該基板支持部材を含めて一体
を導電性材料により構成する。又、基板支持部材504
と、基板搬送容器本体501が別部材の場合には、図6
0(b)に示すように、基板支持部材504と基板搬送
容器本体501とをそれぞれ導電性部材で構成し、更に
これらを導電性材料523を用いて電気的に接続する構
造にすることが好ましい。更に、図60(c)に示すよ
うに、容器本体501はその底部501aのみを導電性
材料にしてもよい。また、図60(d)に示すように、
基板支持部材504が接している基板搬送容器部分50
1bのみ、導電性材料にしてもよい。
【0087】第2のFOUP構造基板搬送容器の除電方
法は、図60(e)に示すように、基板位置ずれ防止用
の固定具515とドア502を導電性材料にして、ドア
から容器を載置した装置にアースするものである。又、
図60(f)に示すように、固定具515のみを導電性
材料で形成し、固定具515からアース端子524に接
続し、これを容器底部から外部へつながるアースとして
もよい。外部とはプロセス装置、搬送装置などを示す。
【0088】第3のFOUP構造の除電方法は、図60
(g)に示すように、OHTロボット搬送する際の取手
であるロボット把持手段512を介してである。基板支
持部材504と、フランジ512が導電性材料で一体成
形されており、ウエハの電荷は、基板支持部材504−
ロボット把持手段512を介してOHTにアースされ
る。又、図60(h)に示すように、ロボット把持手段
512と基板支持部材504を別部材とし、それらを電
気的に接続するため、容器501の一部501cを導電
性材料にしてもよい。更に、ロボット把持手段、基板支
持部材に接する容器本体の一部501cのみを導電性材
料にしてもよい。
【0089】図61乃至図63は本発明の更に他の変形
例の基板搬送容器であり、300mmウエハ自動化対応
基板搬送容器についてのものである。容器503底部に
内蔵された電源ユニット518はモータファン507の
端子519と接続する接点が設けられている。電源ユニ
ット518の底部には充電端子520が設けてあり、ウ
エハ搬出入ドア自動開閉装置上や充電ステーションに着
座した時、装置側の端子と接続されて、自動的に2次電
池を充電できる構造になっている。また、乾燥ガスの供
給と、固体高分子電解質膜による除湿を併用する場合に
は、乾燥ガスパージポート515は本体1底部に内蔵さ
れる。但し、固体高分子電解質膜525の位置、大き
さ、個数及び乾燥ガスパージポート515は、希望除湿
スピード及び希望到達湿度によって変えることが出来、
本発明の実施例・図面に限らない。
【0090】図64乃至図66は本発明の自動化対応基
板搬送容器についての図61乃至図63とは異なる位置
に固体高分子電解質膜を装着したものである。この場合
は固体高分子電解質膜自体は本体1底部に内蔵されるの
で、端子514を介さず本体1から連なる容器503に
内蔵された電源ユニット518から直流電圧を供給でき
る。
【0091】次に、前記固体高分子電解質膜を用いた除
湿ユニット525を搭載した前記基板搬送容器における
反復使用時の除湿能力について、図67を参照して説明
する。温度22℃で相対湿度40%のクリーンルームで
前記基板搬送容器に洗浄した20枚の半導体ウエハの入
ったキャリア4を入れ、蓋閉6時間後の到達湿度を測定
した。この結果を図中に△印で示す。尚、図の横軸は操
作回数であり、縦軸は到達湿度である。比較のためボッ
クス内に乾燥剤を入れた場合の到達湿度のデータも○印
で併記した。乾燥剤を入れた基板搬送容器では、15回
までは初期の性能つまり(相対湿度40%から5%の除
湿)を維持したが、その後徐々に到達湿度が上昇し、5
0回以上では20%以下に下がらなかった。一方、固体
電解質膜除湿ユニット10を搭載した基板搬送容器は初
期の性能(相対湿度40%から10%への除湿)を維持
し続けた。
【0092】上述した基板搬送容器において、ガス状不
純物捕捉フィルタ506は、この実施の形態において
は、有機物除去用の粒状活性炭を無機イオン除去用のイ
オン交換不織布で包んで構成されているが、メディアと
しては、破砕活性炭、活性炭素繊維、高純度シリコン、
ゼオライト、セラミックや添着活性炭等を用いてもよ
い。活性炭素繊維は、レーヨン、カイノール、ポリアク
リロニトリルや石油、石油ピッチを原料とし、繊維状に
賦形された炭素を水蒸気、炭酸ガス等で800℃以上の
高温下においてガス化反応、いわゆる賦活反応させるこ
とにより得ることができる。活性炭素繊維には、強度維
持と発塵防止の目的で吸着に寄与しないバインダー等を
入れたものもあるが、素材的にはバインダー等の含有率
が50%wt以下、好ましくは30%wt以下が望まし
い。
【0093】活性炭は賦活の過程で未組織炭素等が除去
されることにより、基本結晶間に多数の細孔を有してい
る。この細孔と大きな比表面積により、活性炭は大きな
物理吸着性を持つ。この性質を利用して、粒状の活性炭
を充填した活性炭フィルタが市販されている。また、エ
アフィルタ用膜材として、発塵が少なく、加工性が良
く、粒状活性炭よりも細孔が微少で、比表面積の大きな
活性炭素繊維を使用したフィルタや、オープンポーラス
構造のウレタン発泡体に直径約0.5mmの粒状活性炭
を担持したフィルタも市販されている。
【0094】また、半導体基板と同一材料である高純度
シリコンを吸着剤として使用することもできる。高純度
シリコンの表面状態は親水性と疎水性の2種類あり、そ
れぞれ吸着特性が異なる。一般的に希フッ酸で洗浄した
疎水性表面の方が環境に敏感であり、炭化水素に対して
極低濃度でも高い吸着特性を示す。しかし、疎水表面シ
リコンは酸化膜が成長すると親水表面に変わるため、時
間と共に吸着特性が変わる欠点がある。親水表面は極性
を持った有機物、例えばBHT(2,6−Di−t−b
utyl−p−cresol)やDBP(Dibuty
l phthalate)をよく吸着する。また、高純
度シリコンを吸着剤に使うと材料の劣化がないので洗浄
によるリサイクル可能なフィルタの実現が可能である。
また、ケミカルフィルタやULPAフィルタを介しても
除去できない物質が存在する場合、ダミーウエハの役割
を果たす。しかし吸着容量は大きくないのでいずれも、
高純度シリコン単独ではなく、活性炭と組み合わせて使
用するのが効果的である。
【0095】一方、イオン交換不織布や繊維は、例え
ば、放射線グラフト重合反応によりイオン交換基を導入
することによって得ることができる。すなわち、有機高
分子で構成される基材、例えばポリエチレン、ポリプロ
ピレン等のポリマーや綿、羊毛等の天然高分子繊維や織
布に、まず電子線やガンマ線等の放射線を照射して多く
の活性点を発生させる。この活性点は、非常に反応性が
高くラジカルといわれるが、このラジカルに単量体を化
学結合させることによって、基材の性質とは別の単量体
の持つ性質を付与することができる。
【0096】この技術は、基材に単量体を接ぎ足すよう
になるため、グラフト(接ぎ木)重合と呼ばれる。放射
線グラフト重合によって、ポリエチレン不織布基材にイ
オン交換基であるスルホン基、カルボキシル基、アミノ
基等を持つ単量体、例えばスチレンスルホン酸ナトリウ
ム、アクリル酸、アリールアミンなどを結合させると、
通常イオン交換樹脂と呼ばれるイオン交換ビーズよりも
格段にイオン交換速度の速い不織布のイオン交換体を得
ることができる。
【0097】同様にイオン交換基を導入可能な単量体で
あるスチレン、クロルメチルスチレン、グリシジルメタ
クリレート、アクリロニトリル、アクロレイン等を基材
に放射線グラフト重合させた後、イオン交換基を導入し
ても同様に基材の形状のままでイオン交換体とすること
ができる。
【0098】ULPAフィルタやHEPAフィルタの濾
材には、ガラス繊維を使用していたが、ガラス繊維は半
導体素子の製造プロセスで使用するフッ化水素(HF)
蒸気と反応してBF3を生成することが判明し、問題に
なってきた。近年、ボロンや金属等の不純物がなく、
酸、アルカリ、有機溶剤等に侵されないPTFE(ポリ
テトラフルオロエチレン)を濾材に使用したULPAフ
ィルタやHEPAフィルタが製品化されている。ここで
は、必要に応じてガラス繊維とPTFEを使い分ければ
よい。
【0099】この基板搬送容器1を、内部に複数の基板
Wを収納した状態で、例えば銅めっき装置34の内部に
搬入した時の動作を図68を参照して説明する。
【0100】この銅めっき装置34には、基板搬出入ド
ア自動開閉装置が備えられている。銅めっき装置18内
は、ファンモータ7とULPAフィルタ5とケミカルフ
ィルタ6と除湿器8で構成されたファンフィルタユニッ
トにより粒子状汚染物、ガス状汚染物質、水を低減して
いる。ケミカルフィルタ6及び除湿器8は必要に応じて
設置すれば良い。除湿器8は、エンクロージャ内と外の
境界に配置すればどこでも良いが、より積極的に除湿を
行なう場合は、ファンモータ7近傍又はエンクロージャ
の循環経路内のように流速が大きくなる場所に配置する
のが望ましい。基板搬送容器1が銅めっき装置34の内
部に搬送されて所定の位置に載置され、ゲートバルブ等
を介してクリーンルームと遮断されると、基板搬出入ド
ア自動開閉装置は、基板搬出入ドア602を開放する。
その後、ウエハマッピング装置603により、ウエハ収
納スロットと枚数がソートされる。その後、めっき装置
34内の基板・ハンドリングロボット621により基板
Wを取出して処理し、処理の終了した基板Wは、基板搬
送容器1に戻される。そして、全ての基板Wの処理が終
了すると、基板搬出入ドア自動開閉装置により基板搬出
入ドア602が閉じられて密閉され、この時点から給電
端子608を通じてファンモータ7の運転を開始し、基
板搬送基板搬送容器内の空気を清浄化する。
【0101】図68に示すように、処理するウエハが取
り出されると基板搬出入ドア602が閉じられ、処理待
ちのウエハが容器(Pod)の閉空間内で保管されるよ
うにしてもよい。また、1ロット処理中基板搬出入ドア
の開閉をしない場合は、処理待ちウエハが水分や有機物
等の汚染を受けないようにインターフェイス部にはUL
PAだけでなく、ケミカルフィルタ6と除湿剤もしくは
除湿器8を備えたファンフィルタユニットを搭載するこ
とが望ましい。更に、該ファンフィルタユニットは、イ
ンターフェイス内を循環させてもよい。また、ドライエ
アやNによる除湿を行ってもよい。
【0102】図69に示すよう、インターフェイス内の
クリーン度と、容器(Pod)内のクリーン度では、狭
空間である容器(Pod)内の方が高度である。よっ
て、容器(Pod)がインターフェイス入口にセットさ
れると、中のウエハは1ロットを一度にインターフェイ
ス本体の仮置きカセット604に移載され、ロット処理
が済むまで、空容器(Pod)は扉を閉めた状態で給電
端子を通じて給電しながら空運転することにより内部の
クリーン度をキープしたまま待機するようにしてもよ
い。この場合も、図64に示したシステムと同様に、イ
ンターフェイス部にはULPAフィルタだけでなくケミ
カルフィルタと除湿剤もしくは除湿器を備えたファンフ
ィルタユニットを搭載することが望ましい。更に、該フ
ァンフィルタユニットはインターフェイス内を循環させ
てもよい。また、ドライエアやNガスによる除湿を行
ってもよい。基板搬出入ドア502が閉じられると、次
プロセス装置又は保管庫にOHTやAGV等によって搬
送される。
【0103】例えば図57に示す容器(Pod)におい
ては、ファンモータ507は、予め設定されたプログラ
ムに従って運転される。これにより、ファンモータ50
7からガス状不純物捕捉フィルタ(ケミカルフィルタ)
506、ULPAフィルタ505の流れが生じる。中央
室513aに流れた空気は、基板搬出入ドア502に設
けた整流板514によって滑らかに2つに分岐し、それ
ぞれ側室513bを通ってファンモータ507に戻る空
気の循環経路が形成される。ファンモータの運転は、例
えば、ドアの開閉を検知する光学的又は機械的検知手段
によって検知し、ドア閉止状態時だけ運転するようにし
てもよい。ドア開放状態時にファンモータを運転する
と、各種汚染物を含んだ容器外空気を吸い込むことによ
ってケミカルフィルタの寿命が短くなるのを防止する目
的である。また別の目的として、容器内面が容器外空気
の流入によって汚染するのを防止する。
【0104】ファンモータ及び/又は、除湿器への給電
方法について説明する。外部から電力を供給する装置側
は容器が所定の位置に着座するための位置決めピンと、
給電電圧及び電流を調整する制御基板と、給電端子とか
ら構成される。
【0105】ここで、空気はガス状不純物捕捉フィルタ
506とULPAフィルタ505を通過して清浄化さ
れ、容器本体と一体化した仕切板530内側の開口部に
設置された入口整流板517によって基板Wの隙間に導
かれる。入口整流板517を設けることにより、基板W
と基板支持部材504と一体化した仕切板530の隙間
に空気が過剰に流れることが防止される。基板Wの間を
通過した空気は、整流板514及び基板搬出入ドア50
2の内面に沿って流れて反転し、側室513bを通って
ファンモータ507に戻る。
【0106】この過程で、各部に付着した粒子等の固形
物質あるいはこれから生成するガス状物質は循環気流に
運ばれ、基板Wの上流側の2種類のフィルタ505,5
06で清浄化されてから基板Wに流れる。従って、外部
からの汚染のみならず、基板搬送容器内部にある物体か
らのいわゆる自己汚染も防止される。
【0107】気体の流れを発生させる手段がファンであ
っても、ガスパージであっても、基板搬送容器内に基板
を収納後、再度取り出すまでの間、少なくとも1回好ま
しくは3回以上循環することが望ましく、収納する基板
に要求される環境と基板を収納する前後の容器外環境の
汚染度に応じて循環回数を増やせば良い。ファンモータ
507の運転パターンとしては、基板搬送容器1の使用
状況に応じて適宜の態様が考えられる。一般に、初期に
は連続的にあるいは流速を大きくして単位時間当たりの
循環回数が多くなるように、少なくとも10分間に1回
以上、望ましくは1分間に1回以上10回以下循環する
ような運転にすることが望ましい。こうすることにより
積極的に基板搬送容器内部に持ち込まれた汚染物質を除
去する運転を行なうことができる。ある程度の時間が経
過した後には、流速を小さくしたり、運転を間欠的に行
なったりして、収容された基板Wや基板搬送容器内の構
成部品から生成する汚染を防止する運転を行なう。これ
により、ファンモータ507の消費電力が節約でき、結
果として二次電池の充電頻度を少なくすることができ
る。
【0108】基板搬送容器1の幅Wを389.5mm、
奥行きDを450mm、高さHを335mmにそれぞれ
設定し、300mm基板25枚を収納した場合に、基板
Wを含む全重量は約10kgである。この実施の形態で
は、ファンモータ507を動作させることにより、保持
基板搬送容器内において、風量0.12m/minの
循環空気を基板Wの隙間中心部の通過風速が0.03m
/sになるように流すことができるように設定されてい
る。循環風量はファンモータ507を変更することによ
り増減可能である。
【0109】図70(a)(b)は、基板搬送容器1の
一実施例を示すもので、前記に示す例と異なる点は、基
板Wのサイズが200mmであること、機械化インター
フェイス用のドア2が基板搬送容器1の底部に位置する
こと、及び基板Wが基板カセット4に収納した状態で基
板搬送容器1に収容されることである。この基板搬送容
器1内の空気清浄方法は、上記各実施例と同様である。
なお、この例では、ファンモータ7の駆動用二次電池及
びファンモータ制御回路をボックスドア2に内臓してい
る。
【0110】図71も、本発明の基板搬送容器の一実施
例を示す図である。この実施の形態は、上記の基板搬送
容器に基板の履歴管理用記憶装置(情報処理装置)42
9が取り付けられるように改良したものである。この実
施の形態において、ファンモータ7から送られた空気
は、ガス状不純物捕捉フィルタ6、ULPAフィルタ5
を通り、パンチングプレート23で気流が均一化されて
ウエハへ供給される。パンチングプレート23は、開口
率を変えて設計している。具体的には、フィルタ直下で
ある上側は開口率を小さくし、下側は開口率を大きくす
る。これにより、ウエハの積層方向への均一な気流を創
り出している。空気はウエハ間を通過後、容器の内壁に
沿って再びファンモータ7に戻り、容器内を循環換気す
る。なお、この実施の形態は、代表的な自動化対応搬送
容器について示した例であり、履歴管理用記憶装置(情
報処理装置)429の取付位置を特定するものではな
い。
【0111】基板搬送容器1の幅Wを283mm、奥行
きDを342mm、高さHを254mmにそれぞれ設定
し、200ミリ基板25枚を収納した場合に、基板W及
び基板カセット4を含む全重量は約6kgである。この
例では、ファンモータ7を動作させることにより、基板
搬送容器1内において、風量0.05m/minの循
環空気を基板Wの隙間中心部の通過風速が0.03m/
sになるように流すことができるように設定されてい
る。
【0112】銅めっき装置内部に搬入した時の200m
m用基板搬送容器の動作を、図72を参照して説明す
る。インターフェイス内のファンフィルタユニット35
のフィルタ等の組合せは、300mmウエハ用の基板搬
送容器と全く同じである。基板搬出入ドア2とキャリア
4は、昇降装置によって基板搬送容器本体1から分離さ
れる。キャリア4ごとロット内のウエハWをインターフ
ェイス側に移載した後、昇降装置20は上昇し、基板搬
出入ドア2は容器本体1に戻され、容器(Pod)は空
のまま1ロット処理が済むまで待機してもよい。また、
図73に示す様に、ロット処理中、基板搬出入ドア2は
キャリア及び処理してないウエハと共に待機し、容器本
体1が開いたまま放置される場合、ファンフィルタユニ
ット35の気流はドア2、キャリア4、及びウエハWだ
けでなく、容器本体1の内部にも供給されるようにする
ことが望ましい。
【0113】表面に低誘電率絶縁膜が塗布されたシリコ
ンウエハを内部に収納した基板搬送容器は、例えば基板
搬送容器に取付けられた、ロボット把持手段によりロボ
ットにより把持され、AGVのような搬送装置上に載置
される。ロボットアームには、基板搬送容器を把持でき
たかどうかを検出する検出手段と、脱落を防止するため
のロック機構を持つのが望ましい。基板搬送容器がAG
V上の正しい位置に載置され及び/又は給電を必要とす
る容器であることをセンサによって検知したAGVは、
AGV内のバッテリー又は、外部から給電した電力の一
部から、基板搬送容器1に給電を行い、基板搬送容器内
のモータファン7を回して、基板搬送容器内の空気を除
湿剤又は電気式除湿器等を通して、循環させることで、
基板搬送容器内の湿度やケミカル濃度を一定値以下にコ
ントロールしながら、次のプロセス装置であるコータや
エッチャー、あるいは銅めっき装置に搬送する。
【0114】気体の流れを発生させる手段がファンであ
っても、ガスパージであっても、基板搬送容器内に基板
を収納後、再度取り出すまでの間、少なくとも1回以上
循環することが望ましく、収納する基板の要求する環境
と基板を収納する前後の容器外環境の汚染度に応じて循
環回数を増やせば良い。ファンの消費電力量に制限がな
い場合は気体の流れは常に循環するようにすることが最
も望ましい。尚、ここでいう「収納する基板の要求する
環境」とは各工程間の搬送環境として歩留まり悪化原因
になる汚染物質,具体的には粒子状物質、イオン、ドー
パント、有機物、水分をすべてもしくはいずれかを管理
濃度以下に低減した環境を容器内に構築することを意味
する。各工程における収納する基板の要求する環境につ
いて具体的に以下に説明する。
【0115】レジスト塗布装置でレジストをその表面に
塗布されたウエハは、アンモニア濃度を低減する必要が
ある、内部にアンモニアを吸収するためのケミカルフィ
ルタを設置した基板搬送容器によって、コータから露光
装置に搬送される。これは、最近使われる化学増幅型レ
ジスト材料として、感度増幅されたものが使われてお
り、このレジストは空気中のアンモニアを吸収、反応し
て、いわゆるT−トップ現像を生じるからである。基板
搬送容器でシリコンウエハを搬送中の基板搬送容器内の
アンモニア濃度は、好ましくは1μg/m以下、更に
好ましくは0.5μg/m以下、更に好ましくは0.
1μg/m以下である。又、アンモニア濃度を低減す
るのは、基板搬送容器内部のみだけでなく、搬送前後の
プロセス装置であるコータ、露光装置、現像装置、エッ
チング装置内のウエハ上のレジストが曝露される雰囲気
である。搬送用ロボットアームを有するウエハ搬送部も
含めてこの環境管理を行ってもよい。
【0116】絶縁膜エッチング後のレジストは、アッシ
ャーによって取り除かれるものであり、”T−トップ”
現像は、考慮する必要がない。従って、エッチング装置
からアッシャー装置へのシリコンウエハの搬送、アッシ
ャー装置から金属膜形成装置であるCVD(化学蒸着装
置)、めっき装置への搬送においては、基板搬送容器内
の湿度のみをコントロールすればよい。更に、エッチン
グ後の絶縁膜溝側面の化学汚染が問題になる場合は、エ
ッチング装置からアッシャー金属膜形成装置までの搬送
を除湿剤又は除湿器に加え、ケミカルフィルタが設置さ
れている基板搬送容器で搬送してもよい。
【0117】更に、銅膜がその表面に形成されたシリコ
ンウエハをCVD、めっき装置などの金属膜形成装置か
らアニール装置を経由して、CMP装置、更にコータ、
CVDなどの絶縁膜形成装置へ基板搬送容器で搬送する
場合、基板搬送容器1の内部に、除湿器、除湿剤等の除
湿手段を設けて基板搬送容器1の内部の湿度を制御する
ことで、酸化膜成長を防ぐことが出来る。この場合、基
板搬送容器1の内部の湿度を10%以下に抑えるのが好
ましく、5%以下に抑えるのが更に好ましい。非常にわ
ずかな酸化膜成長も起こさないようにするには、容器の
扉2閉後10分以内に10%以下に低減することが好ま
しく、3分以内10%さらに好ましくは5%以下に低減
することが好ましい。また、クリーンルーム運用時間の
短期化の観点からも急速な湿度低減が望ましい。なお、
湿度が少ない場合に、静電気発生により素子が破壊され
るおそれがある場合は、各基板の銅膜等が形成された表
面にアースをとり、その静電気を逃がして基板を搬送/
保管するのが望ましい。逆にイオン除去を優先的に行い
たい工程間の搬送での運用、例えばアンモニア濃度を低
減する必要のある露光工程や酸性ガスを抑制したいRI
E工程は際限なく除湿するのではなくイオン交換体の性
能を発揮できる湿度範囲内に湿度を調整する必要がある
ので、少なくとも基板搬送容器内の湿度を10%以上5
0%以下で運用するのが望ましい。
【0118】いずれにせよ、各工程における管理濃度以
下に低減した環境を容器内に構築することが重要である
が、実際工場の各装置(装置前棚を含む)間を稼動する
すべての基板搬送容器の環境制御を行う際は、ファンや
除湿ユニット等の電気駆動部の運転条件を送受信できる
通信手段を容器に搭載することが望ましい。ファンと除
湿ユニットの運転条件をコントロールすることにより所
定の湿度環境を構築できることを図74及び図75を参
照して説明する。ファンの運転パターンによる湿度コン
トロール方法の一例を図74に示す。いずれの場合も、
除湿ユニットを容器(Pod)に2台搭載し、双方連
続運転する、補助吸湿剤との併用を行わない、の共通
条件下でファンの運転条件を比較した。その結果,ファ
ンを停止した条件(図中○印で示す)では20分で到達
湿度は約30%、60分で約20%になり、その状態を
維持した。また、ファンの間欠条件(30秒稼動150
秒停止;図中*印で示す)では25分で到達湿度は約2
0%、90分で約10%になり、その状態を維持した。
また、ファン連続運転(図中◆印で示す)では10分で
到達湿度は約20%、20分で10%以下になった。以
上の結果より、イオン除去を優先的に行いたい工程にお
いて、例えばイオン除去のみを行う場合は除湿ユニット
を停止すればよいが、イオン除去を行いながら緩やかに
除湿をしたい場合はファンの運転パターンを間欠運転に
するのが有効であることが分かる。
【0119】次に、固体高分子電解質膜除湿ユニットを
容器(Pod)に2台搭載し、2台の運転パターンによ
る湿度コントロールの一例を図75に示す。いずれも、
モータファン連続運転、補助吸湿剤との併用を行
う、の共通条件下で、除湿ユニットの運転条件を変更し
てデータを得た。その結果、除湿ユニットを1台のみ連
続運転した条件(図中◆印で示す)では20分で到達湿
度は約20%、40分で約15%になり、その状態を維
持した。最初の30分間は2台稼動させ、その後1台稼
動に切り換えた条件(図中*印で示す)では5分程度で
湿度10%になり、到達湿度は8%を維持した。除湿ユ
ニットを2台連続運転した条件(図中〇印で示す)も、
同様に5分程度で湿度10%になったが、到達湿度は5
%以下を維持した。このように除湿ユニットの膜面積に
より容器内の到達湿度は制限されるので、本発明の基板
搬送容器に用いる固体高分子電解質膜除湿ユニットとし
ては、搬送容器の単位容積当たり少なくとも0.3cm
/L以上10cm/L以下、好ましくは0.6cm
/L以上5cm/L以下の仕様にすることが望まし
い。いずれにせよ急速な湿度低減が望ましい工程におい
てはファンの運転パターンを連続に設定し、吸湿材料と
組み合わせるのが有効であることが分かる。尚、ここで
電解質膜を用いた除湿ユニットと組み合わせる吸湿剤と
しては、除湿ユニットにより吸湿性材料の持つ最も吸湿
速度が大きい初期状態にしたケミカルフィルタ(活性
炭、イオン交換体等)でも十分効果を発揮する。また、
除湿ユニットを1台だけ運転させることにより比較的急
速に除湿しつつ、到達湿度はイオン除去も十分可能な範
囲になるように運転することも可能である。
【0120】実際は前記記載の通信手段を介しファン及
び除湿ユニット等の電気駆動部の運転パターンを各工程
ごとに書き換えることにより適切な各工程における湿度
調節を行うことが望ましい。さらに、容器内に湿度セン
サーを配置して、その湿度情報に基いて除湿ユニットの
運転を自動制御することもできる。次のプロセス装置で
は、基板搬送容器を、プロセス装置からAGVに載せる
のとは逆の動作を行うことによって、シリコンウエハプ
ロセス装置内に搬入される。基板搬送容器への給電は、
基板搬送容器が、プロセス装置におかれ、待機している
ときに行ってもよいことは勿論である。
【0121】更に、プロセス装置上ではなく、プロセス
待機又は、装置前仮置き棚の場所の給電装置の上に基板
搬送容器を置いて、給電しながら所要の清浄環境を維持
しつつ、プロセス装置の処理順番を待ち、それからプロ
セス装置に基板搬送容器を搬送してもよいことは勿論で
ある。又、基板搬送容器だけでなく、プロセス装置のロ
ード/アンロード部も、ケミカルフィルタ等による有機
物やイオン状汚染物濃度を低減したり、除湿手段によっ
てウエハ雰囲気の湿度をコントロールしてもよいことは
勿論である。
【0122】また、基板搬送容器1の内部は、通常は空
気で満たされるが、酸素量を制限した不活性ガス等を使
用することで、銅被膜の酸化を防止することができる。
その酸素量としては、10000ppm以下であること
が好ましく、1000ppm以下であることが更に好ま
しい。
【0123】更に、金属表面のケミカル汚染及び粒子汚
染を防ぐため、基板搬送容器1の内部に粒子除去フィル
タ5とファンモータ7を設置して、基板搬送容器1の内
部の気体を循環させ清浄化させることで、基板間のクロ
スコンタミネーションを防ぐことができる。また、基板
搬送容器1の内部に化学吸着フィルタ6と粒子フィルタ
5の両方を設置することで、粒子及びイオン等を除去す
ることができる。なお、粒子フィルタのみを設置する
か、化学フィルタとしてイオン除去フィルタのみを使用
しても良いことは勿論である。また、基板搬送容器1の
内部にファンモータ7等を設置した場合には、基板搬送
容器1の内部に電池を備えることなく、基板搬送容器1
をベース部材等に設置した時に該ベース部材等に設けた
コンセントと通電してファンモータ7が回転するように
しても良い。
【0124】この様に、低誘電率絶縁膜と銅配線を組み
合わせた半導体チップのチップ内配線工程では、シリコ
ンウエハの表面に成膜された膜の特性によって、要求さ
れる基板搬送容器内の雰囲気は異なるので、低誘電率絶
縁膜が表面にある工程、レジストが表面にある工程、銅
膜等の金属膜が表面にある工程毎に、各々に必要な除湿
手段、ケミカルフィルタ等をその内部に設置した基板搬
送容器で搬送してもよい。更には、基板搬送容器に除湿
手段、ケミカルフィルタ、粒子フィルタを設置した基板
搬送容器によって、半導体ウエハの配線工程を全て搬送
してもよい。いずれにせよ、各工程における汚染物質を
管理濃度以下に低減した環境を容器内に構築することが
重要であるが、実際工場の各装置(装置前棚を含む)間
を稼動するすべての基板搬送容器の環境制御を行う際
は、ファンや除湿ユニット等の電気駆動部の運転条件を
送受信できる通信手段を容器に搭載することが望まし
い。
【0125】又、基板搬送容器として、既に説明した各
プロセスのプロセス装置のデータを基板搬送容器にデー
タ保存手段を有した基板搬送容器を使用する場合、図7
6に示すように、全層の配線工程終了後、経由したプロ
セス装置番号等のプロセスデータを、プロセス管理コン
ピュータに渡すと共に、チップ内の配線の電気的特性を
計測する。そして、プロセス管理コンピュータの方で、
配線検査装置からの計測データと、その対象ウエハの経
由したプロセス装置のデータを、統計データ等のデータ
処理を行い、次のロットの製造にフィードバックする。
上記プロセスデータを、図71に示した基板搬送容器に
おける基板の履歴管理用記憶装置(情報処理装置)42
9における「基板の履歴管理」と併せて説明する。通常
量産工場では各プロセス装置を複数台所有しており、同
じ工程の各装置は異なるレシピに設定されていることが
多い。そのため、そのロットが製品になるまで繰り返さ
れるプロセスの、各工程のどのプロセス装置にて処理す
るかを含めた情報を処理した時刻も含め管理することを
履歴管理という。ここでいうレシピとは、ウエハプロセ
ス処理の制御を行うために設定する、各プロセス装置へ
のプロセスシーケンス及び制御パラメータ(温度、圧
力、ガスの種類及びガス量、時間等の制御目標値)に関
する装置個別の処理プログラムのことを意味する。尚、
上記記載のプロセス装置とは半導体製造装置のことを指
すが、プロセス装置間とは、ロードポート、搬送装置、
移載装置、装置前棚、保管庫等を含めた装置間を意味す
る。基板搬送容器は、洗浄/乾燥され、又、保存データ
はクリアされ、又、次の処理ロットに使用される。又、
図76に示すように、各配線の検査を多層配線の各層の
金属配線が終了した段階で行ってもよい。
【0126】図77は、本発明の実施形態の基板搬送容
器を示す。この基板搬送容器は、フィルタ305,30
6及びファンモータ307を搭載したフィルタブロック
311が容器本体301に対して着脱自在に装着できる
ようになっている。そして、制御基板309及び2次電
池310は電装品ブロック312に搭載され、完全密封
して防水仕様になっている。電装品ブロック312のフ
ランジ部312aと、容器本体301のフランジ部30
1aとは、パッキンまたはOリング315を介して接合
し、これにフランジガイド316を用いて接合した状態
で挟み込み固定される。フランジガイド316は、図7
7(d)に示すように略コの字型の部品であり、電装品
ブロック312を容器本体1に三方から締め付け固定す
る。また、フランジ部312aと容器本体301のフラ
ンジ部301aを挟み込み固定するクランプを用いても
よい。フィルタブロック311は、容器本体内部に突起
する爪313により着脱自在に固定される。
【0127】基板搬送容器301の洗浄にあたっては、
先ずフィルタブロック311の爪313による係合を外
すことにより、フィルタブロック311を容器本体30
1から取り外す。電装品ブロック312は、上述したよ
うに完全密封した防水仕様になっているので、フィルタ
ブロック311を取り外すことによりそのまま容器本体
301を洗浄することができる。なお、電装品ブロック
312もフランジガイド316を取り外すことにより着
脱自在である。従って、電装品ブロック312を取り外
して、代わりに洗浄用のプレートを取り付けフランジガ
イド316で締め付けることにより開口部を密閉するこ
とができる。このような状態で容器301の洗浄を行っ
てもよい。また、フィルタ部311におけるフィルタの
交換は、フィルタブロック311を容器本体301から
外して容易に行うことができる。
【0128】図78は、本発明の他の実施形態の洗浄が
容易な基板搬送容器を示す。この実施形態においては、
フィルタ305,306、モータファン307、制御基
板309、2次電池310、およびこれらを接続するコ
ネクタ等は一体にブロック318に固定され、全体とし
て容器本体301に対して着脱自在に固定されている。
ブロック318はフランジ部318aを備え、フランジ
ガイド316により接合固定される。容器本体のフラン
ジ部301aとケーシング318のフランジ部318a
との間にはOリングまたはパッキングが介装され、気密
に封止される。
【0129】容器の洗浄に際しては、フランジガイド3
16を外し、フィルタブロック318を取り外す。そし
て、洗浄用プレート319を装着する。洗浄用プレート
319の装着は、容器本体のフランジ部301aにパッ
キンまたはOリングを介装して洗浄プレート319を押
し当て、これをフランジガイド316により締め付け固
定する。フランジガイド316は、図77に示す実施形
態と同様にコの字状の形状である。なお、装着を容易に
するためにフランジ部に互いにその一部に磁石を混入し
て仮固定しやすくすることも可能である。
【0130】空気浄化機能を搭載した基板搬送容器は水
洗等に適さない部品(嫌湿部品)を持つため、従来の基
板搬送容器のように丸ごと洗浄機にて洗浄することがで
きない。洗浄するには嫌湿部品を完全密封して防水仕様
にすればよいが、嫌湿部品の中でもフィルタは交換する
必要があるため容易に着脱可能な構造にする必要があ
る。そこで、フィルタ部とフィルタ部以外の電装品等の
嫌湿部品は別ユニットとし、それぞれの固定は該搬送容
器容器本体と別個に行うことにより、フィルタ部だけを
容易に外し、他の嫌湿部品(2次電池および制御基板
等)は防水仕様に密封することで該搬送容器に搭載した
まま洗浄することを可能にした。
【0131】係る構造は、当該基板搬送容器の専用洗浄
機を使用する場合には有効であるが、空気浄化機能を搭
載しない通常の基板搬送容器の洗浄機を使用することが
できない。何故ならば、空気浄化機能を搭載するため、
寸法が通常の容器と異なってくるからである。その場
合、嫌湿部品をフィルタ、電装品、その他を問わずまと
めて着脱可能にする必要がある。空気浄化機能を取り除
くと、通常の洗浄機に適合する。嫌湿部品を外した後の
該搬送容器本体には穴の空いた部分ができ、そのまま洗
浄機に掛けると容器外に洗剤を撒き散らすことになるた
め、一時的な密閉用プレートを容器にセットすることに
より汎用基板搬送容器用の洗浄機の使用を可能にした。
【0132】嫌湿部品のブロックと容器本体の接合部は
容易な着脱と水分のリークを完全に遮断するシール性を
兼ね備える必要がある。よって洗浄時にも搭載したまま
の部品との接合部は上述したようにフランジ形状を持
ち、間に面パッキンもしくはOリングを挿入する必要が
ある。尚、装着を容易にするために互いの一部に磁石を
混入し仮固定しやすくすることもできる。以上の洗浄の
後に容器を乾燥させる方法としては、ドライガスパー
ジ、温風乾燥真空乾燥、スピン乾燥のいずれをとっても
よく、洗浄部品の乾燥後、クリーンな環境下で嫌湿部品
との組立を行うことが望ましい。
【0133】次に、図79(a)、(b)、(c)を参
照して、給電の対象となる基板搬送容器について説明す
る。これは、複数の例えば直径が200mm程度の半導
体基板(被処理基板)Wを基板カセットに収納した状態
で容器410内に収容し、搬送・保管等を行うものであ
る。この基板搬送容器410は、側壁に開口部を有した
角筒状の容器本体1と、基板搬出入ドア自動開閉装置に
連結されて該容器本体の底面の開口部を機械により開閉
可能な基板搬出入ドア2と、フィルタ類及びファンモー
タの着脱を行うための開口部を覆う蓋体3と、基板を保
持するためのカセット4と、ULPAフィルタ5と、ガ
ス除去フィルタ6と、ファンモータ7と、除湿ユニット
8と、ファンモータ7及び除湿ユニット8の運転用の二
次電池と運転制御基板9等から構成されている。基板搬
送容器410の底面には、基板搬出入ドア2を機械で開
閉するためのラッチ機構411と位置決め孔412と、
二次電池充電端子413とが配置されている。
【0134】本実施形態では基板搬送容器側の充電端子
413がその底面に配置されているが、端子の位置は必
要に応じて側面や上面に配置することも可能である。な
お、図79には、参考までに基板ロット管理用情報記憶
装置(情報処理装置)414も示してある。また、本実
施形態において基板搬送容器の扉の位置は底部に配置さ
れているが、側面や上面に配置されている構造にも適用
できる。また、本実施形態においては、基板は水平方向
に保持されているが、垂直方向に保持される場合も同様
に勿論適用可能である。
【0135】基板搬送容器に搭載された二次電池を充電
する給電装置は、前記基板搬送容器410を垂直に受容
し、装置側と搬送容器側の給電端子が接触して充電及び
/又は給電するための例を示すものであり、その概要を
図80(a)、(b)に示す。この給電装置は、充電系
統と、商用電源を所定の電圧に変換後に直接ファンモー
タや除湿ユニットに電気を供給する系統を有している。
商用電源からファンモータや除湿ユニットに電気を供給
することにより、長期間の連続運転を可能にする。給電
装置は、給電装置本体415と、搬送容器の底部四隅を
導くためのガイド部材416と、ガイド部材と連携して
搬送容器を所定の位置に着座させるための位置決めピン
417と、給電装置本体内部に配置されて搬送容器の有
無及び/又は給電の要否を検知する検知手段418と、
同じく充電装置本体415内部に配置され、前記検知ス
イッチ418からの検知情報によって給電コネクタ41
9を昇降させるための端子移動機構420と、給電コネ
クタ419と、基板搬送容器を機械的に固定するための
固定機構421から構成されている。ここでいう給電装
置とは、単に充電や受電する充電器だけではなく、充電
機能を備えた扉開放装置、一時保管装置、半導体製造装
置、自動搬送装置、手動搬送装置、性能検査装置などを
全て含むものである。前記構成要素のうち、ガイド部材
417と、固定機構421は必要に応じて省略しても良
い。なお、本実施例では基板搬送容器に二次電池を搭載
しているが、二次電池を搭載していない基板搬送容器、
即ち外部電源のみで稼働する空気清浄器や除湿器を搭載
した基板搬送容器においても同様に適用可能である。
【0136】本発明の別の実施の形態として、端子を非
接触の状態で給電する方法もある。これは、電気カミソ
リ、電動歯ブラシ、電気自動車の給電方法として実績の
ある電磁誘導を利用した非接触の方法である。電磁誘導
は、外部AC電源から給電線又はコイルに流れる電流に
よって発生する磁束を、受電又はコイルコアでピックア
ップし、必要とする電力を供給するもので、一種のトラ
ンスである。電磁誘導式給電の特徴は、非接触構造にで
きるため端子が摩耗しないこと、スパークが発生しない
こと、感電の心配がないこと、及び水気の多い所でも、
ショートしないことが挙げられる。概要を図81
(a)、(b)に示す。この給電装置は、充電系統と、
商用電源を所定の電圧に変換後に直接ファンモータや除
湿ユニットに電気を供給する系統を有している。商用電
源からファンモータや除湿ユニットに電気を供給するこ
とにより、長期間の連続運転を可能にする。給電装置
は、給電装置本体415と、搬送容器の底部四隅を導く
ためのガイド部材416と、ガイド部材と連携して搬送
容器を所定の位置に着座させるための位置決めピン41
7と、給電装置本体内部に配置されて搬送容器の有無及
び/又は給電の要否の検知手段418と、同じく充電装
置本体415内部に配置され、給電電圧、電流などを制
御する制御部422と、給電線又はコイルを有したコネ
クタ419と、基板搬送容器を機械的に固定するための
固定機構421から構成されている。ここでいう給電装
置とは、単に充電や受電する充電器だけではなく、充電
機能を備えた扉開放装置、一時保管装置、半導体製造装
置、自動搬送装置、手動搬送装置、性能検査装置などを
全て含むものである。前記構成要素のうち、ガイド部材
417と、固定機構421は必要に応じて省略しても良
い。なお、本実施例では基板搬送容器に二次電池を搭載
しているが、二次電池を搭載していない基板搬送容器、
即ち外部電源のみで稼働する空気清浄器や除湿器を搭載
した基板搬送容器においても同様に適用可能である。
【0137】次に、充電時の各構成要素の動作のうち、
接触式給電について図80及び図82を用いて説明す
る。搬送容器が充電のために各種搬送手段(AGVやO
HT等)で給電装置に運ばれてくると、給電装置側のガ
イド部材416と位置決めピン417により所定の位置
に着座する。場合によっては搬送容器固定機構421に
より搬送容器が装置に固定される。この状態を図82に
示す。搬送容器410が着座すると、充電装置本体内部
の検知スイッチ418が着座を検知し、及び/又は給電
を必要とするどうかを判断し、給電が必要な搬送容器と
判断した場合は、端子移動機構420が作動する。この
端子移動機構の先端に取り付けられたコネクタが搬送容
器側の充電端子411と接触し、給電を開始する。給電
前に端子部がきちんと接触しているかどうかを判断する
ための通電確認を実施しても良い。充電及び/又は給電
が完了するか、基板処理工程の都合により移動する場合
は、先ず装置側から払出し情報が給電制御基板に伝達さ
れ、給電を停止する。次に給電端子は搬送容器の移動に
干渉しない位置まで退避し、搬送容器固定機構415が
解除され、払出し可能な状態になる。本実施例では給電
装置側の給電コネクタが上面に配置されているが、端子
の位置を規定するものではなく、必要に応じて側面や上
面に配置することも可能である。また、給電端子の移動
方向は、垂直方向の移動を規定するものではなく、必要
に応じて水平方向や斜め方向、又は垂直方向や水平方向
や斜め方向や回転運動などを適宜組み合わせて用いても
良い。
【0138】次に、充電時の各構成要素の動作のうち、
非接触式給電について図81及び図83を用いて説明す
る。搬送容器が充電のために各種搬送手段(AGVやO
HT等)で給電装置に運ばれてくると、給電装置側のガ
イド部材416と位置決めピン417により所定の位置
に着座する。場合によっては搬送容器固定機構421に
より搬送容器が装置に固定される。この状態を図83に
示す。搬送容器410が着座すると、充電装置本体内部
の検知スイッチ418が着座を検知し、及び/又は給電
を必要とするどうかを判断し、給電が必要な搬送容器と
判断した場合は、給電を開始する。給電前に端子部がき
ちんと通電可能な状態になっているかどうかを判断する
ための通電確認を実施しても良い。充電及び/又は給電
が完了するか、基板処理工程の都合により移動する場合
は、先ず装置側から払出し情報が給電制御基板422に
伝達され、給電を停止する。次に搬送容器固定機構が解
除され、払出し可能な状態になる。本実施例では給電装
置側の給電コネクタが上面に配置されているが、端子の
位置を規定するものではなく、必要に応じて側面や上面
に配置することも可能である。また、装置側の給電端子
は、接触式と同様に可動式にしても良い。移動方向は、
垂直方向、水平方向、斜め方向、又は垂直方向や水平方
向や斜め方向や回転運動などを適時組み合わせて用いて
も良い。
【0139】基板搬送容器の着座検知手段としては、メ
カニカルスイッチ、近接スイッチ、光電センサ等があ
る。メカニカルスイッチは、最も一般的な検知手段であ
り、押しボタン型、ロータリー型、スライド型、ジョイ
スティック型、トルク型など多種類あり、小型スイッチ
も市販されている。近接スイッチは、磁界や電界を利用
して物体の接近を検知するものである。非接触の検知手
段であり、検出物体が金属や非金属である場合に有効で
ある。光電センサは、拡散反射式、ミラー反射式、透過
式などがある。拡散反射式は、投光部から出た光が検出
物体に当たり、拡散・反射し、反射光の一部が受光部に
戻り、動作するものである。ミラー反射式は、投光部か
ら出た光がミラーに反射し、受光部に戻るもので、光を
遮る検出物体があると動作するものである。透過式は、
投光部と受光部を別々の場所に配置し、投光部と受光部
の間を検出物体が遮ることにより検出するものである。
上記実施形態では、寸法、形状、価格、信頼性を考慮し
て、これらの内のいずれかを選択することができる。
【0140】コネクタは、嵌め込み式、クリップ式、接
触式コネクタがある。嵌め込み式はプラグ部とソケット
部を嵌め込む構造で、電源ケーブルや通信ケーブルなど
に幅広く使われている。抜き差しが少ない用途では最も
確実な接続手段である。クリップ式は、一時的に接続を
する場合に多く用いられるもので、導通部をクリップす
るもので、本実施形態にはあまり適さない。接触式は、
一般的にはスプリング部と平板部が点で接触して導通す
る方法である。コネクタを配置する空間が狭い場合に有
効な接続手段である。接触式のスプリング部は、板バネ
形状とコイル形状があり、どちらも多種類が製品化され
ている。本実施形態では、クリップ式を除く上記接続手
段が有効であるが、省スペースの観点から見れば、接触
式が最も望ましい。
【0141】上述したように、空気清浄器及び/又は電
気式除湿機を容器に搭載する場合、駆動電源が必要にな
る。駆動電源は、搬送容器自体に二次電池などの電源を
搭載する方法と、外部から給電する方法の2種類ある。
【0142】先ず、二次電池を搭載する方法について説
明する。搬送容器に電池を搭載する目的は、外部から電
源供給が出来ない場合でも空気清浄器及び/又は電気式
除湿機を運転し、容器内の汚染物質濃度及び湿度を低レ
ベルに維持することにある。搭載する電池は充放電可能
な二次電池、例えば鉛蓄電池、ニッカド電池(Ni−C
d)、ニッケル水素電池(Ni−MH)、リチウムイオ
ン電池(Li−ion)、ポリマー電池などが考えられ
る。ポリマー電池は固体状又はゲル状のポリマー電解質
を使うため、他の電池と違い液漏れの心配が無く、金属
缶体が不要になり安全性も向上する。また、形状が自由
に設計できる利点がある。この他に、太陽電池の利用も
考えられる。太陽電池の使用方法としては、直接ファン
モータ等を駆動する用途と、搭載している二次電池を充
電する用途が考えられる。空気清浄器及び/又は電気式
除湿機を搭載した基板搬送容器は、上記二次電池又は、
太陽電池又は、二次電池と太陽電池を組合せて使用でき
る。
【0143】充電場所としては、例えばドア開閉装置、
搬送装置、保管庫、その他ローカルの専用充電器などが
考えられる。二次電池を搭載する場合、搬送容器が長時
間滞在する場所だけに充電器を配置すれば済むことであ
る。長時間搬送容器が滞在する場所は、例えばドア開閉
装置と保管庫が考えられる。従って、搬送装置による搬
送の間だけ二次電池による運転を行なえば良く、万一搬
送装置の不具合などによって搬送容器が停滞したとして
も、容器内を清浄に保つことができる。
【0144】最も一般的な急速充電方法は、図84に示
すフローで行なう。先ず予備充電として0.2〜0.3
cmAで充電し、この間の電池電圧を確認する。電池電
圧が所定の電圧以上であれば急速充電に移行する。次
に、最大1cmAで急速充電する。急速充電の終点は、
単位時間当たりの電池温度上昇率(dT/dt)が設定
した値に達した時である。これは、電池に流した電気エ
ネルギーが電池温度の上昇として現れた時を充電完了と
して判断する方法である。急速充電完了時には、電池容
量の80〜90%まで充電される。続いて、トリクル充
電として1/20〜1/30cmAの低電流で充電す
る。トリクル充電が完了すると、電池容量は100%に
なる。
【0145】一般的な急速充電方法は、次の手順により
行う。 0.2〜0.3cmAで充電し、この間の電池電圧を
確認する。電池電圧が所定の電圧以上であれば急速充電
に移行する。 最大1cmAで急速充電する。急速充電の終点は、単
位時間当たりの電池温度上昇率(dT/dt)が達成し
た値に達した時である。急速充電完了時は電池容量の8
0〜90%まで充電される。 1/20〜1/30の低電流で充電する。トリクル充
電が完了して、電池容量は100%になる。
【0146】更に電池保護と正確な電池残量を表示する
ための容器側制御部を図85に示す。この装置は、容器
側に図85の情報処理機能を持った制御基板を、充電器
側には図86に示す情報処理機能を持った制御基板を持
つ。容器側の「測定結果入出力部」は、電池容量とファ
ンモータ及び/又は電気式除湿機の運転時間、電力消費
率などが入力され、次に「制御演算部」で必要なデータ
に演算処理され、電池容量、消費電力積算値などの情報
として「メモリ」に格納される。「運転制御信号入出力
部」は、容器固有のID番号、ファンモータ及び/又は
電気式除湿機の運転パターンなどの運転条件が入力さ
れ、「メモリ」に格納される。「メモリ」に格納されて
いる制御演算動作に従い電池の残容量など、容器の運転
状態を出力する。「インターフェイス部」は、有線また
は無線で充電器と接続された場合に、「メモリ」に格納
された情報を充電器に転送する機能を有する。充電器側
の「インターフェイス部」は、容器が充電器に接続され
た場合に、容器側の「メモリ」に格納された容器固有の
ID番号や消費電力積算値などの情報が入力され、「制
御演算部」で必要なデータに加工され、充電時間等の様
々な情報として「メモリ」に格納される。「充電制御回
路部」は、「メモリ」に格納されている充電時間などの
様々な情報や、「インターフェイス部」を介して容器側
の「測定結果入力部」から出力される電圧または電流等
の情報も含めた制御演算動作で電池の充電を行う。即
ち、この方法は、容器側の制御基板によって電池残量や
運転時間、消費電力積算値が情報として「メモリ」に格
納されるため、より正確な電池残量が表示できる。ま
た、電池容量を常に演算しているため、二次電池の過充
電による性能劣化を防止することができる。また、充電
器側は、容器側の二次電池の残容量から最短の充電時間
を演算し、表示することができる。
【0147】次に電気系統構成について説明する。例え
ば、二次電池を充電するための充電系と空気清浄器及び
/又は電気式除湿機を運転するための給電系を一緒にし
た場合、空気清浄器及び/又は電気式除湿機の運転電力
は、常に二次電池から消費される。この方法では、二次
電池の充放電が繰り返され、結果として寿命を縮めてし
まう。容器が専用充電器に接続している時は、充電系と
給電系を独立させることが好ましい。充電系と給電系を
独立させることにより、容器が充電装置に接続されてい
る時は、二次電池は充電されるだけになり、電池の長寿
命化が図れる。この効果は、専用充電器に接続されてい
る時間が長ければ長いほど顕著になる。
【0148】次に、外部から給電する方法について説明
する。空気清浄器及び/又は電気式除湿機の駆動電源を
全て外部から供給する目的は、電池を搭載しないことに
より重量低減、価格低減を図ることである。基板搬送容
器の給電場所としては、例えばドア開閉装置上で待機し
ている時、搬送装置での搬送時、保管庫での保管時、そ
の他ローカルの給電装置での待機時などが考えられる。
この方法は、容器が動く範囲で給電装置を設ける必要が
あることであるといった欠点もあるが、容器の軽量化と
価格低減が達成できる。本発明の空気清浄器及び/又は
電気式除湿機を搭載した搬送容器は、二次電池を搭載し
ても、外部電源によって駆動させても良い。
【0149】次に、以下、基板搬送容器の他の給電方法
例について図面を用いて説明する。図87(a)、
(b)、(c)は、容器本体1と、二次電池451、運
転制御基板453、受電端子454、反応板455を内
蔵又は取り付けたドア2と、粒子除去フィルタ5、ガス
状汚染物捕捉フィルタ6、除湿器8、送風装置7、整流
板23、接続端子19で構成された空気清浄器を搭載し
た基板搬送容器が給電する時の流れを説明するものであ
る。本基板搬送容器を給電するための給電装置は、ポー
トドア463、ポートドア移動装置464、搬送容器固
定アーム465、給電端子466、給電端子移動機構4
67、容器識別センサ468などで構成されている。給
電機能を持った装置は、例えばドア開閉装置、保管庫、
保管棚、AGV、RGV、PGV、OHS等の搬送装
置、検査装置を示す。基板搬送容器が給電装置に着座す
ると、搬送容器固定アーム465で搬送容器を固定する
のと同時に容器識別センサ468によって給電が必要か
どうかを検知し、専用の反応板によって給電が必要と認
識されると給電を行なう。給電初期に搬送容器側と給電
装置側の端子が正しく接触していることを確認するため
の導通確認を実施してもよい。搭載するフィルタと除湿
器は用途に応じて組合せを変えても良い。また、整流板
23は、無くしても良い。容器側面にドアが配置された
基板搬送容器の場合は、反応板又は反応シールを容器本
体底部に配置しても良い。
【0150】図88(a)、(b)、(c)は、容器本
体1と、反応板又は反応シール455、ドア開閉検知セ
ンサ469を内蔵又は取り付けたドア2と、粒子除去フ
ィルタ5、ガス状汚染物捕捉フィルタ6、除湿器8、送
風装置9、整流板23、二次電池9、運転制御基板45
3、受電端子454、ドア開閉検知センサで構成された
空気清浄器を搭載した基板搬送容器が給電する時の流れ
を説明するものである。前記ドア開閉検知センサ469
は、ドアの開閉を検知してファンモータ及び/又は除湿
器の運転を調整するために取り付けられている。例えば
ドアが開放状態の時は搬送容器外の汚染した空気を吸い
込むのを防止するため、運転を停止したり、ファンモー
タの回転数を調整したりする。ドアの開閉ではなく、カ
セット及び/又はウエハの有無を検知して空気清浄器の
運転を調整しても良い。又は本基板搬送容器を給電する
ための給電装置は、ポートドア463、ポートドア移動
装置464、搬送容器固定アーム465、給電端子46
6、給電端子移動機構467、容器識別センサ468な
どで構成されている。給電機能を持った装置は、例えば
ドア開閉装置、保管庫、保管棚、AGV、RGV、RG
V、PGV、OHT等の搬送装置、検査装置を示す。基
板搬送容器が給電装置に着座すると、搬送容器固定アー
ム465で搬送容器を固定するのと同時に容器識別セン
サ468によって給電が必要かどうかを検知し、専用の
反応板又は反応シールによって給電が必要と認識される
と給電を行なう。給電初期に搬送容器側と給電装置側の
端子が正しく接触していることを確認するための導通確
認を実施してもよい。搭載するフィルタと除湿器は用途
に応じて組合せを変えても良い。また、整流板23は、
無くても良い。容器側面にドアが配置された基板搬送容
器の場合は、反応板又は反応シールを容器本体底部に配
置しても良い。
【0151】図89(a)、(b)、(c)は、基板搬
送容器の(a)搬送状態、(b)着座状態、(c)ドア
下降状態をそれぞれ示す図である。容器本体1と、反応
板又は反応シール455、ドア開閉検知センサ469を
内蔵又は取り付けたドア2と、粒子除去フィルタ5、ガ
ス状汚染物捕捉フィルタ6、除湿器8、送風装置7、整
流板23、運転制御基板453、受電端子454、ドア
開閉検知センサで構成された空気清浄器を搭載した基板
搬送容器が給電する時の流れを説明するものである。前
記ドア開閉検知センサは、ドアの開閉を検知してファン
モータ及び/又は除湿器の運転を調整するために取り付
けられている。例えばドアが開放状態の時は搬送容器外
の汚染した空気を吸い込むのを防止するため、運転を停
止したり、ファンモータの回転数を調整したりする。ド
アの開閉ではなく、カセット及び/又はウエハの有無を
検知して空気清浄器の運転を調整しても良い。本基板搬
送容器を給電するための給電装置は、ポートドア46
3、ポートドア移動装置464、搬送容器固定アーム4
65、給電端子466、給電端子移動機構467、容器
識別センサ468などで構成されている。給電機能を持
った装置は、例えばドア開閉装置、保管庫、保管棚、A
GV、RGV、PGV、OHS等の搬送装置、検査装置
を示す。基板搬送容器が給電装置に着座すると、搬送容
器固定アーム465で搬送容器を固定するのと同時に容
器識別センサ468によって給電が必要かどうかを検知
し、専用の反応板又は反応シール455によって給電が
必要と認識されると給電を行なう。給電初期に搬送容器
側と給電装置側の給電端子が正しく接触していることを
確認するための導通確認を実施してもよい。搭載するフ
ィルタと除湿器は用途に応じて組合せを変えても良い。
また、整流板23は、無くても良い。容器側面にドアが
配置された基板搬送容器の場合は、反応板又は反応シー
ルを容器本体底部に配置しても良い。
【0152】図90(a)、(b)、(c)は、基板搬
送容器の(a)搬送状態、(b)着座状態、(c)ドア
下降状態をそれぞれ示す図である。容器本体1と、反応
板又は反応シール455、ドア開閉検知センサ469、
ガス給気口470、ガス排気口471を内蔵又は取り付
けたドア2と、粒子除去フィルタ5、ガス状汚染物捕捉
フィルタ6、除湿器8、送風装置7、整流板23、運転
制御基板453、受電端子454、ドア開閉検知センサ
469で構成された空気清浄器を搭載した基板搬送容器
が給電する時の流れを説明するものである。前記ドア開
閉検知センサ469は、ドアの開閉を検知してファンモ
ータ及び/又は除湿器の運転を調整するために取り付け
られている。例えばドアが開放状態の時は搬送容器外の
汚染した空気を吸い込むのを防止するため、運転を停止
したり、ファンモータの回転数を調整したりする。ドア
の開閉ではなく、カセット及び/又はウエハの有無を検
知して空気清浄器の運転を調整しても良い。ガス給気口
470及び排気口471には逆止弁と粒子除去濾材が内
蔵されているが、更にガス状汚染物除去メディアを充填
しても良い。本基板搬送容器を給電するための給電装置
は、ポートドア463、ポートドア移動装置464、搬
送容器固定アーム465、給電端子466、給電端子移
動機構467、容器識別センサ468、容器側のガス給
気口470及び排気口471と連結してガス置換を行な
うための給気導管472及び排気導管473で構成され
ている。給電機能を持った装置は、例えばドア開閉装
置、保管庫、保管棚、AGV、RGV、PGV、OHS
等の搬送装置、検査装置を示す。基板搬送容器が給電装
置に着座すると、搬送容器固定アーム465で搬送容器
を固定するのと同時に容器識別センサ468によって給
電が必要かどうかを検知し、専用の反応板又は反応シー
ルによって給電が必要と認識されると給電を行なう。給
電初期に搬送容器側と給電装置側の端子が正しく接触し
ていることを確認するための導通確認を実施してもよ
い。更に、ウエハを装置内に搬入する場合、又は払い出
す場合に、給気導管472、給気口470、排気口47
1、排気導管473を利用して窒素ガス置換することも
できる。搭載するフィルタと除湿器は用途に応じて組合
せを変えても良い。また、整流板23は、無くしても良
い。容器側面にドアが配置された基板搬送容器の場合
は、反応板又は反応シールを容器本体底部に配置しても
良い。ガス給気口及び排気口は容器本体側に配置しても
良い。
【0153】図91は、容器本体1と、ドア開閉検知セ
ンサ469を内蔵又は取り付けたドア2と、粒子除去フ
ィルタ5、ガス状汚染物捕捉フィルタ6、除湿器8、送
風装置7、整流板23、二次電池9、運転制御基板45
3、受電端子454、整流器474、ドア開閉検知セン
サ469で構成された空気清浄器を搭載した基板搬送容
器が給電する時の流れを説明するものである。前記ドア
開閉検知センサ469は、ドア2の開閉を検知してファ
ンモータ及び/又は除湿器の運転を調整するために取り
付けられている。例えばドアが開放状態の時は搬送容器
外の汚染した空気を吸い込むのを防止するため、運転を
停止したり、ファンモータの回転数を調整したりする。
ドアの開閉ではなく、カセット及び/又はウエハの有無
を検知して空気清浄器の運転を調整しても良い。本基板
搬送容器を給電するための給電装置は、ポートドア45
6、搬送容器固定アーム465、給電端子466、給電
制御基板475などで構成されている。給電機能を持っ
た装置は、例えばドア開閉装置、保管庫、保管棚、AG
V、RGV、PGV、OHS等の搬送装置、検査装置を
示す。基板搬送容器が給電装置に着座すると、搬送容器
固定アーム465で搬送容器を固定する。容器識別セン
サと反応板を追加して、給電が必要かどうかを検知し、
専用の反応板又は反応シールによって給電が必要と認識
されると給電を行なう要にしても良い。本実施例は非接
触給電方式であり、受電端子は線、コイル又はコアであ
る。給電端子も線、コイル又はコアである。整流器47
4は受電端子454から流れた交流を直流に変換するた
めのものである。搭載するフィルタと除湿器は用途に応
じて組合せを変えても良い。また、整流板23は、無く
ても良い。
【0154】図92は、容器本体1と、ロボット把持手
段476と、ドア開閉検知センサ469を内蔵又は取り
付けたドア2と、粒子除去フィルタ5、ガス状汚染物捕
捉フィルタ6、除湿器8、送風装置7、整流板23、運
転制御基板453、受電端子(コイル)454、整流器
474、ドア開閉検知センサ469で構成された空気清
浄器を搭載した基板搬送容器が給電する時の流れを説明
するものである。本基板搬送容器を給電するための給電
装置は、例えばOHTのような天井搬送装置である。O
HTは、図93に示すように、天井に固定されたガイド
レール477、ホイスト478、ハンドリングアーム4
79から構成されており、一般的にリニアモータによっ
て推進力を得る。ガイドレールは少なくとも磁性体48
0と、給電線481を有している。移動体482は、一
次コイル483、垂直方向の荷重を支える車輪484
と、水平方向の荷重を支える車輪485と、移動体側給
電コイル486を有している。ハンドリングアーム47
9は、給電コイル487を有しており、給電線481に
よって移動体側給電コイルを経て、一部は移動体の推進
力へ、一部はホイストの巻き上げ力へ、そしてハンドリ
ングアームの給電コイル487から搬送容器側受電コイ
ル454に電気が流れ、整流器474でAC/DC変換
され、更に運転制御基板453で所望の電圧に変換され
てファンモータ及び/又は除湿器を運転する。容器識別
センサと反応板を追加して、給電が必要かどうかを検知
し、専用の反応板又は反応シールによって給電が必要と
認識されると給電を行なうようにしても良い。搭載する
フィルタと除湿器は用途に応じて組合せを変えても良
い。また、整流板23は、無くても良い。
【0155】ドア開閉検知センサの代わりに、カセット
の有無を検知するセンサを取り付けても良い。検知セン
サの取り付けは例えばカセット下部、側面、上面、前
面が可能であり、どこに取り付けても構わない。カセッ
トの検知方法は機械的センサ、光電式センサ、磁気セン
サ、近接センサなどがあるが、カセットに直接接触しな
い、非接触式センサが好ましい。
【0156】次に、基板搬送容器の情報管理システムに
ついて図94乃至図99を参照して説明する。この実施
形態においては、基板搬送容器にはその内部に収納され
たウエハ等に関する情報を蓄積するデータ記憶手段70
0、例えばマイクロタグやスマートタグ等の情報保持装
置を備えている。ここでいう内部に収納されたウエハ等
に関する情報とは、例えばウエハ枚数、処理状況、処理
レシピ、ロットIDを指すが内容物がマスク等の場合は
それに対した情報である。図94に中央集中管理におけ
るシステムを示す。ウエハに関する情報を読み取りたい
場所に通信アンテナ701を配置し、その場所に到着し
たデータ記憶手段の情報はコントローラ702を介しホ
ストコンピュータ703に送られる。現場のそれぞれの
製造装置704や容器保管用のストッカー705の制御
部もホストコンピュータと連結されており、容器内部に
収納されたウエハに関する情報は瞬時に確認され、製造
装置等の制御部は、容器内部に保持した情報通りの処理
を本体側に指令する。処理が終了するとその情報が新た
にデータ記憶手段に書き込まれ、移動すべき装置へ同じ
くホストコンピュータの指示を受けた自動搬送ツール
(例えばAGVなど)706を介して移送される。一
方、現場管理におけるシステムを図95に示す。現場に
は、インターフェイスモジュール707を備え、マイク
ロタグやスマートタグの情報を読み取ったり書き込んだ
りする。マイクロタグは、キャリア、容器(Pod)、
その他の情報を管理したい対象物に取り付け可能なデー
タ記憶手段である。また、スマートタグも同様な記憶手
段であるが、その場で記憶内容の情報を読み取ることが
できる。このようにホストコンピュータを介さずに現場
でオペレータが容器内部に収納されたウエハ等の情報を
確認したり、現場で情報の上書きをすることも可能であ
る。
【0157】次に、図96を参照して、基板搬送容器の
フィルタの寿命及び容器の洗浄時期の管理システムにつ
いて説明する。基板搬送容器はフィルタの寿命と容器の
洗浄時期を管理するため駆動部分運転積算時間γを蓄積
するデータ記憶手段を備えている。この記憶手段には、
演算手段を設けてもよい。いうまでもないが容器のデー
タ記憶手段と容器内に収納された内容物の情報を蓄積す
るデータ記憶手段は共通媒体を用いるのが望ましい。
【0158】演算素子に、あらかじめ駆動部分運転積算
時間γがある値を超えたら洗浄するように、ある値を超
えたらフィルタを交換するようにとの演算結果情報が、
データ記憶手段700に記憶され、その情報は中央集中
管理の場合ホストコンピュータからAGV706及び容
器洗浄機708へ送られ、容器の洗浄及びフィルタの交
換709が可能である。もちろん現場管理の場合では表
示部にフィルタ交換、容器洗浄の情報を出力することに
よりオペレータに伝達することが可能である。
【0159】図98は他の管理システム例を示し、基板
搬送容器はフィルタの寿命管理の精度を上げるため、単
位時間当たりの汚染ガス処理量αと駆動部分運転積算時
間γを蓄積するデータ記憶手段700を備えている。こ
の手段には演算素子をつけてもよい。いうまでもないが
容器のデータ記憶手段と容器内に収納された内容物の情
報を蓄積するデータ記憶手段は共通媒体を用いるのが望
ましい。演算素子に、あらかじめ駆動部分運転積算時間
γがある値を超えたら洗浄するように、単位時間あたり
の汚染ガス処理量αと駆動部分運転積算時間γの積が、
ある値を超えたらフィルタを交換するように、との演算
結果情報がデータ記憶手段に記憶される。その情報は中
央集中管理の場合、ホストコンピュータからAGV及び
容器洗浄機へ送られ、容器の洗浄及びフィルタの交換時
期の確認が可能である。もちろん現場管理の場合では表
示部にフィルタ交換、容器洗浄の情報を出力することに
より、直接オペレータに伝達することも可能である。
【0160】図98は、更に他の管理システムを示す。
基板搬送容器はフィルタの寿命管理の精度を上げるた
め、ガスセンサーを搭載し、ガスセンサー測定値より求
めた単位時間当たりの汚染ガス処理量βと駆動部分運転
積算時間γを蓄積するデータ記憶手段を備え、演算素子
を備えてもよい。いうまでもないが容器のデータ記憶手
段と容器内に収納された内容物の情報を蓄積するデータ
記憶手段は共通媒体を用いるのが望ましい。ガスセンサ
ーとしては呈色式ガスモニター、半導体センサー、水晶
振動子センサー等が挙げられるがこれに限定するもので
はない。演算素子に、あらかじめ駆動部分運転積算時間
γがある値を超えたら洗浄するように、との演算結果情
報がデータ記憶手段に記憶される。ガスセンサー指示値
と測定所要時間から単位時間あたりの汚染ガス処理量β
を演算してデータ記憶手段に記憶させる。そして、それ
ぞれの情報が中央集中管理の場合、ホストコンピュータ
からAGV及び容器洗浄機へ送られ、容器の洗浄及びフ
ィルタの交換が可能である。もちろん現場管理の場合で
は表示部にフィルタ交換、容器洗浄の情報を出力するこ
とによりオペレータに伝達することも可能である。
【0161】更に図99に示すように、システム全体の
管理を円滑に行うため、基板搬送容器側の演算素子は時
計機能と駆動部品の故障情報を蓄積するデータ記憶手段
を備えることが望ましい。基板搬送容器自体に時計機能
を備えることにより、どれくらいの頻度で洗浄、フィル
タ交換、駆動部品の故障が生じるのか、ある程度予想が
つくため、運用面で非常に有効である。また万が一基板
搬送中に駆動部品の故障が起こった場合でも、その時期
以後のプロセスに係る検査を優先して行えばよいので、
不良ロットの検索時間を短縮可能である。
【0162】各工程における基板搬送容器の環境制御情
報(前記容器のデータ)とロットの履歴(内容物の情
報)のデータ記憶手段を共通媒体を用いた場合の、基板
搬送容器の情報管理システムの運用例を、配線工程にお
けるフロー図(図76参照)を用いて説明する。絶縁膜
の形成処理が終了すると、処理終了の情報が新たにデー
タ記憶手段に書き込まれる。また、あらかじめ記憶され
たあるいは外部から送信された次工程までの基板搬送容
器の環境制御情報を受け、酸化膜抑制のため水分と有機
物の環境制御を優先的行うような電気駆動部の運転を行
う。例えば除湿ユニットを複数台稼動させファンを連続
もしくは間欠的に運転させる。また、移動すべきレジス
ト塗布装置(コーター)への搬送は、同じくホストコン
ピュータの指示を受けた自動搬送ツール(例えばAGV
など)706を介して行われる。基板搬送容器が目的の
コーターに到着すると、そのコーターのレシピとそのロ
ットのこれから行われるべき処理の整合性が確認され、
一致した時のみ処理が開始される。レジスト塗布、露
光、現像がインターフェイス部で連続している場合搬送
容器は使用されないので環境制御情報は現像からエッチ
ング間まで空白でよいが、装置トラブル等で緊急避難的
に搬送容器にて保管する際は外部からの送信によりT−
TOP抑制のため塩基の環境制御を優先的に行うような
電気駆動部の運転を行うように記憶内容をデータ記憶手
段に書き込む。例えば除湿ユニットは停止もしくは1台
だけ稼動させ、ファンを連続もしくは間欠的に運転させ
る。ロットの履歴(内容物の情報)と製造装置、搬送装
置の応答は同じなので、以降のプロセスについては環境
制御情報についてのみ説明する。
【0163】現像装置とエッチング装置間の搬送は、レ
ジスト塗布、露光、現像工程間ほど塩基の環境制御を優
先的に行う必要はない。金属膜形成工程をエッチング、
アッシャー、CVD(化学蒸着装置)にて行う場合、工
程間はクラスターツール等のマルチチャンバーにより真
空のまま枚葉で処理されるため環境制御を必要としな
い。しかし、金属膜形成工程がエッチング、アッシャ
ー、めっきにて行う際、もしくはマルチチャンバー故障
等枚葉処理ができない場合、エッチング後の絶縁膜溝側
面の化学汚染が問題になる場合は、エッチング装置から
金属膜形成装置までの搬送を除湿剤又は除湿器に加え、
ケミカルフィルタが設置されている基板搬送容器で搬送
する。その場合は水分と酸、有機物のすべてもしくはい
ずれかの環境制御を優先的に行う。
【0164】更に、銅膜がその表面に形成されたシリコ
ンウエハをCVD、めっき装置などの金属膜形成装置か
らアニール装置を経由して、CMP装置、更にコータ、
CVDなどの絶縁膜形成装置へ基板搬送容器で搬送する
場合には、基板搬送容器1の内部に、除湿器、除湿剤等
の除湿手段を設けて基板搬送容器1の内部の湿度を制御
することで、酸化膜成長を防ぐことが出来る。この場
合、基板搬送容器1の内部の湿度を10%以下に抑える
のが好ましく、5%以下に抑えるのが更に好ましい。非
常にわずかな酸化膜成長も起こさないようにするには、
容器の扉2の開後10分以内に所定の湿度まで低減する
ことが好ましく、3分以内に低減することが更に好まし
い。この場合は水分と有機物の環境制御、とくに水分制
御を優先的に行うような電気駆動部の運転を行う。例え
ば除湿ユニットを複数台稼動させファンを連続もしくは
間欠的に運転する。
【0165】次に、基板搬送容器の情報管理システムの
他の実施例について説明する。
【0166】この基板搬送容器においては、上述したよ
うに、演算素子と、記憶素子と、データの入出力手段と
からなる演算処理ユニットを備えている。演算処理ユニ
ットは、ファンモータや除湿ユニットといった駆動部品
の運転積算時間、故障情報、二次電池の残電圧といった
基板搬送容器自体の情報を記憶するだけでなく、外部か
らの情報書き込みにより単位時間当たりの汚染ガス処理
量、駆動部品運転レシピ、必要充電圧、ロットのプロセ
ス履歴管理情報を記憶することが可能である。無論、容
器内にガスセンサーを搭載させた場合には書き込み値と
してではなく実測値として汚染ガス処理量を演算して記
憶することが可能である。尚、以下に記載する様に、粒
子状汚染物質除去フィルタ、ガス状不純物捕捉フィルタ
6、ファンモータは、それぞれ単独の使用、組合せの使
用、取りつけ位置、および特性は使用するプロセスによ
りそれぞれ異なってもよい。
【0167】汚染物質除去手段を搭載した基板搬送容器
は粒子状汚染物質やメタル性汚染物質を粒子除去フィル
タにより、イオン性汚染物質や有機性汚染物質をガス状
不純物捕捉フィルタによりそれぞれ除去する。また、固
体高分子電解質膜により水分を分解する除湿手段もしく
は除湿剤により水分を除去する。基板搬送容器内の除去
対象成分としては、上述したように各種あるが、プロセ
スごとに除去対象成分は異なるため、必ずしも全ての汚
染物質除去手段を搭載する必要はない。例えば露光後の
半導体基板の容器内保管は粒子状汚染物質と塩基性汚染
物質の除去に特化した基板搬送容器であれば良い。ま
た、メタル成膜後の半導体基板は粒子状汚染物質、有機
性汚染物質、水分の除去に特化した基板搬送容器であれ
ば良い。一方、洗浄後の半導体基板の保管はむしろ次プ
ロセスが何であるかによって除去対象汚染物質成分が異
なる。更に、今後成膜処理を皮切りに新材料の採用が予
想され、その材料の採用不採用も環境制御が可能かどう
かに大きく影響されるといわれている。
【0168】一方、プロセスによっては処理後の半導体
基板からの脱ガスが多く、フィルタの寿命を早めてしま
う可能性がある。RIE(反応性イオンエッチング)後
の半導体基板から生じる酸性ガスの脱ガスや、露光工程
で持ち込まれる有機溶媒等の脱ガス等がそれにあたる。
従来のロットと基板搬送容器が一対一で対応した運用を
していては、浄化しても意味の無い工程でフィルタの寿
命を早めてしまい、肝心な工程でフィルタが寿命を迎え
て必要なクリーン度を保てないことも想定される。しか
しながら、本発明の基板搬送容器の使用方法を採用す
る、すなわちプロセスに適合した汚染物質除去手段を搭
載した基板搬送容器を各プロセス間の往復に使用するこ
とにより、そのプロセス工程間で除去すべき成分の浄化
のみを効率的におこなってもよい。
【0169】従来のロットと基板搬送容器が一対一で対
応した運用の場合には、プロセスごとに持ちこまれる汚
染ガス種、ガス濃度、除去対象ガス種及び管理濃度が異
なるため、浄化機能を十分に維持しているかどうかの管
理が困難である。しかしながら汚染物質除去手段を搭載
した基板搬送容器を各プロセス間の往復に使用する場合
は、プロセスごとに除去対象ガス種とその発生量が明確
である。このため、予め各工程の半導体ウエーハを保管
した際の単位時間あたりのフィルタの汚染ガス処理量α
を測定し、駆動部品の運転積算時間γとの積がデータ記
憶手段に書き込まれたフィルタ交換のしきい値に到達し
ているかどうかによって、フィルタの交換時期を判断す
ることが好ましい。同様に予め各工程の基板搬送容器の
洗浄頻度を決めておけば駆動部品の運転積算時間γから
洗浄時期を判断することが可能である。
【0170】従来のロットと基板搬送容器が一対一で対
応した運用の場合には、プロセスごとに持ちこまれる汚
染ガス種、ガス濃度、除去対象ガス種及び管理濃度が異
なるため、該容器内のガス濃度をガスセンサーで測定す
るにしても汚染ガス種ごとのセンサーを搭載する必要が
あり現実的ではない。しかしながら、汚染物質除去手段
を搭載した基板搬送容器を各プロセス間の往復に使用す
る場合は、プロセスごとに除去対象ガス種が明確である
ので、センサーも除去対象ガス種専用センサーのみ搭載
すれば良い。ガスセンサー測定値から単位時間あたりの
フィルタの汚染ガス処理量βを測定し、駆動部品の運転
積算時間γとの積がフィルタ交換のしきい値に到達して
いるか否かによって、フィルタの交換時期を判断するこ
とができる。同様に予め各工程の容器洗浄頻度を決めて
おけば部品運転積算時間γから洗浄時期を判断すること
が可能である。
【0171】以下に各プロセスごとの基板搬送容器の汚
染物質除去手段の選定およびフィルタ寿命について具体
例を述べる。例えば、露光工程を例に取ると、該工程後
の基板保管における除去対象汚染物質成分は粒子状汚染
物質と塩基性ガスであり、有機性汚染物質の除去及び水
分の除去機能は不要である。しかも該工程はレジスト溶
剤等の有機物を多く用いるため有機性汚染物質除去フィ
ルタを用いるとその寿命を早めることになる。さらに塩
基性ガス除去フィルタの性能は湿度に依存するため、水
分除去機能の併用は塩基性ガス除去フィルタの寿命を早
めることになる。不要な機能を除いて本来必要な機能に
特化した専用器としての基板搬送容器の使用では、フィ
ルタへの負荷は、基板搬出入ドア開放時にクリーンルー
ム環境から入るパーティクル及び塩基性ガスのみであ
る。従って、フィルタは品質保証年数まで交換が不要で
ある。
【0172】同様にメタル成膜(Cu)工程を例に取る
と、該工程後の基板保管における除去対象汚染物質成分
は主に粒子状汚染物質と有機性汚染物質と水分である。
あえてイオン性汚染物質を除去する必要性はない。該工
程終了後の半導体基板からは除去対象成分の脱ガスはほ
とんど無いので、専用器としての運用では、フィルタへ
の負荷は基板搬出入ドア開放時にクリーンルーム環境か
ら入る粒子状汚染物質と有機性汚染物質のみである。
【0173】他工程については詳細には記載しないがい
ずれの運用においても、汚染物質除去手段を搭載した基
板搬送容器を各プロセス間の往復に使用した場合にはフ
ィルタ品質保証年数までその交換は不要である。
【0174】表1は従来の基板搬送容器をロットと一対
一で対応した使用方法と、各プロセス間の往復にのみ使
用した使用方法におけるフィルタの寿命比較を示した一
例である。基板搬送容器をロットと一対一で対応した使
用方法では、清浄化しても意味の無い工程でフィルタ類
の寿命を早めてしまい、肝心な工程で必要なクリーン度
を保てなくなり交換せざるを得なくなる。しかしながら
本発明の使用方法を採用することで、すなわちプロセス
に合わせた汚染物質除去手段を搭載した基板搬送容器を
各プロセス間の往復に使用することにより、その工程間
で除去すべき汚染物質成分の浄化のみを効率的に行うこ
とができる。その結果として、フィルタ寿命を延ばし、
且つ半導体基板に付着する汚染物質を最小限とすること
ができる。
【0175】
【表1】
【0176】図100は、基板搬送容器に搭載した2次
電池の充電時間決定のフロー図を示す。前記汚染物質除
去手段を備えた基板搬送容器は、モータファン及び除湿
ユニット運転用の駆動部を持つため、充電もしくは給電
(2次電池への充電または駆動部への電気供給)する必
要がある。ロードポートもしくは装置の前棚に設置する
給電ステーションで、常に満充電させていては時間がか
かりすぎて装置から戻ってきた半導体ウエーハを収納す
るタイミングに間に合わない。そこで最後の充電から次
回の充電までの最長時間もつだけの充電をした時点で充
電を停止し、装置から戻ってきた半導体ウエーハの収納
タイミングに間に合わせる必要がある。本実施例では給
電ステーションに前記汚染物質除去手段を搭載した基板
搬送容器が着座すると電池残電圧εを測定し充電を開始
すると共に、次の給電ステーション到着までの時間をク
リーンルーム運営時間(搬送、バッチ合わせ時間、オペ
レータ・装置の持ち時間、メンテナンス時間の合計時
間)と実プロセス時間(理論プロセス時間、ロットの搬
入・搬出時間、先行ウエーハの条件出し時間、ロット・
リンクや品質管理のための時間)の合計時間に安全率を
掛けた値から算出し、必要充電圧ξ分のみ充電を行うこ
とにより、充電時間の短縮を可能にした。
【0177】プロセスA装置前の給電ステーションに着
座した基板搬送容器はプロセスB装置前の給電ステーシ
ョンで再び充電するまでの時間駆動できるだけの充電を
する必要がある。電池駆動はプロセスAにおける実プロ
セス時間とプロセスB装置前の給電ステーション到着ま
でのクリーンルーム運営時間(搬送、バッチ合わせ時
間、オペレーター・装置の待ち時間、メンテナンス時間
の合計時間)の合計値に安全率をかけた時間で良い。よ
って、プロセスA装置前の給電ステーションが供給すべ
き充電量は電池駆動時間と消費電力(駆動部品の運転レ
シピによって異なる)の積から電池残電力量を引いた量
になる。尚、この場合の充電方式としては、プラスとマ
イナスの接続接点を充電器に設けて結合したり、非接触
で相手側コイルに充電電流を励起する方式でも良く、電
気二重層コンデンサーを充電する方式等を用いるように
しても良い。また、充給電は搬送装置(例えばAGVや
OHT)のレールから行ってもよい。
【0178】従来の浄化機能を持たない基板搬送容器
は、その殆どが容器内部に収納するロットと一対一に対
応して全工程を通して使用されている。基板はベアの状
態から製品になるまでに何百工程ものプロセスを経るた
め、そのロットのプロセス履歴管理情報をバーコード情
報や記憶情報として基板搬送容器側に残すことが必要で
あるためと考えられる。また、各プロセスの所要時間が
まちまちであるため、容器の特定プロセスへの偏在が生
じる可能性が高いことも挙げられる。しかしながら、搬
送・保管するロットのプロセス履歴管理情報を、前工程
で用いた基板搬送容器から、次工程で用いる基板搬送容
器に転送させることにより、従来のロットと搬送容器が
一対一で対応した基板搬送容器の使用方法と同様にロッ
トのプロセス履歴管理を円滑に行うことが可能である。
【0179】図101は、基板搬送容器のネットワーク
管理概念図を示す。個々の汚染物質除去手段を搭載した
基板搬送容器は識別IDをもち、以下の情報を管理する
必要がある。 識別ID 単位時間あたりの汚染ガス処理量α ガスセンサーを搭載した場合の単位時間あたりの汚
染ガス発生量β 駆動部品運転積算時間γ 駆動部品運転条件δ 電池残電圧ε 必要充電圧ξ 駆動部品の故障情報η ロットのプロセス履歴管理情報θ
【0180】少なくともこれら9種類の情報を保存する
記憶素子を含む演算処理ユニットを基板搬送容器に搭載
し、その情報を給電ステーションへ着座した時に伝達す
る。また、給電ステーションに着座していない時でも、
無線を介して直接データ収集用PCへ送受信することに
より、基板搬送容器のネットワークを介して管理するこ
とができる。ここで、基板搬送容器はプロセス間の往復
に使用することを前提としているので、単位時間あた
りの汚染ガス処理量α、駆動部品運転条件δ、必要
充電圧ξ等は、通常、プロセスごとに一定の値を入力し
ておく。また、ガスセンサーを搭載した場合の単位時
間あたりの汚染ガス発生量β、駆動部品運転積算時間
γ、電池残電圧ε、駆動部品の故障情報ηは、容器
の個々の情報で経時的に変化する。データ収集用PCよ
り個々の基板搬送容器の記憶素子の内容を読み取ること
により、図101に示すLAN等のネットワークを使え
ば遠隔地からでも個々の基板搬送容器の状態を確認する
ことができる。
【0181】即ち、各基板搬送容器のフィルタ交換時期
(=α×γ乃至β×γ値)、洗浄時期(=γ値)、容器
の故障の有無(=η値)が把握でき、浄化機能を十分持
たない容器に保管することによる保管ロットの歩留り低
下を防止できる。また、製造装置側の故障やプロセス条
件の変更により単位時間あたりの汚染ガス処理量α、
駆動部品運転条件δ、必要充電圧ξを変更する際も
遠隔操作で容器のデータ記憶手段に書き込むことが可能
である。この情報の書き込みは、直接データ収集用PC
と基板搬送容器との送受信を行うことにより、クリーン
ルーム現場での変更も可能であり、開発段階の条件設定
なども容易にできる。
【0182】また、個々の搬送容器の情報を前記ネット
ワークを用いて搬送容器洗浄機に送信し、洗浄すべき容
器を選択して洗浄することも可能である。また、洗浄と
同時にフィルタ交換の必要な個体の選定も可能である。
一方、ロットのプロセス履歴管理情報θは、ロットの
プロセス推移とともに前工程で使用した基板搬送容器か
ら次工程で使用する基板搬送容器へと転送されることに
より、基板搬送容器が内部に収納するロットと一対一に
対応していなくてもそのロットのプロセス履歴把握が可
能である。この情報の転送はデータ収集用PCを介さな
くても給電ステーションを介して直接行うことが可能で
あり、通常は自動的に行われる。
【0183】尚、また、本発明の実施の形態は底部にド
アを持った基板搬送容器について記載したが、前面にド
アを持つFOUP(Front Opening Un
ified Pod)にも勿論適用可能である。上記実
施の形態は、本発明の好ましい実施例を述べたもので、
本発明の趣旨を逸脱することなく、種々の変形実施例が
可能なことは勿論である。
【0184】また、上記実施の形態においては、半導体
製造の配線工程に基板搬送容器を使用する例について主
に説明したが、本発明は、配線工程に限定されるもので
はなく、種々の安定環境空間を必要とするもろもろの半
導体製造工程等に適用ができる。上記問題を解決するた
めに、銅配線と比誘電率3.0以下の低誘電率絶縁膜を
用いた加工線幅0.18μm以下の半導体チップの製造
工程において、特に環境気体中の粒子濃度、湿度、有機
物濃度、イオン性ガス濃度を少なくとも1つ一定値以下
に維持する基板搬送容器の構成を提供する。更に、自動
化運転された半導体製造工場において、合理的に効率よ
く運用するための方法を提供する。
【0185】尚、以上に基板搬送容器及びその運用方法
についての各種の実施例を述べたが、これらの実施例は
例示的に述べたものであって、発明の趣旨を制限するも
のではない。即ち、上記実施例の要素を組み合わせるこ
とによって、種々の変形実施例が可能なことは勿論であ
り、本発明の趣旨を逸脱することなく、種々の変形実施
例が可能である。
【0186】
【発明の効果】以上説明したように本発明によれば、銅
配線のように高導電率の配線材を使用し、かつ低誘電率
の絶縁膜を用いた半導体デバイスの製造等に用いて好適
な基板搬送容器およびその運用方法が提供される。従っ
て、半導体基板等の搬送や保管を良好な状態で行える。
【図面の簡単な説明】
【図1】クリーンルームの各種形態を示す図である。
【図2】本発明の基板搬送容器の用途に好適な半導体素
子の配線工程を示すブロック図である。
【図3】本発明の一実施例の基板搬送容器の縦断面図で
ある。
【図4】本発明の他の実施例の基板搬送容器の縦断面図
である。
【図5】本発明の他の実施例の基板搬送容器の縦断面図
である。
【図6】本発明の他の実施例の基板搬送容器の縦断面図
である。
【図7】基板搬送容器をAGVに搭載して非接触で給電
する実施例を示した図である。
【図8】本発明の他の実施例の基板搬送容器の縦断面図
である。
【図9】本発明の他の実施例の基板搬送容器の縦断面図
である。
【図10】本発明の他の実施例の基板搬送容器の縦断面
図である。
【図11】本発明の他の実施例の基板搬送容器の縦断面
図である。
【図12】本発明の他の実施例の基板搬送容器の縦断面
図である。
【図13】本発明の他の実施例の基板搬送容器の縦断面
図である。
【図14】本発明の他の実施例の基板搬送容器の縦断面
図である。
【図15】本発明の他の実施例の基板搬送容器の縦断面
図である。
【図16】本発明の他の実施例の基板搬送容器の縦断面
図である。
【図17】本発明の他の実施例の基板搬送容器の縦断面
図である。
【図18】本発明の他の実施例の基板搬送容器の縦断面
図である。
【図19】本発明の他の実施例の基板搬送容器の縦断面
図である。
【図20】本発明の他の実施例の基板搬送容器の縦断面
図である。
【図21】基板搬送容器内の各種気流の流れを示す図で
ある。
【図22】本発明の他の実施例の基板搬送容器の縦断面
図である。
【図23】(a)(b)はそれぞれ電気端子のスプリン
グによる接続を示した図である。
【図24】基板搬送装置への非接触の給電例を示す図で
ある。
【図25】本発明の他の実施例の基板搬送容器の縦断面
図である。
【図26】本発明の他の実施例の基板搬送容器の縦断面
図である。
【図27】基板搬送容器の(a)角形、(b)丸形を示
す断面図である。
【図28】給電・除電構造を示す図である。
【図29】ドアを容器本体に固定する各種方式を示した
図である。
【図30】ラッチ機構の構成例を示す図である。
【図31】ウエハの支持構造を示した図である。
【図32】容器本体と給電装置との接続を示す図であ
る。
【図33】容器本体と蓋とのシール構造を示す図であ
る。
【図34】容器にバーコードを付着した例を示す図であ
る。
【図35】ひだおり濾材を用いたフィルタの構造を示す
図である。
【図36】波型断面形状のセパレータを用いたフィルタ
の構造を示す図である。
【図37】平膜構造フィルタを示す図である。
【図38】フィルタのユニット構造例を示す図である。
【図39】複合タイプのフィルタユニットを示す図であ
る。
【図40】ケミカルフィルタの構造例を示す図である。
【図41】2枚のシート間に吸着材を備えたフィルタの
構造例を示す図である。
【図42】ケミカルフィルタの構成例を示す図である。
【図43】ケミカルフィルタの他の構成例を示す図であ
る。
【図44】ケミカルフィルタの更に他の構成例を示す図
である。
【図45】ケミカルフィルタの更に他の構成例を示す図
である。
【図46】ガス状不純物除去フィルタの使用構造例を示
す図である。
【図47】固体高分子電解質膜による除湿原理を示す図
である。
【図48】固体高分子電解質膜による除湿器の分解図で
ある。
【図49】固体高分子電解質膜による他の除湿器の分解
図である。
【図50】固体高分子電解質膜による更に他の除湿器の
分解図である。
【図51】ファンモータの構成例を示す断面図である。
【図52】図51の回転軸周辺部を示す拡大断面図であ
る。
【図53】熱対流による容器内の気流の生成を示す図で
ある。
【図54】容器内への乾燥ガスの供給を示す図である。
【図55】本発明の実施形態の基板搬送容器の上面図で
ある。
【図56】図55の容器の立面図である。
【図57】(a)(b)はそれぞれ空気清浄化装置を備
えた基板搬送容器の上面図及び縦断面図である。
【図58】基板搬送容器の(a)上面図及び(b)縦断
面図である。
【図59】基板搬送容器の(a)は給電装置の上面図で
あり、(b)は基板搬送容器の底面図である。
【図60】基板搬送容器内における半導体ウエハの各種
の除電方式を示す図である。
【図61】基板搬送容器の上面図である。
【図62】基板搬送容器の縦断面図である。
【図63】基板搬送容器の底面図である。
【図64】基板搬送容器の上面図である。
【図65】基板搬送容器の縦断面図である。
【図66】基板搬送容器の底面図である。
【図67】除湿性能(寿命)比較を示す図である。
【図68】基板搬送容器を装置に装着した状態を示す図
である。
【図69】基板搬送容器を装置に装着して基板を移送す
る状態を示す図である。
【図70】基板搬送容器の(a)は上面図であり、
(b)は縦断面図である。
【図71】基板搬送容器の(a)は上面図であり、
(b)は縦断面図である。
【図72】基板搬送容器を装置に装着した状態を示す図
である。
【図73】図72の動作例を示す図である。
【図74】除湿装置におけるファンの運転状態と除湿特
性を示す図であり、○はファン停止を示し、*はファン
の間欠運転を示し、◆はファンの連続運転をそれぞれ示
している。
【図75】除湿ユニットの運転台数と除湿特性を示す図
であり、○は除湿ユニット2台運転を示し、*は除湿ユ
ニット2台運転と1台運転の併用を示し、◆は除湿ユニ
ット1台運転をそれぞれ示している。
【図76】半導体製造前工程を示すフロー図である。
【図77】フィルタ及び嫌湿部品を着脱可能とした基板
搬送容器を示す図である。
【図78】図77の変形例を示す図である。
【図79】基板搬送容器の給電部の構成を示す図であ
り、(a)は正面図であり、(b)は底面図である。
【図80】給電装置の構成を示す図であり、(a)は縦
断面図であり、(b)は上面図である。
【図81】他の給電装置の構成を示す図であり、(a)
は縦断面図であり、(b)は上面図である。
【図82】基板搬送容器が給電装置に着座した状態を示
す図である。
【図83】他の基板搬送容器が給電装置に着座した状態
を示す図である。
【図84】充電方法の一例を示すフロー図である。
【図85】基板搬送容器側の制御部を示すブロック図で
ある。
【図86】給電器側の制御部を示すブロック図である。
【図87】基板搬送容器の(a)搬送状態、(b)装置
着座、(c)ドア下降を示す図である。
【図88】図87の変形例を示す図である。
【図89】図87の他の変形例を示す図である。
【図90】図87の更に他の変形例を示す図である。
【図91】基板搬送容器が給電装置に着座した状態を示
す図である。
【図92】基板搬送容器がOHTにより移送され、給電
を受ける状態を示す図である。
【図93】図92における天井搬送装置部分の拡大図で
ある。
【図94】基板搬送容器の情報管理システムを示す図で
ある。
【図95】図94における容器側に取り付けた情報保持
装置の説明図である。
【図96】洗浄時期及びフィルタ交換時期の管理システ
ムを示す図である。
【図97】図96の変形例を示す図である。
【図98】図96の変形例を示す図である。
【図99】図96の変形例を示す図である。
【図100】充電時間の設定に関するブロック図であ
る。
【図101】基板搬送容器のネットワーク管理の概念を
示す図である。
【符号の説明】
1 容器本体 2 基板搬出入ドア 3 蓋体 4 ウエハキャリア 5 粒子除去フィルタ 6 ガス除去フィルタ 7 ファンモータ 8 除湿ユニット又は除湿剤 9 二次電池 10 AGV 11 プロセス装置 12 ダクト 13 二次電池充電端子 15 給電装置本体 16 ガイド部材 17 位置決めピン 18 検知手段 19 給電コネクタ 20 昇降機構 21 送気孔 22 排気孔 23 整流板 24 シャッター 25 シール 26 ラッチ 27 ラッチアーム 28 ディスク 29 ラッチ孔 30 磁石 31 ダクト 32 真空ポンプ 33 給電除電装置 34 銅めっき装置 35 ファンフィルタユニット 36 マスフロコントローラ 37 圧力計 38 乾燥ガスボンベ 39 給電・除電装置 40 真空チャック 41 運転制御基板 414,429 履歴管理用記憶装置(情報処理装置) 521 整流板 522 容器底部(FOUP) 523 導電性材料 524 アース端子 526 ガスパージポート 525 固体電解質膜 530 加熱源 531 熱吸収部 550 ウエハ支持溝 553 容器底部 554 位置決めピン 555 容器載置部 556 位置決め穴 557 シール 558 バーコード 559 ガイドリブ 560 突起
───────────────────────────────────────────────────── フロントページの続き (72)発明者 岸 貴士 東京都大田区羽田旭町11番1号 株式会社 荏原製作所内 Fターム(参考) 3L053 BD02 BD04 5F031 CA02 DA09 DA17 EA14 EA19 EA20 FA01 FA11 FA15 GA36 JA21 JA22 NA02 NA16 PA26

Claims (30)

    【特許請求の範囲】
  1. 【請求項1】 空気清浄器及び/又は除湿機を備え、基
    板を収納するための基板搬送容器において、前記容器の
    位置決め機構及び給電設備を備えた半導体製造装置のロ
    ードポート、保管庫、搬送装置の少なくとも一つの所定
    の場所に着座したときに、給電端子が接触することな
    く、自動的に給電を行うことを特徴とした空気清浄器及
    び/又は除湿機を備えた基板搬送容器の給電方法。
  2. 【請求項2】 前記給電は、電磁誘導により行われるこ
    とを特徴とする請求項1記載の基板搬送容器の給電方
    法。
  3. 【請求項3】 空気清浄器及び/又は除湿機を備え、基
    板を収納するための基板搬送容器において、ドア及び/
    又は容器に検知センサを持ち、ドアが開放している時
    に、空気清浄器及び又は除湿機の運転を停止することを
    特徴とした空気清浄器及び/又は除湿機を備えた基板搬
    送容器の運転方法。
  4. 【請求項4】 空気清浄器及び/又は除湿機を備え、基
    板を収納するための基板搬送容器において、ドア及び/
    又は容器に検知センサを持ち、カセットが収納され、且
    つドアが閉じている時に空気清浄器及び/又は除湿機が
    運転することを特徴とした空気清浄器及び/又は除湿機
    を備えた基板搬送容器の運転方法。
  5. 【請求項5】 空気清浄器及び/又は除湿機を備え、基
    板を収納するための基板搬送容器の給電において、二次
    電池の充電のための給電系と、空気清浄器及び/又は除
    湿機を駆動するための給電系を独立させたことを特徴と
    する基板搬送容器の給電方法。
  6. 【請求項6】 空気清浄器及び/又は除湿機を備え、基
    板を収納するための基板搬送容器において、少なくとも
    ロードポート及び/又は保管庫で給電し、空気清浄器及
    び/又は除湿機を連続的又は間欠的に運転することを特
    徴とした基板搬送容器の運用方法。
  7. 【請求項7】 前記給電は、電磁誘導により行われるこ
    とを特徴とする請求項6記載の基板搬送容器の運用方
    法。
  8. 【請求項8】 空気清浄器及び/又は除湿機を備え、基
    板を収納するための基板搬送容器において、少なくとも
    ロードポート及び/又は保管庫で給電し、空気清浄器及
    び/又は除湿機を連続的又は間欠的に運転して容器内の
    汚染物質を低減した状態を保持することを特徴とした基
    板搬送容器の運用方法。
  9. 【請求項9】 前記汚染物質を低減した状態が、少なく
    とも0.1μm以上の粒子が10個/m以下であり、
    有機物が1μg/m以下であり、水が4×10−3
    /g以下であることを特徴とする請求項8記載の基板搬
    送容器の運用方法。
  10. 【請求項10】 少なくとも除湿機と粒子除去フィルタ
    とイオン交換不織布及び/又はイオン交換樹脂と送風装
    置を搭載し、低湿度空間を創ることを目的にした基板搬
    送容器において、予め脱水処理したイオン交換不織布及
    び/又はイオン交換樹脂を通気し、除湿性能を向上させ
    ることを特徴とした基板搬送容器の除湿方法。
  11. 【請求項11】 水が4×10−3g/g以下であるこ
    とを特徴とする請求項10記載の基板搬送容器の除湿方
    法。
  12. 【請求項12】 吸水率0.1%以下の容器材料を用い
    ることを特徴とする請求項11記載の基板搬送容器の除
    湿方法。
  13. 【請求項13】 空気清浄器及び/又は除湿機を備え、
    容器側面に基板搬出入扉を持った基板を収納するための
    自動化対応基板搬送容器において、基板を全て収納した
    状態で容器の重心位置を基板のフェイシャル基準面から
    少なくとも±100mm以内に調整することを特徴とし
    た基板搬送容器の重心位置調整方法。
  14. 【請求項14】 ケミカルフィルタと粒子除去フィルタ
    とを通過した気流を収納した基板に案内する案内機構
    と、容器内部に収納した前記基板を保持する導電性部分
    と容器外部の導電性部分とを接続する手段とを備えたこ
    とを特徴とする基板搬送容器。
  15. 【請求項15】 前記容器には、外部よりの情報入力手
    段と、前記入力された情報に基づいて前記容器内の環境
    を設定する手段とを備えたことを特徴とする請求項14
    記載の基板搬送容器。
  16. 【請求項16】 前記容器には、除湿手段を通過した気
    流を収納した基板に案内する案内機構を備えたことを特
    徴とする請求項14記載の基板搬送容器。
  17. 【請求項17】 前記容器は、基板を収納する開口部を
    有する容器本体と、該開口部を閉塞する蓋体とを備え、
    該蓋体は機械的手段により開閉可能であることを特徴と
    する請求項14記載の基板搬送容器。
  18. 【請求項18】 前記容器内の環境を設定する手段は、
    前記容器内にファンを備え、該ファンの運転パターンを
    設定するものであることを特徴とする請求項15記載の
    基板搬送容器。
  19. 【請求項19】 前記容器内の環境を設定する手段は、
    前記容器内に除湿手段を備え、該除湿手段の運転パター
    ンを設定するものであることを特徴とする請求項15記
    載の基板搬送容器。
  20. 【請求項20】 複数のプロセス装置間で基板を基板搬
    送容器に収納して搬送、又は保管するに際して、前記基
    板搬送容器に該容器内の環境を形成する装置を備え、該
    装置を任意の運転パターンで運転することを特徴とする
    基板搬送容器の運用方法。
  21. 【請求項21】 容器に、外部よりの情報入力手段と、
    前記入力された情報に基づいて前記容器内の環境を形成
    する手段とを備え、前記容器内の環境を形成する手段の
    運転パターンは、収納する基板の要求する環境に設定す
    ることを特徴とする基板搬送容器の運用方法。
  22. 【請求項22】 基板を内部に収容すると共に基板搬出
    入用の開口部を持った容器本体と、前記開口部を開閉可
    能なドアとで構成される基板搬送容器において、 前記基板搬送容器は、粒子状汚染物質、メタル状汚染物
    質、イオン性汚染物質、有機汚染物質、水分のうち、除
    去対象成分を選択的に除去する汚染物質除去手段を備
    え、前記基板搬送容器を各プロセス間の往復に使用する
    ことを特徴とする基板搬送容器の使用方法。
  23. 【請求項23】 請求項22記載の基板搬送容器の使用
    方法において、 前記基板搬送容器には、演算素子と、記憶素子と、デー
    タの入出力手段とを更に備えたことを特徴とする基板搬
    送容器の使用方法。
  24. 【請求項24】 請求項23記載の基板搬送容器の使用
    方法において、 単位時間あたりの汚染ガス処理量αと駆動部分運転積算
    時間γの積からフィルタ交換時期を判断し、前記駆動部
    品運転積算時間γから容器の洗浄時期を判断することに
    より、浄化機能を十分維持した容器のみを使用すること
    を特徴とする基板搬送容器の使用方法。
  25. 【請求項25】 請求項23記載の基板搬送容器の使用
    方法において、 該搬送容器内にガスセンサーを搭載し、単位時間あたり
    の汚染ガス量βを測定することにより、汚染ガス量βと
    駆動部品運転積算時間γの積からフィルタ交換時期を判
    断し、更に駆動部品運転積算時間γから容器の洗浄時期
    を判断することにより、浄化機能を十分維持した容器の
    みを使用することを特徴とする基板搬送容器の使用方
    法。
  26. 【請求項26】 請求項23記載の基板搬送容器の使用
    方法において、 搬送または保管するロットのプロセス履歴管理情報を、
    前工程で使用した基板搬送容器から、次工程で使用する
    基板搬送容器に転送することにより、ロットのプロセス
    履歴管理を行うことを特徴とする基板搬送容器の使用方
    法。
  27. 【請求項27】 請求項23記載の基板搬送容器の使用
    方法において、 給電ステーションに前記基板搬送容器が着座すると、電
    池残量を測定し充電を開始すると共に、次の給電ステー
    ション到着までの時間駆動するに必要な量だけ充電する
    ことを特徴とする基板搬送容器の使用方法。
  28. 【請求項28】 請求項23記載の基板搬送容器の使用
    方法において、 前記記憶素子の情報を有線もしくは無線で送受信し、複
    数使用されている基板搬送容器の個々の情報をネットワ
    ークを介して管理することを特徴とする基板搬送容器の
    使用方法。
  29. 【請求項29】 請求項28記載の基板搬送容器の使用
    方法において、 ネットワークを使用してロットのプロセス履歴管理情報
    を前工程で用いた基板搬送容器から、次工程で用いる基
    板搬送容器に転送することを特徴とする基板搬送容器の
    使用方法。
  30. 【請求項30】 請求項28記載の基板搬送容器の使用
    方法において、 基板搬送容器の洗浄機に、洗浄すべき容器の情報を送信
    し、該情報に従って前記容器を選択して洗浄することを
    特徴とする基板搬送容器の使用方法。
JP2001047404A 2000-12-04 2001-01-17 基板搬送方法および基板搬送容器 Expired - Fee Related JP3939101B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2001047404A JP3939101B2 (ja) 2000-12-04 2001-01-17 基板搬送方法および基板搬送容器
TW090129780A TW533174B (en) 2000-12-04 2001-12-03 Substrate transportation device, container and substrate transportation method
PCT/JP2001/010546 WO2002047152A1 (fr) 2000-12-04 2001-12-03 Dispositif, conteneur et procede pour transferer un substrat
EP01999971A EP1343202A4 (en) 2000-12-04 2001-12-03 DEVICE, CONTAINER AND METHOD FOR TRANSFERRING A SUBSTRATE
KR1020027009932A KR20020076278A (ko) 2000-12-04 2001-12-03 기판반송장치, 용기 및 기판반송방법
US10/000,304 US6758876B2 (en) 2000-12-04 2001-12-04 Substrate transport apparatus, pod and method
US10/766,565 US20040187451A1 (en) 2000-12-04 2004-01-29 Substrate transport apparatus, pod and method

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2000-369333 2000-12-04
JP2000369333 2000-12-04
JP2000404696 2000-12-25
JP2000-404696 2000-12-25
JP2001047404A JP3939101B2 (ja) 2000-12-04 2001-01-17 基板搬送方法および基板搬送容器

Publications (3)

Publication Number Publication Date
JP2002261159A true JP2002261159A (ja) 2002-09-13
JP2002261159A5 JP2002261159A5 (ja) 2005-01-13
JP3939101B2 JP3939101B2 (ja) 2007-07-04

Family

ID=27345360

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001047404A Expired - Fee Related JP3939101B2 (ja) 2000-12-04 2001-01-17 基板搬送方法および基板搬送容器

Country Status (6)

Country Link
US (2) US6758876B2 (ja)
EP (1) EP1343202A4 (ja)
JP (1) JP3939101B2 (ja)
KR (1) KR20020076278A (ja)
TW (1) TW533174B (ja)
WO (1) WO2002047152A1 (ja)

Cited By (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003110013A (ja) * 2001-09-28 2003-04-11 Toshiba Corp 収納装置および半導体装置の製造方法
JP2004527899A (ja) * 2001-01-10 2004-09-09 エンテグリス カイマン リミテッド 内部環境モニタを含む可搬式コンテナ
US6829130B2 (en) 2000-11-15 2004-12-07 Ebara Corporation Power supply apparatus for supplying electric power to substrate carrier container
JP2004363219A (ja) * 2003-06-03 2004-12-24 Fujitsu Ltd 保管装置の制御方法及び保管システム
JP2005031489A (ja) * 2003-07-08 2005-02-03 Hoya Corp マスクブランクス等の収納容器及びマスクブランクスの収納方法並びにマスクブランクス収納体
JP2005044979A (ja) * 2003-07-28 2005-02-17 Nippon Steel Corp ウェハ保管方法及びバンプ形成方法
JP2005043796A (ja) * 2003-07-25 2005-02-17 Hoya Corp マスクブランクスの収納容器、マスクブランクスの収納方法及びマスクブランクス収納体並びにマスクブランクス収納体の輸送方法
JP2005062462A (ja) * 2003-08-12 2005-03-10 Hoya Corp マスクブランクスの収納方法、マスクブランクス収納体及びマスクブランクスの製造方法
JP2005070390A (ja) * 2003-08-25 2005-03-17 Hoya Corp マスクブランクス収納用部材の処理方法、マスクブランクス収納用部材の製造方法及びマスクブランクス収納体
JP2005324913A (ja) * 2004-05-13 2005-11-24 Kyokuhei Glass Kako Kk ガラス基板搬送用ボックスに用いる空気清浄具及びその使用方法
JP2006513583A (ja) * 2002-12-03 2006-04-20 センサレー コーポレイション 統合化されたプロセス条件検知用ウェハおよびデータ解析システム
JP2007520895A (ja) * 2004-02-05 2007-07-26 インテグリス・インコーポレーテッド ウェハ輸送コンテナのパージング
JP2007311742A (ja) * 2006-05-17 2007-11-29 Taiwan Semiconductor Manufacturing Co Ltd キャリヤ,設備連結装置,およびこれらを有した搬送連結システム
JP2008024429A (ja) * 2006-07-20 2008-02-07 Toshiba Corp 電子装置の製造方法
JP2008282939A (ja) * 2007-05-10 2008-11-20 Shimizu Corp 汚染物質除去システム
JP2008296069A (ja) * 2007-05-29 2008-12-11 Kondo Kogyo Kk 薄板状物製造装置における、微粒子、または微粒子並びに有害ガスの除去を目的とする空気清浄装置
JP2009503899A (ja) * 2005-08-03 2009-01-29 インテグリス・インコーポレーテッド 移送容器
WO2009091002A1 (ja) * 2008-01-17 2009-07-23 Showa Denko K.K. 電気二重層キャパシタ
JP2009289867A (ja) * 2008-05-28 2009-12-10 Shin-Etsu Chemical Co Ltd 搬送装置
US7838182B2 (en) 2003-07-08 2010-11-23 Hoya Corporation Container for housing a mask blank, method of housing a mask blank, and mask blank package
US7855549B2 (en) 2002-12-03 2010-12-21 Kla-Tencor Corporation Integrated process condition sensing wafer and data analysis system
JP2011507309A (ja) * 2007-12-18 2011-03-03 エンテグリス・インコーポレーテッド 基板の汚染を抑制するための方法および装置
JP2011178515A (ja) * 2010-03-01 2011-09-15 Gendai Plant:Kk 揮発性薬剤充填容器の保管用ラック装置
WO2011132553A1 (ja) * 2010-04-22 2011-10-27 信越ポリマー株式会社 基板収納容器
WO2011135996A1 (ja) * 2010-04-28 2011-11-03 東芝ライテック株式会社 標識灯
JP2011233434A (ja) * 2010-04-28 2011-11-17 Toshiba Lighting & Technology Corp 標識灯
US8349087B2 (en) 2009-01-16 2013-01-08 Renesas Electronics Corporation Semiconductor device manufacturing method, wafer treatment system, and recording medium
WO2013035448A1 (ja) * 2011-09-06 2013-03-14 村田機械株式会社 搬送車システム及び搬送車への充電方法
JP2014067744A (ja) * 2012-09-24 2014-04-17 Tokyo Electron Ltd 搬送装置及び処理装置
JP2015029057A (ja) * 2013-06-28 2015-02-12 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びに記録媒体
TWI508906B (zh) * 2011-11-11 2015-11-21 Ls Tec Co Ltd 淨化以防止氣態分子汙染物和自然氧化物的裝置
JP2017095183A (ja) * 2015-11-18 2017-06-01 株式会社ダイフク 搬送設備
KR101805525B1 (ko) 2013-12-31 2017-12-07 소피아 원 반도체 웨이퍼 세정 시스템
KR101851095B1 (ko) * 2008-03-13 2018-04-20 엔테그리스, 아이엔씨. 관형 환경 제어 요소를 갖는 웨이퍼 용기
JP2018098358A (ja) * 2016-12-13 2018-06-21 Tdk株式会社 ウエハ搬送容器内雰囲気計測装置、ウエハ搬送容器、ウエハ搬送容器内清浄化装置及びウエハ搬送容器内清浄化方法
JP2018190827A (ja) * 2017-05-02 2018-11-29 信越化学工業株式会社 基板収納容器、これを用いた基板の保管方法及び基板の搬送方法
JP2018206821A (ja) * 2017-05-30 2018-12-27 Tdk株式会社 ロードポート装置及びウエハ搬送容器
JP2019176024A (ja) * 2018-03-28 2019-10-10 Tdk株式会社 ウエハ収容容器及びウエハ収容容器の清浄化方法
JP2020021778A (ja) * 2018-07-30 2020-02-06 Tdk株式会社 センサー内蔵フィルタ構造体及びウエハ収容容器
JP2020040410A (ja) * 2014-05-21 2020-03-19 ツェーエル・シュッツレヒツフェアヴァルトゥングス・ゲゼルシャフト・ミト・べシュレンクテル・ハフツング 粉末状製作材料から三次元物品を造型的に製造する装置
JP2020077491A (ja) * 2018-11-06 2020-05-21 トヨタ自動車株式会社 電池製造装置
JP2020087960A (ja) * 2018-11-15 2020-06-04 東京エレクトロン株式会社 搬送ロボットシステム、教示方法、及びウエハ収容容器
JP2021132193A (ja) * 2020-02-18 2021-09-09 ケンタプス カンパニー リミテッド キャリア内部の汚染管理機能を有する自動搬送システム
JP2021193671A (ja) * 2012-11-30 2021-12-23 カティーバ, インコーポレイテッド ガスエンクロージャアセンブリおよびシステム
JP2022017382A (ja) * 2013-08-12 2022-01-25 アプライド マテリアルズ インコーポレイテッド ファクトリインターフェースの環境制御を伴う基板処理のシステム、装置、及び方法
US20220034532A1 (en) * 2020-07-29 2022-02-03 National Taipei University Of Technology Air curtain device and method for manufacturing the same
JP2022184764A (ja) * 2021-05-31 2022-12-13 セメス カンパニー,リミテッド 基板処理装置及び基板処理システム
JP7467152B2 (ja) 2020-02-13 2024-04-15 東京エレクトロン株式会社 収容容器及び基板状センサの充電方法
JP7499828B2 (ja) 2021-12-22 2024-06-14 サムス カンパニー リミテッド 半導体製造工場の物品保管設備、及びそれを含む半導体製造工場の物流システム

Families Citing this family (417)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3507445B2 (ja) * 2001-01-22 2004-03-15 田中貴金属工業株式会社 化学気相蒸着法用の化合物の供給システム及びこの化合物の供給システムを備える薄膜製造システム
US6875282B2 (en) * 2001-05-17 2005-04-05 Ebara Corporation Substrate transport container
GB2378082B (en) * 2001-07-26 2005-03-09 Kh Technology Corp Improvements in loudspeakers
JP4025096B2 (ja) * 2002-03-08 2007-12-19 株式会社荏原製作所 基板処理方法
JP2003332402A (ja) * 2002-05-10 2003-11-21 Kondo Kogyo Kk ミニエンバライメント方式の半導体製造装置
TW545693U (en) * 2002-05-15 2003-08-01 Macronix Int Co Ltd Air inlet structure of vacuum isolating chamber
DE10240771B3 (de) * 2002-08-30 2004-03-11 Infineon Technologies Ag Behälter für scheibenförmige Objekte
US20050056441A1 (en) * 2002-10-01 2005-03-17 Rider Gavin Charles Reduction of electric-field-induced damage in field-sensitive articles
JP3759492B2 (ja) 2002-12-03 2006-03-22 近藤工業株式会社 ミニエンバライメント方式の半導体製造装置
AU2002953575A0 (en) * 2002-12-24 2003-01-16 Hella Asia Pacific Pty Ltd A filter
US7621989B2 (en) * 2003-01-22 2009-11-24 Camfil Ab Filter structure, filter panel comprising the filter structure and method for manufacturing the filter structure
US7189291B2 (en) * 2003-06-02 2007-03-13 Entegris, Inc. Method for the removal of airborne molecular contaminants using oxygen gas mixtures
US20050105997A1 (en) * 2003-09-11 2005-05-19 Englhardt Eric A. Methods and apparatus for carriers suitable for use in high-speed/high-acceleration transport systems
TW200520049A (en) * 2003-10-21 2005-06-16 Nikon Corp Environment-controlling apparatus, device-producing apparatus, device-producing method, and exposure apparatus
DE10351848A1 (de) * 2003-11-06 2005-06-09 Leica Microsystems Semiconductor Gmbh System zur Detektion von Makrodefekten
GB0327093D0 (en) * 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
US20050108996A1 (en) 2003-11-26 2005-05-26 Latham Steven R. Filter system for an electronic equipment enclosure
US6877246B1 (en) * 2003-12-30 2005-04-12 Kimberly-Clark Worldwide, Inc. Through-air dryer assembly
FR2865314B1 (fr) * 2004-01-20 2006-04-28 Cit Alcatel Station de controle et de purge de mini-environnement
JP2005311306A (ja) * 2004-03-25 2005-11-04 Tokyo Electron Ltd 縦型熱処理装置及び被処理体移載方法
FR2869452B1 (fr) * 2004-04-21 2006-09-08 Alcatel Sa Dispositif pour le transport de substrats sous atmosphere controlee
FR2869451B1 (fr) * 2004-04-21 2006-07-21 Alcatel Sa Enveloppe de transport a protection par effet thermophorese
DE602005001721T2 (de) * 2004-05-12 2007-12-06 Miraial Co., Ltd. Eine Vorrichtung und ein Verfahren, um ein Gas in einem Lagerungscontainer zu ersetzen
TW200540922A (en) * 2004-06-04 2005-12-16 Kondoh Ind Ltd Air-purifying equipment in a semiconductor wafer container
US9010384B2 (en) * 2004-06-21 2015-04-21 Right Mfg. Co. Ltd. Load port
EP1780785A4 (en) * 2004-06-21 2009-04-01 Right Mfg Co Ltd LOADING PORT
WO2006017142A2 (en) * 2004-07-11 2006-02-16 Lighthouse Worldwide Solutions, Inc. Monitoring device for transport pods
TWM276316U (en) * 2004-08-17 2005-09-21 Tzu-Lung Fu Device having a function of absorbing gas
DE102004042158B3 (de) * 2004-08-31 2006-03-02 Maier, Max Lufterfassungseinrichtung und insbesondere dafür vorgesehene Abluftbox
KR101119851B1 (ko) * 2004-09-09 2012-03-16 국립대학법인 홋가이도 다이가쿠 기능소자, 기억소자, 자기기록소자, 태양전지,광전변환소자, 발광소자, 촉매반응창치 및 클린유닛
US7445654B2 (en) * 2004-09-27 2008-11-04 Spectra Logic Corporation Method and apparatus for adsorbing molecules from an atmosphere inside an enclosure containing multiple data storage devices
JP2006128188A (ja) * 2004-10-26 2006-05-18 Nikon Corp 基板搬送装置、基板搬送方法および露光装置
JP2006216157A (ja) * 2005-02-03 2006-08-17 Hitachi Global Storage Technologies Netherlands Bv 磁気ディスク装置
US7528936B2 (en) * 2005-02-27 2009-05-05 Entegris, Inc. Substrate container with pressure equalization
US7320721B2 (en) * 2005-03-17 2008-01-22 Samsung Electronics Co., Ltd. Chemical filter and fan filter unit having the same
FR2883412B1 (fr) * 2005-03-18 2007-05-04 Alcatel Sa Procede et dispositif pour le controle de la contamination des plaquettes de substrat
US20060222478A1 (en) * 2005-03-31 2006-10-05 Tokyo Electron Limited Processing apparatus, and system and program for monitoring and controlling fan filter unit
US9159592B2 (en) 2005-06-18 2015-10-13 Futrfab, Inc. Method and apparatus for an automated tool handling system for a multilevel cleanspace fabricator
US10651063B2 (en) 2005-06-18 2020-05-12 Frederick A. Flitsch Methods of prototyping and manufacturing with cleanspace fabricators
US9339900B2 (en) * 2005-08-18 2016-05-17 Futrfab, Inc. Apparatus to support a cleanspace fabricator
US7513822B2 (en) 2005-06-18 2009-04-07 Flitsch Frederick A Method and apparatus for a cleanspace fabricator
US10627809B2 (en) 2005-06-18 2020-04-21 Frederick A. Flitsch Multilevel fabricators
US9059227B2 (en) 2005-06-18 2015-06-16 Futrfab, Inc. Methods and apparatus for vertically orienting substrate processing tools in a clean space
US11024527B2 (en) 2005-06-18 2021-06-01 Frederick A. Flitsch Methods and apparatus for novel fabricators with Cleanspace
US9457442B2 (en) 2005-06-18 2016-10-04 Futrfab, Inc. Method and apparatus to support process tool modules in a cleanspace fabricator
JP2007025117A (ja) * 2005-07-14 2007-02-01 Seiko Epson Corp 配向膜の製造装置、液晶装置、及び電子機器
KR20080032645A (ko) * 2005-08-02 2008-04-15 엔테그리스, 아이엔씨. 캡처 기판을 위한 시스템 및 방법
JP4413831B2 (ja) * 2005-08-11 2010-02-10 株式会社日立ハイテクノロジーズ ウェハ表面検査装置及びウェハ表面検査方法
WO2007025199A2 (en) * 2005-08-26 2007-03-01 Flitsch Frederick A Multi-level cleanspace fabricator elevator system
US20070076292A1 (en) * 2005-09-27 2007-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fully electric field shielding reticle pod
US20070144118A1 (en) * 2005-12-22 2007-06-28 Alvarez Daniel Jr Purging of a wafer conveyance container
US20080060974A1 (en) * 2006-02-21 2008-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Mask carrier treatment to prevent haze and ESD damage
JP5049342B2 (ja) * 2006-06-02 2012-10-17 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド バリヤー・フルオロポリマー・フィルムをベースとするライナーおよびそれを含むパッケージング
US8776841B2 (en) * 2006-06-19 2014-07-15 Entegris, Inc. System for purging reticle storage
JP2008032335A (ja) * 2006-07-31 2008-02-14 Hitachi High-Technologies Corp ミニエンバイロメント装置、検査装置、製造装置、及び空間の清浄化方法
JP4756372B2 (ja) * 2006-09-13 2011-08-24 株式会社ダイフク 基板処理方法
JP4953010B2 (ja) * 2006-09-13 2012-06-13 株式会社ダイフク 基板収納用の収納容器
JP4807579B2 (ja) * 2006-09-13 2011-11-02 株式会社ダイフク 基板収納設備及び基板処理設備
US8297319B2 (en) 2006-09-14 2012-10-30 Brooks Automation, Inc. Carrier gas system and coupling substrate carrier to a loadport
US20080092744A1 (en) * 2006-10-18 2008-04-24 Scott Storbo Apparatus for preventing or reducing the oxidation of food
US20080092737A1 (en) * 2006-10-18 2008-04-24 Scott Storbo Apparatus for the prevention of metal tarnish
JP4829978B2 (ja) * 2006-11-24 2011-12-07 ミライアル株式会社 薄板保管搬送システムおよびそれを用いたレチクルケース
US9105673B2 (en) 2007-05-09 2015-08-11 Brooks Automation, Inc. Side opening unified pod
US7922562B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Systems and methods for reducing electrostatic charge of semiconductor wafers
CN101730933A (zh) 2007-07-09 2010-06-09 近藤工业株式会社 向半导体晶片收纳容器内填充干燥空气或氮气的填充装置以及使用了该装置的晶片除静电装置
DE102007051726A1 (de) * 2007-10-25 2009-04-30 Hänel & Co. Lageranordnung mit vorgebbarer Lagerungsatmosphäre
US8190277B2 (en) * 2007-11-30 2012-05-29 Tokyo Electron Limited Method for limiting expansion of earthquake damage and system for limiting expansion of earthquake damage for use in semiconductor manufacturing apparatus
TW200929357A (en) * 2007-12-20 2009-07-01 Gudeng Prec Industral Co Ltd Gas filling apparatus
JP2009163685A (ja) * 2008-01-10 2009-07-23 Toshiba Tec Corp 商品販売処理装置
US7964027B2 (en) * 2008-02-25 2011-06-21 Antonius Theodorus Cecilianus Hauzer System for extracting vapor and particulates from a flow of a liquid and an air stream
GB0804499D0 (en) * 2008-03-11 2008-04-16 Metryx Ltd Measurement apparatus and method
JP2009226380A (ja) * 2008-03-25 2009-10-08 Nichias Corp ケミカルフィルタ及びその製造方法
US9604245B2 (en) 2008-06-13 2017-03-28 Kateeva, Inc. Gas enclosure systems and methods utilizing an auxiliary enclosure
US11975546B2 (en) 2008-06-13 2024-05-07 Kateeva, Inc. Gas enclosure assembly and system
US8383202B2 (en) 2008-06-13 2013-02-26 Kateeva, Inc. Method and apparatus for load-locked printing
US10434804B2 (en) 2008-06-13 2019-10-08 Kateeva, Inc. Low particle gas enclosure systems and methods
US8899171B2 (en) 2008-06-13 2014-12-02 Kateeva, Inc. Gas enclosure assembly and system
US10442226B2 (en) 2008-06-13 2019-10-15 Kateeva, Inc. Gas enclosure assembly and system
US9048344B2 (en) 2008-06-13 2015-06-02 Kateeva, Inc. Gas enclosure assembly and system
US8827695B2 (en) * 2008-06-23 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer's ambiance control
US8413814B2 (en) * 2008-08-27 2013-04-09 Gudeng Precision Industrial Co, Ltd Front opening unified pod disposed with purgeable supporting module
US8413815B2 (en) * 2008-08-27 2013-04-09 Gudeng Precision Industrial Co, Ltd Wafer container with at least one purgeable supporting module having a long slot
US8387799B2 (en) * 2008-08-27 2013-03-05 Gudeng Precision Industrial Co, Ltd. Wafer container with purgeable supporting module
US20100051501A1 (en) * 2008-08-29 2010-03-04 International Business Machines Corporation Ic waper carrier sealed from ambient atmosphere during transportation from one process to the next
JP2010063959A (ja) * 2008-09-09 2010-03-25 Nichias Corp ケミカルフィルタ及びその製造方法
US7938269B2 (en) * 2008-11-11 2011-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Ventilated front-opening unified pod
US8275478B2 (en) * 2009-03-13 2012-09-25 Globalfoundries Inc. Method and apparatus for routing wafer pods to allow parallel processing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
TWI365836B (en) * 2009-05-08 2012-06-11 Gudeng Prec Industral Co Ltd Wafer container with the magnetic latch
JP2011018771A (ja) * 2009-07-09 2011-01-27 Shin Etsu Polymer Co Ltd 基板収納容器
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5452152B2 (ja) * 2009-09-30 2014-03-26 株式会社日立ハイテクノロジーズ 検査装置
US8500876B2 (en) * 2009-12-10 2013-08-06 Msx, Incorporated Automatic air dehydrator with offsite data management
TWI515159B (zh) * 2009-12-10 2016-01-01 安堤格里斯公司 用於微環境之可供滌洗氣穿透之多孔壁
JP4888575B2 (ja) * 2010-02-17 2012-02-29 日産自動車株式会社 乾燥装置及び乾燥方法
JP5794497B2 (ja) * 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 連結システム
FR2963327B1 (fr) * 2010-07-27 2012-08-24 Air Liquide Dispositif de stockage d'articles sous atmosphere controlee
JP5146855B2 (ja) * 2010-08-09 2013-02-20 村田機械株式会社 天井走行車システム
TWI434725B (zh) * 2011-03-08 2014-04-21 Asia Union Electronical Chemical Corp 利用氫氧基化合物和離子交換樹脂吸附以純化氟酸系蝕刻液的處理方法
US20140157985A1 (en) * 2011-05-03 2014-06-12 University Of Mississippi Dehumidification Systems and Methods Thereof
US20120297741A1 (en) * 2011-05-25 2012-11-29 John Reid Open top work cell having a fluid barrier
CN102278792A (zh) * 2011-06-09 2011-12-14 湖北菁春生物技术有限公司 无菌车间内冷冻干燥设备配套冷库的空气过滤装置
CN103620758B (zh) 2011-06-28 2017-02-15 动力微***公司 半导体储料器***和方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013023099A1 (en) 2011-08-09 2013-02-14 Kateeva, Inc. Face-down printing apparatus and method
US9120344B2 (en) 2011-08-09 2015-09-01 Kateeva, Inc. Apparatus and method for control of print gap
US20130069450A1 (en) * 2011-09-16 2013-03-21 Persimmon Technologies, Corp. Robot Drive With Passive Rotor
TWI483337B (zh) 2011-09-16 2015-05-01 Inotera Memories Inc 用於判斷晶圓承載裝置的閘門是否關閉的閘門偵測系統
US10100402B2 (en) 2011-10-07 2018-10-16 International Business Machines Corporation Substrate holder for graphene film synthesis
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130123966A1 (en) * 2011-11-14 2013-05-16 Shenzhen China Star Optoelectronics Technology Co., Ltd. Spatial three-dimensional inline handling system
KR102341544B1 (ko) * 2011-12-22 2021-12-21 카티바, 인크. 가스 엔클로저 시스템
US20130174640A1 (en) * 2012-01-10 2013-07-11 Texas Instruments Incorporated Pod having top cover aperture for detecting surrounding gas within the pod
US9607864B2 (en) * 2012-05-23 2017-03-28 Stmicroelectronics, Inc. Dual medium filter for ion and particle filtering during semiconductor processing
JP5699995B2 (ja) * 2012-07-02 2015-04-15 株式会社デンソー 電力変換装置
US9926626B2 (en) 2012-07-09 2018-03-27 Bengbu Design & Research Institute For Glass Industry System and method for processing substrates
US20140087649A1 (en) * 2012-09-26 2014-03-27 Shenzhen China Star Optoelectronics Technology Co. Ltd. Cleanroom and Cleaning Apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN102889249B (zh) * 2012-10-30 2015-07-15 深圳市华星光电技术有限公司 一种风机滤器单元和无尘室空气净化***
US9136149B2 (en) * 2012-11-16 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Loading port, system for etching and cleaning wafers and method of use
KR102548468B1 (ko) 2013-01-22 2023-06-27 브룩스 오토메이션 인코퍼레이티드 기판 이송기
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6012861B2 (ja) * 2013-05-24 2016-10-25 ヤマハ発動機株式会社 プリント基板用作業装置
JP5776947B2 (ja) * 2013-06-12 2015-09-09 株式会社ダイフク 保管棚用の不活性ガス注入装置
JP6044467B2 (ja) * 2013-06-26 2016-12-14 株式会社ダイフク 保管システム
CN105324715B (zh) 2013-07-03 2019-12-10 村田机械株式会社 保管容器
US11077607B2 (en) 2013-10-21 2021-08-03 Made In Space, Inc. Manufacturing in microgravity and varying external force environments
US10705509B2 (en) 2013-10-21 2020-07-07 Made In Space, Inc. Digital catalog for manufacturing
US9837293B2 (en) 2013-10-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for charging gas into cassette pod
TWI695447B (zh) 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
KR20230048164A (ko) 2013-11-13 2023-04-10 브룩스 오토메이션 인코퍼레이티드 밀봉된 스위치드 릴럭턴스 모터
KR102503399B1 (ko) 2013-11-13 2023-02-24 브룩스 오토메이션 인코퍼레이티드 씰링된 로봇 드라이브
KR102383699B1 (ko) 2013-11-13 2022-04-06 브룩스 오토메이션 인코퍼레이티드 브러쉬리스 전기 기계 제어 방법 및 장치
EP3087623B1 (en) 2013-12-26 2021-09-22 Kateeva, Inc. Thermal treatment of electronic devices
US9343678B2 (en) 2014-01-21 2016-05-17 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
CN107256840B (zh) 2014-01-21 2019-05-31 科迪华公司 用于电子装置封装的设备和技术
KR102162366B1 (ko) 2014-01-21 2020-10-06 우범제 퓸 제거 장치
JP6226190B2 (ja) * 2014-02-20 2017-11-08 Tdk株式会社 パージシステム、及び該パージシステムに供せられるポッド及びロードポート装置
US10307970B2 (en) 2014-02-20 2019-06-04 Made In Space, Inc. In-situ resource preparation and utilization methods
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9586226B2 (en) 2014-04-30 2017-03-07 Kateeva, Inc. Gas cushion apparatus and techniques for substrate coating
TWM489155U (en) * 2014-06-09 2014-11-01 Gudeng Precision Industrial Co Ltd Gas diffusion device of wafer pod
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6450156B2 (ja) * 2014-11-12 2019-01-09 ミライアル株式会社 ガスパージ用フィルタ
KR20210080633A (ko) 2014-11-25 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 및 퍼지 챔버 환경 제어들을 이용하는 기판 프로세싱 시스템들, 장치, 및 방법들
KR102068882B1 (ko) 2014-11-26 2020-01-21 카티바, 인크. 환경적으로 제어되는 코팅 시스템
WO2016131190A1 (en) * 2015-02-17 2016-08-25 Solarcity Corporation Method and system for improving solar cell manufacturing yield
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107851595A (zh) * 2015-06-15 2018-03-27 恩特格里斯公司 具有具单一主体构造的门的晶片载体
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170194181A1 (en) * 2016-01-04 2017-07-06 Micron Technology, Inc. Overhead traveling vehicle, transportation system with the same, and method of operating the same
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107284856A (zh) * 2016-04-11 2017-10-24 深圳市辰中科技有限公司 用于精密生产的环境保持***及方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US20170311616A1 (en) * 2016-04-29 2017-11-02 Storage Control Systems, Inc. Atmospheric pressure control system
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177019B2 (en) * 2016-09-26 2019-01-08 Samsung Electronics Co., Ltd. Vacuum-assisted vessel environmental contaminant purging
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180078419A (ko) * 2016-12-29 2018-07-10 삼성전자주식회사 캐리어
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10741432B2 (en) * 2017-02-06 2020-08-11 Applied Materials, Inc. Systems, apparatus, and methods for a load port door opener
JP6747527B2 (ja) * 2017-02-07 2020-08-26 村田機械株式会社 ストッカ
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR101956797B1 (ko) * 2017-06-09 2019-03-12 주식회사 저스템 웨이퍼 용기의 가스공급장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10861692B2 (en) * 2017-10-26 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier deterioration detection and repair
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10763134B2 (en) * 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019194327A1 (ko) * 2018-04-02 2019-10-10 우범제 웨이퍼 수납용기
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7206678B2 (ja) * 2018-07-30 2023-01-18 Tdk株式会社 ロードポート装置、半導体製造装置及びポッド内雰囲気の制御方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7280348B2 (ja) 2018-08-28 2023-05-23 インテグリス・インコーポレーテッド 基板容器用の膜ディフューザ
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10969124B2 (en) 2018-09-13 2021-04-06 University Of Mississippi Vacuum sweep dehumidification system
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
TW202015196A (zh) * 2018-10-12 2020-04-16 國立臺北科技大學 層流裝置
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11610794B2 (en) * 2018-10-26 2023-03-21 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating the same
US11749537B2 (en) * 2018-10-26 2023-09-05 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating equipment front end modules
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US20200174374A1 (en) * 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of storing photoresist coated substrates and semiconductor substrate container arrangement
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11130412B2 (en) 2018-12-10 2021-09-28 Zoox, Inc. Charge coupler and method for autonomously charging vehicle batteries
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11430672B2 (en) * 2019-03-04 2022-08-30 Applied Materials, Inc. Drying environments for reducing substrate defects
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN112018007A (zh) * 2019-05-31 2020-12-01 芯恩(青岛)集成电路有限公司 用于smif和机台的连接罩、半导体设备和制造方法
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
TWI706525B (zh) * 2019-08-22 2020-10-01 南韓商責市特馬股份有限公司 裝載埠模組的前開式晶圓傳送盒的降低濕度裝置及具備其的半導體製程裝置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP7362920B2 (ja) * 2019-11-08 2023-10-17 インテグリス・インコーポレーテッド 環境調整物質ホルダ
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11251064B2 (en) 2020-03-02 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer frame sorter and stocker
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102331968B1 (ko) * 2020-06-08 2021-11-25 엄도윤 내부에 정화필터가 적용된 가스 캐비넷
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP7229975B2 (ja) * 2020-08-07 2023-02-28 日本電子株式会社 自動分析装置および保冷庫
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11854848B2 (en) * 2020-11-03 2023-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Air processing system for semiconductor container
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11752232B2 (en) * 2020-12-03 2023-09-12 Gholam Hossein Zereshkian Personalized forced air purifier
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220233986A1 (en) * 2021-01-27 2022-07-28 Vironaire Inc. High-efficiency particulate air filter assembly
US20220293440A1 (en) * 2021-03-11 2022-09-15 Taiwan Semiconductor Manufacturing Company Limited Load port and methods of operation
US11923225B2 (en) * 2021-03-23 2024-03-05 Taiwan Semiconductor Manufacturing Company Limited Processing arrangement and method for adjusting gas flow
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113363184A (zh) * 2021-05-28 2021-09-07 上海华力微电子有限公司 半导体加工机台、半导体加工***及晶圆搬运方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116889776B (zh) * 2023-07-14 2024-05-10 营口市中日友协环保节能设备有限责任公司 一种湿式除尘回收***及其设备和方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4471716A (en) * 1981-01-15 1984-09-18 Fluoroware, Inc. Wafer carrier
DE3883298T2 (de) 1987-06-08 1994-03-10 Mitsubishi Electric Corp Vorrichtung zur Steuerung des Feuchtigkeitsgehaltes.
JPH03143518A (ja) * 1989-10-27 1991-06-19 Mitsubishi Electric Corp 空気清浄装置
EP0496006A1 (en) * 1991-01-19 1992-07-29 International Business Machines Corporation Wafer transfer apparatus
DE69208284T2 (de) 1991-05-16 1996-09-19 Philips Electronics Nv Verfahren und Anordnung für ein einfaches und/oder Mehrstufen-Störreduktionssystem in Bildern
US5255797A (en) * 1992-02-26 1993-10-26 Fluoroware, Inc. Wafer carrier with wafer retaining cushions
JPH0629373A (ja) 1992-03-13 1994-02-04 Ebara Res Co Ltd ストッカ
US5380503A (en) * 1992-03-13 1995-01-10 Ebara Research Co., Ltd. Stocker
US5749469A (en) * 1992-05-15 1998-05-12 Fluoroware, Inc. Wafer carrier
US5346518A (en) * 1993-03-23 1994-09-13 International Business Machines Corporation Vapor drain system
JPH06291177A (ja) * 1993-03-31 1994-10-18 Sony Corp 半導体材料収納用具
JPH06318631A (ja) * 1993-05-07 1994-11-15 Kawasaki Steel Corp ウエハ搬送用ボックス
JPH07125806A (ja) * 1993-11-01 1995-05-16 Hitachi Ltd 半導体製造装置
JP3246182B2 (ja) * 1994-04-28 2002-01-15 日立プラント建設株式会社 エアシャワー装置
JPH0929020A (ja) * 1995-05-18 1997-02-04 Taisei Corp エアフィルターの使用方法および製造方法
JPH1140207A (ja) 1997-07-22 1999-02-12 Sanyo Electric Co Ltd パック電池と充電台
JP3933394B2 (ja) * 1997-12-03 2007-06-20 株式会社荏原製作所 クリーンボックス
JPH11195699A (ja) * 1998-01-05 1999-07-21 Kokusai Electric Co Ltd 気密容器
JPH11274282A (ja) * 1998-03-23 1999-10-08 Toshiba Corp 基板収納容器、基板収納容器清浄化装置、基板収納容器清浄化方法および基板処理装置
JPH11314703A (ja) * 1998-04-30 1999-11-16 Ebara Corp 基板保管装置
JP4089931B2 (ja) * 1998-12-01 2008-05-28 株式会社東芝 基板収納装置
JP4305988B2 (ja) * 1999-01-27 2009-07-29 シンフォニアテクノロジー株式会社 ウエーハキャリア
JP2000253596A (ja) * 1999-02-26 2000-09-14 Matsushita Electric Ind Co Ltd 2次電池充電装置と充電方法
JP3925762B2 (ja) * 1999-04-26 2007-06-06 株式会社Sumco ウェーハ収納方法およびこれに用いられるウェーハケース
JP3916380B2 (ja) * 1999-07-06 2007-05-16 株式会社荏原製作所 基板搬送容器待機ステーション
JP2001174109A (ja) 1999-12-15 2001-06-29 Mitsubishi Electric Corp 冷媒回収装置および冷媒回収方法および冷凍サイクルの洗浄方法および冷凍サイクルの交換方法および冷凍サイクル装置
US6547953B2 (en) 2000-01-28 2003-04-15 Ebara Corporation Substrate container and method of dehumidifying substrate container
JP4043705B2 (ja) 2000-09-27 2008-02-06 株式会社東芝 半導体装置の製造方法、ウェハ処理装置、及びウェハ保管箱
JP2003093825A (ja) 2001-09-27 2003-04-02 Ebara Corp ガス除去方法及びガス除去フィルタ

Cited By (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6829130B2 (en) 2000-11-15 2004-12-07 Ebara Corporation Power supply apparatus for supplying electric power to substrate carrier container
JP2004527899A (ja) * 2001-01-10 2004-09-09 エンテグリス カイマン リミテッド 内部環境モニタを含む可搬式コンテナ
JP2003110013A (ja) * 2001-09-28 2003-04-11 Toshiba Corp 収納装置および半導体装置の製造方法
JP2006513583A (ja) * 2002-12-03 2006-04-20 センサレー コーポレイション 統合化されたプロセス条件検知用ウェハおよびデータ解析システム
US7855549B2 (en) 2002-12-03 2010-12-21 Kla-Tencor Corporation Integrated process condition sensing wafer and data analysis system
JP2004363219A (ja) * 2003-06-03 2004-12-24 Fujitsu Ltd 保管装置の制御方法及び保管システム
JP2005031489A (ja) * 2003-07-08 2005-02-03 Hoya Corp マスクブランクス等の収納容器及びマスクブランクスの収納方法並びにマスクブランクス収納体
US7838182B2 (en) 2003-07-08 2010-11-23 Hoya Corporation Container for housing a mask blank, method of housing a mask blank, and mask blank package
KR101080531B1 (ko) * 2003-07-08 2011-11-04 호야 가부시키가이샤 마스크 블랭크 수납용 컨테이너, 마스크 블랭크 수납 방법, 및 마스크 블랭크 패키지
JP2005043796A (ja) * 2003-07-25 2005-02-17 Hoya Corp マスクブランクスの収納容器、マスクブランクスの収納方法及びマスクブランクス収納体並びにマスクブランクス収納体の輸送方法
JP2005044979A (ja) * 2003-07-28 2005-02-17 Nippon Steel Corp ウェハ保管方法及びバンプ形成方法
JP2005062462A (ja) * 2003-08-12 2005-03-10 Hoya Corp マスクブランクスの収納方法、マスクブランクス収納体及びマスクブランクスの製造方法
JP2005070390A (ja) * 2003-08-25 2005-03-17 Hoya Corp マスクブランクス収納用部材の処理方法、マスクブランクス収納用部材の製造方法及びマスクブランクス収納体
JP2007520895A (ja) * 2004-02-05 2007-07-26 インテグリス・インコーポレーテッド ウェハ輸送コンテナのパージング
JP2005324913A (ja) * 2004-05-13 2005-11-24 Kyokuhei Glass Kako Kk ガラス基板搬送用ボックスに用いる空気清浄具及びその使用方法
JP2009503899A (ja) * 2005-08-03 2009-01-29 インテグリス・インコーポレーテッド 移送容器
JP4584894B2 (ja) * 2006-05-17 2010-11-24 台湾積體電路製造股▲ふん▼有限公司 キャリヤ及び搬送連結システム
US7918251B2 (en) 2006-05-17 2011-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier and facility interface and apparatus including same
JP2007311742A (ja) * 2006-05-17 2007-11-29 Taiwan Semiconductor Manufacturing Co Ltd キャリヤ,設備連結装置,およびこれらを有した搬送連結システム
US8119020B2 (en) 2006-07-20 2012-02-21 Kabushiki Kaisha Toshiba Method for manufacturing electronic device
JP2008024429A (ja) * 2006-07-20 2008-02-07 Toshiba Corp 電子装置の製造方法
JP2008282939A (ja) * 2007-05-10 2008-11-20 Shimizu Corp 汚染物質除去システム
JP2008296069A (ja) * 2007-05-29 2008-12-11 Kondo Kogyo Kk 薄板状物製造装置における、微粒子、または微粒子並びに有害ガスの除去を目的とする空気清浄装置
JP2011507309A (ja) * 2007-12-18 2011-03-03 エンテグリス・インコーポレーテッド 基板の汚染を抑制するための方法および装置
WO2009091002A1 (ja) * 2008-01-17 2009-07-23 Showa Denko K.K. 電気二重層キャパシタ
KR101851095B1 (ko) * 2008-03-13 2018-04-20 엔테그리스, 아이엔씨. 관형 환경 제어 요소를 갖는 웨이퍼 용기
JP2009289867A (ja) * 2008-05-28 2009-12-10 Shin-Etsu Chemical Co Ltd 搬送装置
US8349087B2 (en) 2009-01-16 2013-01-08 Renesas Electronics Corporation Semiconductor device manufacturing method, wafer treatment system, and recording medium
JP2011178515A (ja) * 2010-03-01 2011-09-15 Gendai Plant:Kk 揮発性薬剤充填容器の保管用ラック装置
WO2011132553A1 (ja) * 2010-04-22 2011-10-27 信越ポリマー株式会社 基板収納容器
JP2015130534A (ja) * 2010-04-22 2015-07-16 信越ポリマー株式会社 基板収納容器
US8881907B2 (en) 2010-04-22 2014-11-11 Shin-Etsu Polymer Co., Ltd. Substrate storage container with gravity center adjustment member
JP2011233434A (ja) * 2010-04-28 2011-11-17 Toshiba Lighting & Technology Corp 標識灯
WO2011135996A1 (ja) * 2010-04-28 2011-11-03 東芝ライテック株式会社 標識灯
WO2013035448A1 (ja) * 2011-09-06 2013-03-14 村田機械株式会社 搬送車システム及び搬送車への充電方法
JP5668861B2 (ja) * 2011-09-06 2015-02-12 村田機械株式会社 搬送車システム及び搬送車への充電方法
TWI508906B (zh) * 2011-11-11 2015-11-21 Ls Tec Co Ltd 淨化以防止氣態分子汙染物和自然氧化物的裝置
JP2014067744A (ja) * 2012-09-24 2014-04-17 Tokyo Electron Ltd 搬送装置及び処理装置
JP2021193671A (ja) * 2012-11-30 2021-12-23 カティーバ, インコーポレイテッド ガスエンクロージャアセンブリおよびシステム
JP2015029057A (ja) * 2013-06-28 2015-02-12 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びに記録媒体
JP7453951B2 (ja) 2013-08-12 2024-03-21 アプライド マテリアルズ インコーポレイテッド ファクトリインターフェースの環境制御を伴う基板処理のシステム、装置、及び方法
JP2022017382A (ja) * 2013-08-12 2022-01-25 アプライド マテリアルズ インコーポレイテッド ファクトリインターフェースの環境制御を伴う基板処理のシステム、装置、及び方法
KR101805525B1 (ko) 2013-12-31 2017-12-07 소피아 원 반도체 웨이퍼 세정 시스템
JP2020040410A (ja) * 2014-05-21 2020-03-19 ツェーエル・シュッツレヒツフェアヴァルトゥングス・ゲゼルシャフト・ミト・べシュレンクテル・ハフツング 粉末状製作材料から三次元物品を造型的に製造する装置
US11090864B2 (en) 2014-05-21 2021-08-17 Concept Laser Gmbh Device for the additive manufacturing of three-dimensional objects from powdery building material
TWI689028B (zh) * 2015-11-18 2020-03-21 日商大福股份有限公司 搬運裝置
JP2017095183A (ja) * 2015-11-18 2017-06-01 株式会社ダイフク 搬送設備
US11135623B2 (en) 2016-12-13 2021-10-05 Tdk Corporation Wafer transport container interior atmosphere measurement device, wafer transport container, wafer transport container interior cleaning device, and wafer transport container interior cleaning method
JP2018098358A (ja) * 2016-12-13 2018-06-21 Tdk株式会社 ウエハ搬送容器内雰囲気計測装置、ウエハ搬送容器、ウエハ搬送容器内清浄化装置及びウエハ搬送容器内清浄化方法
JP2018190827A (ja) * 2017-05-02 2018-11-29 信越化学工業株式会社 基板収納容器、これを用いた基板の保管方法及び基板の搬送方法
JP2018206821A (ja) * 2017-05-30 2018-12-27 Tdk株式会社 ロードポート装置及びウエハ搬送容器
JP7110663B2 (ja) 2018-03-28 2022-08-02 Tdk株式会社 ウエハ収容容器及びウエハ収容容器の清浄化方法
JP2019176024A (ja) * 2018-03-28 2019-10-10 Tdk株式会社 ウエハ収容容器及びウエハ収容容器の清浄化方法
JP7234527B2 (ja) 2018-07-30 2023-03-08 Tdk株式会社 センサー内蔵フィルタ構造体及びウエハ収容容器
JP2020021778A (ja) * 2018-07-30 2020-02-06 Tdk株式会社 センサー内蔵フィルタ構造体及びウエハ収容容器
JP2020077491A (ja) * 2018-11-06 2020-05-21 トヨタ自動車株式会社 電池製造装置
JP2020087960A (ja) * 2018-11-15 2020-06-04 東京エレクトロン株式会社 搬送ロボットシステム、教示方法、及びウエハ収容容器
JP7101102B2 (ja) 2018-11-15 2022-07-14 東京エレクトロン株式会社 搬送ロボットシステム、教示方法、及びウエハ収容容器
JP7467152B2 (ja) 2020-02-13 2024-04-15 東京エレクトロン株式会社 収容容器及び基板状センサの充電方法
US11984332B2 (en) 2020-02-13 2024-05-14 Tokyo Electron Limited Container and method for charging substrate-like sensor
JP2021132193A (ja) * 2020-02-18 2021-09-09 ケンタプス カンパニー リミテッド キャリア内部の汚染管理機能を有する自動搬送システム
JP7177120B2 (ja) 2020-02-18 2022-11-22 ケンタプス カンパニー リミテッド キャリア内部の汚染管理機能を有する自動搬送システム
US11841167B2 (en) * 2020-07-29 2023-12-12 National Taipei University Of Technology Air curtain device and method for manufacturing the same
US20220034532A1 (en) * 2020-07-29 2022-02-03 National Taipei University Of Technology Air curtain device and method for manufacturing the same
JP7427049B2 (ja) 2021-05-31 2024-02-02 セメス カンパニー,リミテッド 基板処理装置及び基板処理システム
JP2022184764A (ja) * 2021-05-31 2022-12-13 セメス カンパニー,リミテッド 基板処理装置及び基板処理システム
JP7499828B2 (ja) 2021-12-22 2024-06-14 サムス カンパニー リミテッド 半導体製造工場の物品保管設備、及びそれを含む半導体製造工場の物流システム

Also Published As

Publication number Publication date
EP1343202A1 (en) 2003-09-10
US6758876B2 (en) 2004-07-06
JP3939101B2 (ja) 2007-07-04
US20020124906A1 (en) 2002-09-12
EP1343202A4 (en) 2009-01-21
TW533174B (en) 2003-05-21
KR20020076278A (ko) 2002-10-09
US20040187451A1 (en) 2004-09-30
WO2002047152A1 (fr) 2002-06-13

Similar Documents

Publication Publication Date Title
JP3939101B2 (ja) 基板搬送方法および基板搬送容器
JP4052947B2 (ja) 基板搬送容器
US6875282B2 (en) Substrate transport container
TW550220B (en) Substrate transport container
EP1938370B1 (en) Apparatus to support a cleanspace fabricator
KR20010078077A (ko) 기판용기
US6558962B2 (en) Method of manufacturing semiconductor devices with use of wafer carrier having conditioning units
US20120325349A1 (en) Substrate accommodation device
JP2002122382A (ja) 基板容器
US6395240B1 (en) Carrier box for semiconductor substrate
US6829130B2 (en) Power supply apparatus for supplying electric power to substrate carrier container
JP2002176097A (ja) 基板搬送容器およびその使用方法
JP3305663B2 (ja) 半導体基板用搬送ボックス
JP3305647B2 (ja) 半導体基板用搬送ボックス
US20060102284A1 (en) Semiconductor manufacturing equipment

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040217

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061010

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061211

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070116

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070305

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070327

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070327

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees