CN1282243C - 具有铜布线的半导体器件 - Google Patents

具有铜布线的半导体器件 Download PDF

Info

Publication number
CN1282243C
CN1282243C CNB021513902A CN02151390A CN1282243C CN 1282243 C CN1282243 C CN 1282243C CN B021513902 A CNB021513902 A CN B021513902A CN 02151390 A CN02151390 A CN 02151390A CN 1282243 C CN1282243 C CN 1282243C
Authority
CN
China
Prior art keywords
layer
interlayer dielectric
grid
silicon
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB021513902A
Other languages
English (en)
Other versions
CN1449034A (zh
Inventor
各務克巳
鹰尾義弘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Socionext Inc
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Publication of CN1449034A publication Critical patent/CN1449034A/zh
Application granted granted Critical
Publication of CN1282243C publication Critical patent/CN1282243C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种具有p沟道MOS晶体管的半导体器件,其中包括:含氮的氧化硅的栅绝缘膜;含硼的硅的栅极;在栅极的侧壁上包含氧化硅的侧壁衬垫;具有平面化表面的层间绝缘膜;形成在层间绝缘膜中的布线沟槽的接触通孔;包括下层阻挡层和上层铜区并且填充在该布线沟槽中的铜布线图案;以及覆盖铜布线图案的碳化硅层。该半导体器件具有能够抑制NBTI恶化的晶体管结构。

Description

具有铜布线的半导体器件
对相关申请的交叉引用
本申请基于在2002年3月28日递交的日本专利申请No.2002-091306,其全部内部被包含于此以供参考。
技术领域
本发明涉及半导体器件,特别涉及具有铜布线的半导体器件。
背景技术
为了实现LSI电路(大规模集成电路)的高速操作和低功耗,使器件单元制作精细,并且减小驱动电压和布线电阻。为了减小布线的电阻,使用比通常采用的铝布线更低电阻率的铜布线。铜层的精细构图是困难的,从而通常采用镶嵌结构。对于精细器件单元和低功率,需要以低电压实现晶体管的高速操作。为此目的,使栅绝缘膜制作为相当薄。
在作为层间绝缘膜的氧化硅膜中,铜的扩散速度是非常快的。当铜在层间绝缘膜中扩散时,晶体管性能下降。如果铜被用作为布线的材料,则需要把一个扩散防止膜设置在铜布线周围。氧化膜几乎不能够抑制铜的扩散。
铜布线层被淀积在通过氧化硅膜形成的沟槽(和/或通孔)中,并且暴露下布线层或下导电层。在这种情况中,阻挡金属层和铜布线层被叠加,该阻挡金属层具有防止铜扩散的功能。由于阻挡金属层被置于铜布线层和氧化硅膜之间,因此可以防止铜扩散到氧化硅膜中。通过化学机械抛光(CMP)等等方法除去淀积在氧化硅膜上的铜布线层。如果上氧化硅层被淀积在该基片上,则暴露的布线层的铜扩散到上氧化硅层中。作为具有防止铜扩散功能的绝缘部件的氮化硅膜被形成为覆盖该镶嵌的铜布线层。
氧化硅层被广泛用作为栅绝缘膜。如果栅氧化膜被减薄,则通过栅氧化膜的直接隧道电流增加,即栅极泄漏电流增加。为了减小栅极泄漏电流,栅绝缘膜的物理厚度被增加。
为了加厚栅绝缘膜并且实现类似于减薄的栅绝缘膜的晶体管驱动能力,需要使用高绝缘常数的绝缘体作为栅绝缘膜的材料。当栅绝缘膜的绝缘常数较高时,可以增加该膜的物理厚度,并且形成电减薄的栅绝缘膜。从而能够实现抑制栅极泄露电流并且提高晶体管驱动能力。
在表面沟道型p沟道晶体管中,如果在栅极中的硼杂质被扩散到栅绝缘膜,并且到达沟道区,则晶体管的性能下降。
为了减轻该问题,采用在栅绝缘膜中掺杂氮的技术。如果在栅绝缘膜中的氮浓度太高,则在栅绝缘膜和半导体基片之间的界面处的氮浓度变高,从而在形成于栅极表面层中的沟道内流动的电子/空穴的运动受到阻碍。
流过晶体管的漏极电流恶化的现象被称为负偏压温度不稳定性(NBTI)。当通过把电压施加到栅极而在升高的温度中对p沟道MOS晶体管进行加速测试时,该恶化表现为减小的漏极电流。铜布线的单位对数时间(stress time)的漏极电流恶化ΔIds比铝布线更大。
即使栅绝缘膜被加厚以减小直接隧道电流,NBTI恶化导致一个问题。如果在栅绝缘膜中的氮浓度增加,则NBTI恶化增加。
如果栅绝缘膜被减薄以提高LSI的操作性能,则出现许多问题。这样需要一种能够解决这些问题的新型晶体管结构。
发明内容
本发明的一个目的是提供一种具有能够抑制NBTI恶化的晶体管结构的半导体器件。
本发明的另一个目的是提供一种半导体器件,其具有实现小的栅极泄漏电流并且表现良好的晶体管特性的晶体管结构。
本发明的其它目的是提供一种半导体器件,其具有性能优良的精细晶体管。
根据本发明的一个方面,在此提供一种半导体器件,其中包括:具有n型区的硅基片;形成在n型区上的栅绝缘膜,该栅绝缘膜由含氮的氧化硅所制成;形成在栅绝缘膜上的栅极,该栅极由含硼的硅所制成;形成在栅极两侧上的硅基片的表面层中的p型源/漏区;形成在栅极的侧壁上的侧壁衬垫,该侧壁衬垫由氧化硅所制成;具有平面化的表面并且覆盖该栅极和侧壁衬垫的层间绝缘膜;形成在层间绝缘膜中从平面化的表面到其内部的布线沟槽;包括下层阻挡层和上层铜区的铜布线图案,该铜布线图案被填充在布线沟槽中;形成在层间绝缘膜上并且覆盖铜布线图案的碳化硅层。
根据本发明另一方面,在此提供一种半导体器件,其中包括:具有n型区的硅基片;形成在n型区上的栅绝缘膜,该栅绝缘膜由含氮的氧化硅所制成;形成在栅绝缘膜上的栅极,该栅极由含硼的硅所制成;形成在栅极两侧上的硅基片的表面层中的p型源/漏区;形成在栅极的侧壁上的侧壁衬垫,该侧壁衬垫由叠加的氧化硅层和氮化硅层所制成;具有平面化的表面并且覆盖该栅极和侧壁衬垫的层间绝缘膜;形成在层间绝缘膜中从平面化的表面到其内部的布线沟槽;以及包括Ta或Ti的下层阻挡层和上层铜区的铜布线图案,该铜布线图案被填充在布线沟槽中。
根据本发明的另一个方面,在此提供一种半导体器件,其中包括:具有n型区的硅基片;形成在n型区上的栅绝缘膜,该栅绝缘膜由具有不同电介常数的两个或多个层面的叠层结构所制成;形成在栅绝缘膜上的栅极,该栅极由含硼的硅所制成;形成在栅极两侧上的硅基片的表面层中的p型源/漏区;具有平面化的表面并且覆盖该栅极的层间绝缘膜;形成在层间绝缘膜中从平面化的表面到其内部的布线沟槽;填充在布线沟槽中的铜布线图案;以及形成在铜布线图案的上下表面上的不含氮的铜扩散防止绝缘膜。
根据本发明要求保护的技术方案,提供一种半导体器件,其中包括:具有n型区的硅基片;形成在n型区上的栅绝缘膜,该栅绝缘膜由含氮的氧化硅所制成;形成在所述栅绝缘膜上的栅极,该栅极由含硼的硅所制成;形成在所述栅极两侧上的所述硅基片的表面层中的p型源/漏区;形成在所述栅极的侧壁上的侧壁衬垫,该侧壁衬垫由氧化硅所制成;有平面化的表面并且覆盖该栅极和侧壁衬垫的第一层间绝缘膜;形成在层间绝缘膜中从平面化的表面到其内部的布线沟槽;包括下层阻挡层和上层铜区的铜布线图案,所述铜布线图案被填充在布线沟槽中;形成在所述层间绝缘膜上并且覆盖所述铜布线图案的碳化硅层;在碳化硅层上直接形成的第二层间绝缘膜。
根据本发明的上述半导体器件,其中所述侧壁衬垫由单个氧化硅层所制成。
根据本发明的上述半导体器件,其中所述侧壁衬垫由氮化硅层和上层氧化硅层的叠层所制成。
根据本发明的上述半导体器件,其中所述栅绝缘膜的氧化硅包括至少1at%的氮。
根据本发明的上述半导体器件,其中所述第一层间绝缘膜包括由作为最下层的氮化硅所制成的阻蚀层。
根据本发明的上述半导体器件,其中所述第一层间绝缘膜包括包含阻蚀层的下层层间绝缘膜和包含具有比氧化硅的电介常数更低的低电介常数绝缘层的上层层间绝缘膜。
根据本发明的上述半导体器件,其中低电介常数绝缘膜是有机绝缘层。
根据本发明的上述半导体器件,其中所述栅绝缘膜包括如下之一:氧化硅层和氮化硅层的叠层;在表面层中具有增加的氮浓度的氧氮化硅层;含氮的氧化硅层和具有比氮化硅更高的电介常数的氧化层的叠层;以及氮化硅层和具有比氮化硅更高的电介常数的氧化层的叠层。
本发明还提供一种半导体器件,其中包括:具有n型区的硅基片;形成在n型区上的栅绝缘膜,该栅绝缘膜由含氮的氧化硅所制成;形成在所述栅绝缘膜上的栅极,该栅极由含硼的硅所制成;形成在所述栅极两侧上的所述硅基片的表面层中的p型源/漏区;形成在所述栅极的侧壁上的所述侧壁衬垫,所述侧壁衬垫由氧化硅层和氮化硅层的叠层所制成;具有平面化的表面并且覆盖该栅极和侧壁衬垫的层间绝缘膜;形成在所述层间绝缘膜中从平面化的表面到其内部的布线沟槽;以及铜布线图案,包括Ta或Ti的下层阻挡层和直接在下层阻挡层上形成的上层铜区,所述铜布线图案被填充在上述布线沟槽中。
本发明还提供一种制造半导体器件的方法,其中包括如下步骤:在具有n型区的硅基片上形成包括含氮的氧化硅的栅绝缘膜以及包括含硼的硅的栅极;在栅极的两侧上的硅基片的表面层中形成p型源/漏区;在栅极的侧壁上形成包含氧化硅的侧壁衬垫;形成具有平面化的表面并且覆盖该栅极和侧壁衬垫的层间绝缘膜;在层间绝缘膜中形成从平面化表面到其内部的沟槽,并且在该沟槽中填充包含下层阻挡层和上层铜区的铜布线图案;在层间绝缘膜上形成氮化硅层,该氮化硅层覆盖铜布线图案;以及使硅基片在至少340℃的温度下进行热处理。
根据本发明的上述方法,其中所述形成层间绝缘膜的步骤包括形成下层层间绝缘膜、形成通过下层层间绝缘膜的导电插塞以及形成上层层间绝缘膜。
本发明还提供一种半导体器件,其中包括:具有n型区的硅基片;形成在n型区上的栅绝缘膜,该栅绝缘膜由具有不同电介常数的两个或多个层面的叠层结构所制成;形成在所述栅绝缘膜上的栅极,该栅极由含硼的硅所制成;形成在所述栅极两侧上的所述硅基片的表面层中的p型源/漏区;具有平面化的表面并且覆盖该栅极的层间绝缘膜;形成在所述层间绝缘膜中从平面化的表面到其内部的布线沟槽;填充在所述布线沟槽中的铜布线图案;以及形成在所述铜布线图案的上或下表面上的不含氮的铜扩散防止绝缘膜。
根据本发明的上述半导体器件,其中所述层间绝缘膜包括阻蚀层的下层层间绝缘膜和包含具有比氧化硅的电介常数更低的低电介常数绝缘层的上层层间绝缘膜。
根据本发明的上述半导体器件,其中低电介常数绝缘膜是有机绝缘层。
根据本发明的上述半导体器件,其中所述栅绝缘膜包括含有少于1at%的氮的下层绝缘膜以及含有至少1at%的氮的上层绝缘膜。
本发明还提供一种制造半导体器件方法,其中包括如下步骤:(a)通过在硅基片的n型区上形成包括含氮的氧化硅的栅绝缘膜而形成绝缘栅极型晶体管,在栅绝缘膜上形成包括含硼的硅的栅极,在栅极的两侧上的硅基片的表面层中形成p型源/漏区,以及在栅极的两侧上形成包含氧化硅的侧壁衬垫;(b)形成具有平面化的第一表面的第一层间绝缘膜以及具有平面化的第二表面的第二层间绝缘膜,分别覆盖绝缘栅极型晶体管;(c)形成从第一表面到达绝缘栅极型晶体管的通孔以及从第二表面到达该通孔的沟槽;(d)在该通孔中填充导电接触部件以及在该沟槽中填充包括下层阻挡层和上层铜层的铜布线;(e)在第二层间绝缘膜上形成氮化硅层,该氮化硅层覆盖铜布线;以及(f)在所述碳化硅层上直接形成一个层间绝缘层。
根据本发明的上述方法,其中所述步骤(b)、(c)和(d)包括如下步骤:(x1)形成第一层间绝缘膜;(x2)形成通孔;(x3)形成导电接触部件;(x4)形成第二层间绝缘膜;(x5)形成沟槽;以及(x6)形成铜布线。
根据本发明的上述方法,其中所述步骤(d)包括在该通孔和沟槽中填充阻挡层和铜层的步骤。
本发明还提供一种制造半导体器件的方法,其中包括如下步骤:(a)通过在硅基片的n型区上形成包括含氮的氧化硅的栅绝缘膜而形成绝缘栅极型晶体管,在栅绝缘膜上形成包括含硼的硅的栅极,在栅极的两侧上的硅基片的表面层中形成p型源/漏区,以及在栅极的两侧上形成包含氧化硅层和氮化硅层的叠层的侧壁衬垫;(b)形成具有平面化的第一表面的第一层间绝缘膜以及具有平面化的第二表面的第二层间绝缘膜,分别覆盖绝缘栅极型晶体管;(c)形成从第一表面到达绝缘栅极型晶体管的通孔以及从第二表面到达该通孔的沟槽;以及(d)在该通孔中填充导电接触部件以及在该沟槽中填充铜布线图案,该铜布线图案包括Ta或Ti的下层阻挡层和直接在下层阻挡层上形成的上层铜区。
根据本发明的上述方法,其中进一步包括如下步骤:(e)在第二层间绝缘膜上形成氮化硅层,该氮化硅层覆盖铜布线。
根据本发明的上述方法,其中所述步骤(b)、(c)和(d)包括如下步骤:(x1)形成第一层间绝缘膜;(x2)形成通孔;(x3)形成导电接触部件;(x4)形成第二层间绝缘膜;(x5)形成沟槽;以及(x6)形成铜布线。
根据本发明的上述方法,其中所述步骤(d)包括在该通孔和沟槽中填充阻挡层和铜层的步骤。
本发明还提供一种制造半导体器件的方法,其中包括如下步骤:(a)通过在硅基片的n型区上形成包括含氮的氧化硅的栅绝缘膜而形成绝缘栅极型晶体管,在栅绝缘膜上形成包括含硼的硅的栅极,在栅极的两侧上的硅基片的表面层中形成p型源/漏区,以及在栅极的侧壁上形成包含氧化硅的侧壁衬垫;(b)形成具有平面化的第一表面并且覆盖绝缘栅极型晶体管的第一层间绝缘膜;(c)形成从第一表面到达绝缘栅极型晶体管的通孔;(d)在该通孔中填充导电接触部件;(e)形成具有平面化的第二表面并且覆盖导电接触部件的第二层间绝缘膜;(f)形成从第二表面到达通孔的沟槽;(g)在该凹陷中填充形成包括下层阻挡层和上层铜区的铜布线;(h)在第二层间绝缘膜上形成碳化硅层,该碳化硅层覆盖铜布线;以及(i)使该硅基片至少在340℃的温度下进行热处理。
本发明还提供一种制造半导体器件的方法,其中包括如下步骤:(a)通过在硅基片的n型区上形成包括具有不同电介常数的两个或多个层面的叠层的栅绝缘膜而形成绝缘栅极型晶体管,在栅绝缘膜上形成包括含硼的硅的栅极,在栅极的两侧上的硅基片的表面层中形成p型源/漏区,以及形成包含氧化硅的侧壁衬垫;(b)形成具有平面化的第一表面的第一层间绝缘膜以及具有平面化的第二表面的第二层间绝缘膜,其分别覆盖绝缘栅极型晶体管;(c)形成从第一表面到达绝缘栅极型晶体管的通孔以及从第二表面到达该通孔的沟槽;(d)在该通孔中填充导电接触部件以及在该沟槽中填充包括下层阻挡层和上层铜层的铜布线;(e)在铜布线的上或下表面上形成不含氮的铜扩散防止绝缘膜;以及(f)在所述铜扩散防止绝缘膜上直接形成一个层间绝缘层。
根据本发明的上述方法,其中所述步骤(b)、(c)和(d)包括如下步骤:(x1)形成第一层间绝缘膜;(x2)形成通孔;(x3)形成导电接触部件;(x4)形成第二层间绝缘膜;(x5)形成沟槽;以及(x6)形成铜布线。
利用本发明的这种结构,可以提供抑制NBTI恶化的半导体器件。
附图说明
图1A和1B为示出用于实验的样本的结构的截面视图,以及图1C为示出NBTI恶化的测试结果的曲线图。
图2A为示出用于实验的样本的结构的截面视图,以及图2B为示出NBTI恶化的测量结果的曲线图。
图3A为示出用于实验的样本的结构的截面视图,图3B为示出阻挡金属的材料的例子,以及图3C为示出NBTI恶化的测量结果的曲线图。
图4A为示出用于实验的样本的结构的截面视图,图4B为示出侧壁衬垫的材料的例子,以及图4C为示出NBTI恶化的测量结果的曲线图。
图5A为示出NBTI恶化的测量结果的曲线图,以及图5B为通过傅利叶变换频谱示出样本的测量结果的曲线图。
图6A为示出用于实验的样本结构的截面视图,以及图6B为示出NBTI恶化的测量结果的曲线图。
图7为示出实现结果的曲线图。
图8A和8B为示出根据一个实施例的半导体器件的结构的示意截面视图。
图9A至9F为示出根据一个实施例的半导体器件制造方法的处理的示意截面示图。
具体实施方式
首先,描述由本发明人所作的实验以及其结果。
图1A为示出用于最初实验的样本的结构的截面示图。光刻胶的蚀刻掩膜被形成在硅基片11的表面上,并且硅基片11例如被蚀刻为300纳米的厚度,以形成一个沟槽。淀积氧化硅膜为具有大约500纳米的厚度,以埋住该沟槽。在硅基片11的表面上的氧化硅膜被通过化学机械抛光(CMP)而除去。仅仅使氧化硅膜12留在该沟槽中,以形成浅沟道绝缘(STI)。
光刻胶图案被形成为具有对应于一个阱区的开孔,以600keV的加速能量以及以3×1013cm-2的剂量把P+离子注入到硅基片11中,从以形成n阱。
包含4at%(原子百分比)的氮的氧化硅的栅绝缘膜13至少形成在硅基片11的有源区的表面上。氮浓度不被限于4at%,而是最好至少为1at%。还可以采用具有至少1at%的氮浓度的层面与具有小于1at%的氮浓度的另一个层面。在该栅绝缘膜13上,形成多晶硅的栅极层14。
在对栅极层14构图之后,氮化硅膜15和氧化硅膜16的叠层的侧壁衬垫被形成在栅极的侧壁上。例如,通过热CVD方法把氮化硅膜15淀积到大约20纳米的厚度,然后通过CVD方法把未掺杂的硅酸盐玻璃层16淀积到大约80纳米的厚度。在该平坦表面上的绝缘膜16和15被反应离子蚀刻(RIE)而除去,以仅仅把氧化硅膜16和氮化硅膜15保留在栅极14的侧壁上。
在形成侧壁衬垫之前或者在它们形成之前和之后,把p型杂质离子注入以形成在栅极14的两侧上掺杂有p型杂质的源/漏区18。
氮化硅膜20被通过化学汽相淀积(CVD)方法淀积在硅基片11的表面上。氮化硅膜提供与蚀刻要形成在氮化硅膜上的氧化硅膜相关的一个阻蚀层功能。在阻蚀膜20淀积之后,形成例如由磷硅玻璃(PSG)所制成的层间绝缘膜。
通过CMP、回流、腐蚀方法对层间绝缘膜21的表面进行平面化。具有用于形成接触孔的开孔的光刻胶图案被形成在层间绝缘膜上。形成填充在接触孔中的导电插塞22。例如,Ti/TiN/W的导电叠层结构被用于形成W插塞22。淀积在层间绝缘膜21的表面上的导电叠层结构被通过CMP等等方面而除去。
在层间绝缘膜21的表面上,形成例如由150纳米厚的低电介常数的绝缘膜(例如,商标为SiLK的薄膜)24和100纳米厚的未掺杂硅酸盐玻璃(USG)层25所形成的叠层层间绝缘膜。通过CMP等方法对未掺杂的硅酸盐玻璃膜25的表面进行平面化。
从该平面化的表面,形成通过层间绝缘膜24和25的沟槽。阻挡金属层26和铜层27被淀积埋住该沟槽。通过CMP等方法除去在层间绝缘膜25的表面上的不必要的导电层。例如,阻挡金属层26是25纳米厚的TaN层。然后,形成一个铜扩散防止绝缘层29。例如,扩散防止层29是具有大约70纳米厚的SiC或SiN层。
该SiC层通过使用四甲基硅烷(Si(CH3)4)和二氧化碳(CO2)作为源气,通过等离子体增强的(PE)-CVD方法形成SiC层。通过使用硅烷(SiH4)和氨气(NH3)作为源气通过PE-CVD方法形成SiN层。在此之后,具有SiN扩散防止层的样本由sn所表示,以及具有SiC扩散防止层的样本由sc所表示。
图1B示出铝布线的结构的一个例子。层间绝缘膜21和通过层间绝缘膜21形成的导电插塞22的结构类似于图1A中所示。在该层间绝缘膜21上,叠加例如40纳米厚的Ti层31、30纳米厚的TiN层32、100纳米厚的铝布线层33以及70纳米厚的TiN层,并且通过光刻胶图案进行构图以形成布线结构。例如,未掺杂的硅酸盐玻璃层35被淀积以覆盖该布线结构,并且未掺杂的硅酸盐玻璃层的表面被CMP等方法进行平面化。
图1C示出图1A和1B中所示的结构的NBTI恶化的测量结构的曲线图。在图1C中,横坐标表示以秒为单位的对数时间,以及纵坐标表示以%为单位的饱和漏极电流的恶化ΔIds_sat。在把-1.9V的电压施加到栅极、125度的温度下以及0.24/1μm的栅极长度L/栅极宽度W的条件下测量NBTI恶化。
曲线sn示出使用SiN膜作为根据现有技术的铜扩展防止膜的结构的测量结果。曲线SC示出使用SiC膜作为铜扩散防止膜的结构的测量结果。曲线p示出根据现有技术使用铝布线的结构的测量结果。
从图中可见,使用SiN膜作为扩散防止膜的特性sn表现出较大的NBTI恶化。特性sc表现出与使用铝膜的特性p相类似的NBTI恶化,这通常不会造成问题。NBTI恶化的问题可以通过特性sc和p来解决。
即,如果包含氮的氧化硅膜被用作为栅绝缘膜,以及如果在形成铜布线之后使用SiN膜作为铜扩散防止膜,则出现NBTI下降恶化的问题,而如果SiC膜被用作为铜扩散防止膜以取代SiN膜,则NBTI恶化的程度是可接受的。
为了研究NBTI恶化的原因,形成一个样本,其具有这样的结构,即在形成铜布线之间不形成SiN的扩散防止膜。
图2A和2B为用于研究NBTI恶化的原因而作得实验的截面示图和曲线图。
图2A为示出在形成铜布线之后不形成SiN层29的结构的截面示图,其不同于图1A中所示的结构。其它结构类似于图1A中所示。
图2B示出伴随着比较数据在图2A中示出的结构的NBTI恶化的测量数据。
在图2B中,横坐标表示以秒为单位的对数时间,而纵坐标表示以%为单位的恶化ΔIds_sat。曲线bb示出在形成铜布线之后不形成SiN的扩散防止膜这样结构的特性。曲线p示出使用铝布线的结构。曲线SiN示出使用SiN层作为铜扩散防止层的图1A中所示的结构。
从该图中所示,SiN膜被形成为铜扩散防止膜的结构的特性sn表现出较大的NBTI恶化ΔIds_sat。相反,图2A中所示不形成SiN膜作为铜扩散防止膜的结构的特性bb表现出在许可范围内的较小NBTI恶化,这与铝布线的特性p相类似。
在上述实验中,大约25纳米厚的TaN层被用作为用于铜布线的导电阻挡金属层。通过改变阻挡金属的材料,研究在NBTI恶化中的变化。
图3A为示出样本的结构的截面示图。该结构类似于图1A中所示的结构。使用由图3B中所示的三种不同材料所制成的阻挡金属层26。类似于图1A中所示的样本,形成样本sn1、sn2和sn3。样本sn1具有25纳米厚的TaN层作为阻挡金属层26。样本sn2具有25纳米厚的纯Ta金属层,作为阻挡金属层。样本sn3具有作为阻挡金属层的三层叠层结构,其中包括40纳米厚的Ti金属层、大约30纳米厚的TiN层以及大约25纳米厚的TaN层。在铜布线上的扩散防止层是具有大约70纳米厚的SiN层。
图3示出该测量结果。尽管样本sn2和sn3的NBTI恶化在许可范围内,但是样本sn1表现出不在许可范围内的NBTI恶化。
也就是说,即使SiN层被用作为扩散防止层,也可以通过使用纯Ta或Ti金属的阻挡金属层来抑制NBTI恶化。
在上述实验中,具有大约20纳米厚的SiN层和具有大约80纳米厚的未掺杂硅酸盐玻璃层的叠层被用作为侧壁衬垫。被用作为侧壁衬垫的单个氧化硅层的影响被研究。
图4A为样本的示意截面示图。侧壁衬垫17由大约130纳米厚的未掺杂硅酸盐玻璃所制成。阻挡金属层26由大约25纳米厚的纯Ta金属层所制成。扩散防止层29由大约70纳米厚的SiN层所制成。
具有由图4B所示材料所制成的侧壁衬垫的样本snd和sns被用于测量NBTI恶化。样本snd具有由例如图1A中所示的SiN层15和未掺杂硅酸盐层16的叠层所制成的侧壁衬垫以及由25纳米厚的Ta层所制成的阻挡金属层26。样本sns具有例如图4A中所示的单个未掺杂硅酸盐玻璃层所制成的侧壁衬垫以及由25纳米厚的Ta层所制成的阻挡金属层26。
图4C示出该测量结果。具有SiN层和未掺杂硅酸盐玻璃层的叠层结构作为侧壁衬垫的样本snd可以把NBTI恶化抑制在许可范围内。
具有单一未掺杂硅酸盐玻璃层17作为侧壁衬垫的样本sns具有在许可范围之外的较大NBTI恶化。
可以看出,即使纯Ta金属被用作为阻挡金属层的材料,如果单一氧化硅膜被用作为侧壁衬垫,则存在NBTI恶化。
在上述的实验中,当在形成铜布线之间形成SiN的扩散防止层时,出现NBTI恶化。通过使用硅烷(SiH4)和氨气(NH3)作为源气通过等离子体CVD(PCVD)方法而形成SiN的扩散防止层。形成具有通过把硅烷流率减半而形成的SiN层的样本。具有通过把硅烷流率减半而形成的SiN层的样本由snh所表示。
图5A示出使用常规铝布线的样本p、使用形成在铜布线上的常规SiN层的样本sn、使用通过把硅烷流率减半而形成的SiN层的样本snh、以及使用SiC作为扩散防止层的样本sc的测量结果。
例如,在形成SiN膜中,Novellus***的Concept Two Sequel***提供如下的SiH4/NH3气体流率比:1)(标准)11.1%;2)(3/4)7.7%;以及3)(1/2)5.9%。到目前为止在标准条件下形成SiN膜。上述把硅烷流率减半的条件对应于6%或更小的SiH4/NH3气体流率比。
可以看出,尽管使用标准SiN层的样本sn具有较大的NBTI恶化,但是其它三个样本可以抑制NBTI恶化。可以看出,如果SiN层通过减小硅烷流率而形成,则可以抑制NBTI恶化。
图5B通过傅利叶变换频谱示出样本sc、snh和sn的扩散防止层的测量结果。在图5B中,横坐标表示以cm-1为单位的波数,以及纵坐标表示采用归一化的任意单位的吸收率。在表示Si-H的吸收率的波数2200cm-1在样本sn中是明显的,而在样本sc和snh中,该峰值非常小。
在表示N-H的吸收率的波数3400cm-1附近的峰值在样本snh中是最明显的,而在样本sn中有所减弱,并且在样本sc中几乎没有观察到吸收。从这些结果可以假设NBTI恶化几乎不受到Si-H耦合浓度的影响。通过把硅烷流率减半而形成的SiN膜具有与在标准条件下由SiN层的特性明显不同的特性。
从上述实验可以看出,如果侧壁衬垫由单个未掺杂的硅酸盐玻璃层所制成,则有可能出现NBTI恶化。在侧壁衬垫由单个氧化硅层所制成以及SiC层被用作为扩散防止层的条件下研究NBTI恶化。
图6A为一个样本的示意截面示图。该结构类似于图4A中所示的结构。大约25纳米厚的Ta层被用作为阻挡金属层26。大约70纳米厚的SiC层被用作为扩散防止层29。该样本由sc所表示。
图6B示出具有大约70纳米厚的SiN层作为扩散防止层的样本sn以及具有减半的硅烷流率的SiN层的样本snh的测量结果。即使侧壁衬由单一氧化硅层所制成,使用SiC作为该扩散防止层的材料的样本sc抑制NBTI恶化。
使用标准SiN层和具有减半的硅烷流率的样本sn和snh不能够抑制NBTI恶化,并且NBTI恶化的程度在许可范围之外。具有简单的硅烷流率SiN层与氮化硅膜和氧化硅膜的叠层侧壁衬垫的组合被有效地用于抑制NBTI恶化。但是,如果单个氧化硅膜被用作为侧壁衬垫,则出现NBTI恶化。
从上述所有实验结果中,可以得出如下结论。如果SiC层取代SiN层用作为扩散防止绝缘层,则可以抑制NBTI恶化。如果不包含氮的铜扩散防止绝缘层被用于取代SiC,则可以期望获得类似的效果。
如果侧壁衬垫不是由单一氧化硅层所制成而是由氮化硅层和氧化硅层的叠层所制成,则通过使用Ta或Ti纯金属作为用于铜布线的阻挡金属层的材料,可以抑制NBTI恶化。
如果侧壁垫衬由氮化硅层和氧化硅层的叠层所制成,则通过使用具有减小的硅烷流率的SiN层作为扩散防止绝缘层,可以抑制NBTI恶化。
图7为示出在形成扩散防止层之后通过热处理改变NBTI恶化的曲线图。曲线示出具有SiC层作为扩散防止绝缘层的结构的测量结果。在后续热处理的任何退火温度下,如果SiC层被用作为扩散防止层,则不增加NBTI恶化。可以看出在大约340℃或更高的退火温度下,具有SiN层作为扩散防止层的样本sn的NBTI恶化增加。如果在在形成SiN的扩散防止绝缘层之后,执行在340℃或更高的温度下,或者特别是在370℃或更高的温度下进行热处理,则不可避免NBTI恶化。
图8A和8B为示出通过考虑上述实验结果而设计的半导体器件的结构的示意截面示图。在硅基片11的一个表面上,形成元件隔离沟槽,并且氧化膜被埋在该沟槽中,以形成浅沟道绝缘(STI)12。
在由浅沟道绝缘12所表示的有源区中,绝缘栅极由含氮的氧化膜所制成的栅绝缘膜13和硅栅极14所形成。栅极的侧壁被由单一氧化硅层所制成的侧壁衬垫17所覆盖。
SiN的阻蚀层20被形成为覆盖该栅极和侧壁衬垫。例如磷硅玻璃这样的氧化硅的层间绝缘膜21被形成为覆盖该阻蚀层20。接触孔被形成为从层间绝缘膜21的表面到达该晶体管。Ti层、TiN层和W层被叠加,并且通过CMP方法除去不需要的部分,以保留导电钨插塞22。
有机的低电介常数的绝缘层(商标为SiLK)24与USG的氧化硅层25等等的重叠绝缘层被形成在层间绝缘膜21上。沟槽被形成为通过该重叠的绝缘层25、24。TaN等等的阻挡金属层以及铜布线层27被填充在该沟槽中。
SiC的扩散防止绝缘层29被形成为覆盖该铜布线层。在该扩散防止绝缘层29上,重叠的层间绝缘层被形成为包括氧化硅层40、低电介常数绝缘层(商标SiLK)41和氧化硅层42。双重镶嵌结构的沟槽和通孔被形成为通过层间绝缘层。TaN等等的阻挡金属层44和铜布线层45被填充在该沟槽和通孔中。
可以通过使用SiC层作为扩散防止层29而抑制NBTI恶化和漏极电流恶化ΔIds。
如图8B中所示,栅绝缘膜可以具有第一栅绝缘膜13-1和第二栅绝缘膜13-2的叠层结构。例如,该叠层结构的第一栅绝缘膜13-1由氧化硅膜所制成,第二栅绝缘膜13-2由氮化硅膜、氧化铪(HfO2)等等具有高电介常数的材料所制成。叠层结构可以通过首先淀积氧化硅层或氮化硅层然后对该层的表面进行氮化而形成。该叠层结构由具有高氮浓度的第二氧氮化硅层13-2和具有较低氮浓度的第一氧氮化硅层或氧化硅层13-1所制成。
NBTI恶化是p沟道MOS晶体管特有的现象。在CMOS电路中,通过使用一些共同的处理而形成n和p沟道MOS晶体管。下面将描述制造CMOS电路的处理。
如图9A中所示,一个沟槽形成在硅基片11的表面层中,氧化硅层埋在该沟槽中以形成浅沟槽绝缘12。LOCOS层可以被用作为元件绝缘区。离子被注入以在所需的区域形成n阱Wn和p阱Wp。
如图9B中所示,在半导体基片的表面上,形成第一栅绝缘层13-1或第二栅绝缘层13-2,以淀积栅绝缘层13。如果第一栅绝缘层13-1由氧化硅所制成,该层面13-1可以通过热氧化而形成。第二栅绝缘层13-2是由CVD等方面所形成的第一栅绝缘层不同的绝缘层。第二栅绝缘层13-2可以通过氮化处理而形成。多晶硅层14被通过CVD方法而淀积在栅绝缘层13上。
如图9C中所示,光刻胶图案PR被形成在多晶硅层14上,以蚀刻多晶硅层14和栅绝缘层13。在该蚀刻之后,除去光刻胶图案PR。通过使用光刻胶图案和栅极作为掩膜,离子被独立地注入到p阱Wp和n阱Wn中,以形成LDD区。
如图9D中所示,氧化硅膜被淀积和各向异性蚀刻,以把侧壁衬垫17遗留在绝缘栅极的侧壁上。然后,离子被独立地注入到n阱Wn和p阱Wp中,以形成高杂质浓度的源/漏区18p和18n。该离子注入也把杂质掺杂到多晶硅栅极14中,以形成p型多晶硅栅极14p和n型多晶硅栅极14n。
例如,如图9E中所示,Co层被淀积在暴露的基片表面上,并且执行热处理,以在硅层表面上形成硅化物层19。除去未反映的金属层。通过CVD方法把SiN的阻蚀层20淀积在整个基片表面上。
如图9F中所示,例如磷硅玻璃的层间绝缘层21被形成为覆盖阻蚀层20,并且层间绝缘层21的表面被平面化。从层间绝缘层21的表面,形成通过该层面的接触孔,以及把阻挡金属层和W层填充在该接触孔中以形成导电插塞22。在层间绝缘层21的表面上的金属层被除去,并且形成上绝缘层23。一个沟槽被形成在绝缘层23中,并且阻挡金属层26和铜布线层27被淀积以形成铜布线。在除去层间绝缘层23的表面上的金属层之后,形成SiC的铜扩散防止绝缘层29。可以形成所需数目的上布线层。
本发明已经接合优选实施例进行描述。但是本发明不仅限于上述实施例。例如,铜布线可以是单层或双层镶嵌结构。可以采用各种已知的镶嵌结构。在上文描述中,尽管第一布线层由W等导电插塞和铜布线层所形成,但是可以通过在层间绝缘膜中形成沟槽和通孔而形成双层镶嵌结构的铜布线层。在上文描述中,尽管铜扩散防止层被形成在铜布线层上,但是铜扩散防止层可以形成在铜布线层的下表面上。本领域的技术人员显然可以作出各种改变、改进、组合等等。

Claims (25)

1.一种半导体器件,其中包括:
具有n型区的硅基片;
形成在n型区上的栅绝缘膜,该栅绝缘膜由含氮的氧化硅所制成;
形成在所述栅绝缘膜上的栅极,该栅极由含硼的硅所制成;
形成在所述栅极两侧上的所述硅基片的表面层中的p型源/漏区;
形成在所述栅极的侧壁上的侧壁衬垫,该侧壁衬垫由氧化硅所制成;
具有平面化的表面并且覆盖该栅极和侧壁衬垫的第一层间绝缘膜;
形成在层间绝缘膜中从平面化的表面到其内部的布线沟槽;
包括下层阻挡层和上层铜区的铜布线图案,所述铜布线图案被填充在布线沟槽中;
形成在所述层间绝缘膜上并且覆盖所述铜布线图案的碳化硅层;
在碳化硅层上直接形成的第二层间绝缘膜。
2.根据权利要求1所述的半导体器件,其中所述侧壁衬垫由单个氧化硅层所制成。
3.根据权利要求1所述的半导体器件,其中所述侧壁衬垫由氮化硅层和上层氧化硅层的叠层所制成。
4.根据权利要求1所述的半导体器件,其中所述栅绝缘膜的氧化硅包括至少1at%的氮。
5.根据权利要求1所述的半导体器件,其中所述第一层间绝缘膜包括由作为最下层的氮化硅所制成的阻蚀层。
6.根据权利要求5所述的半导体器件,其中所述第一层间绝缘膜包括包含阻蚀层的下层层间绝缘膜和包含具有比氧化硅的电介常数更低的低电介常数绝缘层的上层层间绝缘膜。
7.根据权利要求6所述的半导体器件,其中低电介常数绝缘膜是有机绝缘层。
8.根据权利要求1所述的半导体器件,其中所述栅绝缘膜包括如下之一:氧化硅层和氮化硅层的叠层;在表面层中具有增加的氮浓度的氧氮化硅层;含氮的氧化硅层和具有比氮化硅更高的电介常数的氧化层的叠层;以及氮化硅层和具有比氮化硅更高的电介常数的氧化层的叠层。
9.一种半导体器件,其中包括:
具有n型区的硅基片;
形成在n型区上的栅绝缘膜,该栅绝缘膜由含氮的氧化硅所制成;
形成在所述栅绝缘膜上的栅极,该栅极由含硼的硅所制成;
形成在所述栅极两侧上的所述硅基片的表面层中的p型源/漏区;
形成在所述栅极的侧壁上的所述侧壁衬垫,所述侧壁衬垫由氧化硅层和氮化硅层的叠层所制成;
具有平面化的表面并且覆盖该栅极和侧壁衬垫的层间绝缘膜;
形成在所述层间绝缘膜中从平面化的表面到其内部的布线沟槽;以及
铜布线图案,包括Ta或Ti的下层阻挡层和直接在下层阻挡层上形成的上层铜区,所述铜布线图案被填充在上述布线沟槽中。
10.一种制造半导体器件的方法,其中包括如下步骤:
在具有n型区的硅基片上形成包括含氮的氧化硅的栅绝缘膜以及包括含硼的硅的栅极;
在栅极的两侧上的硅基片的表面层中形成p型源/漏区;
在栅极的侧壁上形成包含氧化硅的侧壁衬垫;
形成具有平面化的表面并且覆盖该栅极和侧壁衬垫的层间绝缘膜;
在层间绝缘膜中形成从平面化表面到其内部的沟槽,并且在该沟槽中填充包含下层阻挡层和上层铜区的铜布线图案;
在层间绝缘膜上形成氮化硅层,该氮化硅层覆盖铜布线图案;以及
使硅基片在至少340℃的温度下进行热处理。
11.根据权利要求10所述的方法,其中所述形成层间绝缘膜的步骤包括形成下层层间绝缘膜、形成通过下层层间绝缘膜的导电插塞以及形成上层层间绝缘膜。
12.一种半导体器件,其中包括:
具有n型区的硅基片;
形成在n型区上的栅绝缘膜,该栅绝缘膜由具有不同电介常数的两个或多个层面的叠层结构所制成;
形成在所述栅绝缘膜上的栅极,该栅极由含硼的硅所制成;
形成在所述栅极两侧上的所述硅基片的表面层中的p型源/漏区;
具有平面化的表面并且覆盖该栅极的层间绝缘膜;
形成在所述层间绝缘膜中从平面化的表面到其内部的布线沟槽;
填充在所述布线沟槽中的铜布线图案;以及
形成在所述铜布线图案的上或下表面上的不含氮的铜扩散防止绝缘膜。
13.根据权利要求12所述的半导体器件,其中所述层间绝缘膜包括阻蚀层的下层层间绝缘膜和包含具有比氧化硅的电介常数更低的低电介常数绝缘层的上层层间绝缘膜。
14.根据权利要求13所述的半导体器件,其中低电介常数绝缘膜是有机绝缘层。
15.根据权利要求12所述的半导体器件,其中所述栅绝缘膜包括含有少于1at%的氮的下层绝缘膜以及含有至少1at%的氮的上层绝缘膜。
16.一种制造半导体器件方法,其中包括如下步骤:
(a)通过在硅基片的n型区上形成包括含氮的氧化硅的栅绝缘膜而形成绝缘栅极型晶体管,在栅绝缘膜上形成包括含硼的硅的栅极,在栅极的两侧上的硅基片的表面层中形成p型源/漏区,以及在栅极的两侧上形成包含氧化硅的侧壁衬垫;
(b)形成具有平面化的第一表面的第一层间绝缘膜以及具有平面化的第二表面的第二层间绝缘膜,分别覆盖绝缘栅极型晶体管;
(c)形成从第一表面到达绝缘栅极型晶体管的通孔以及从第二表面到达该通孔的沟槽;
(d)在该通孔中填充导电接触部件以及在该沟槽中填充包括下层阻挡层和上层铜层的铜布线;
(e)在第二层间绝缘膜上形成氮化硅层,该氮化硅层覆盖铜布线;以及
(f)在所述碳化硅层上直接形成一个层间绝缘层.
17.根据权利要求16所述的方法,其中所述步骤(b)、(c)和(d)包括如下步骤:
(x1)形成第一层间绝缘膜;
(x2)形成通孔;
(x3)形成导电接触部件;
(x4)形成第二层间绝缘膜;
(x5)形成沟槽;以及
(x6)形成铜布线。
18.根据权利要求16所述的方法,其中所述步骤(d)包括在该通孔和沟槽中填充阻挡层和铜层的步骤。
19.一种制造半导体器件的方法,其中包括如下步骤:
(a)通过在硅基片的n型区上形成包括含氮的氧化硅的栅绝缘膜而形成绝缘栅极型晶体管,在栅绝缘膜上形成包括含硼的硅的栅极,在栅极的两侧上的硅基片的表面层中形成p型源/漏区,以及在栅极的两侧上形成包含氧化硅层和氮化硅层的叠层的侧壁衬垫;
(b)形成具有平面化的第一表面的第一层间绝缘膜以及具有平面化的第二表面的第二层间绝缘膜,分别覆盖绝缘栅极型晶体管;
(c)形成从第一表面到达绝缘栅极型晶体管的通孔以及从第二表面到达该通孔的沟槽;以及
(d)在该通孔中填充导电接触部件以及在该沟槽中填充铜布线图案,该铜布线图案包括Ta或Ti的下层阻挡层和直接在下层阻挡层上形成的上层铜区。
20.根据权利要求19所述的方法,其中进一步包括如下步骤:
(e)在第二层间绝缘膜上形成氮化硅层,该氮化硅层覆盖铜布线。
21.根据权利要求19所述的方法,其中所述步骤(b)、(c)和(d)包括如下步骤:
(x1)形成第一层间绝缘膜;
(x2)形成通孔;
(x3)形成导电接触部件;
(x4)形成第二层间绝缘膜;
(x5)形成沟槽;以及
(x6)形成铜布线。
22.根据权利要求19所述的方法,其中所述步骤(d)包括在该通孔和沟槽中填充阻挡层和铜层的步骤。
23.一种制造半导体器件的方法,其中包括如下步骤:
(a)通过在硅基片的n型区上形成包括含氮的氧化硅的栅绝缘膜而形成绝缘栅极型晶体管,在栅绝缘膜上形成包括含硼的硅的栅极,在栅极的两侧上的硅基片的表面层中形成p型源/漏区,以及在栅极的侧壁上形成包含氧化硅的侧壁衬垫;
(b)形成具有平面化的第一表面并且覆盖绝缘栅极型晶体管的第一层间绝缘膜;
(c)形成从第一表面到达绝缘栅极型晶体管的通孔;
(d)在该通孔中填充导电接触部件;
(e)形成具有平面化的第二表面并且覆盖导电接触部件的第二层间绝缘膜;
(f)形成从第二表面到达通孔的沟槽;
(g)在该凹陷中填充形成包括下层阻挡层和上层铜区的铜布线;
(h)在第二层间绝缘膜上形成碳化硅层,该碳化硅层覆盖铜布线;以及
(i)使该硅基片至少在340℃的温度下进行热处理。
24.一种制造半导体器件的方法,其中包括如下步骤:
(a)通过在硅基片的n型区上形成包括具有不同电介常数的两个或多个层面的叠层的栅绝缘膜而形成绝缘栅极型晶体管,在栅绝缘膜上形成包括含硼的硅的栅极,在栅极的两侧上的硅基片的表面层中形成p型源/漏区,以及形成包含氧化硅的侧壁衬垫;
(b)形成具有平面化的第一表面的第一层间绝缘膜以及具有平面化的第二表面的第二层间绝缘膜,其分别覆盖绝缘栅极型晶体管;
(c)形成从第一表面到达绝缘栅极型晶体管的通孔以及从第二表面到达该通孔的沟槽;
(d)在该通孔中填充导电接触部件以及在该沟槽中填充包括下层阻挡层和上层铜层的铜布线;
(e)在铜布线的上或下表面上形成不含氮的铜扩散防止绝缘膜;以及
(f)在所述铜扩散防止绝缘膜上直接形成一个层间绝缘层.
25.根据权利要求24所述的方法,其中所述步骤(b)、(c)和(d)包括如下步骤:
(x1)形成第一层间绝缘膜;
(x2)形成通孔;
(x3)形成导电接触部件;
(x4)形成第二层间绝缘膜;
(x5)形成沟槽;以及
(x6)形成铜布线。
CNB021513902A 2002-03-28 2002-11-20 具有铜布线的半导体器件 Expired - Lifetime CN1282243C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002091306A JP4340040B2 (ja) 2002-03-28 2002-03-28 半導体装置の製造方法
JP091306/2002 2002-03-28

Publications (2)

Publication Number Publication Date
CN1449034A CN1449034A (zh) 2003-10-15
CN1282243C true CN1282243C (zh) 2006-10-25

Family

ID=27800513

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB021513902A Expired - Lifetime CN1282243C (zh) 2002-03-28 2002-11-20 具有铜布线的半导体器件

Country Status (6)

Country Link
US (2) US6885105B2 (zh)
EP (2) EP2360723B1 (zh)
JP (1) JP4340040B2 (zh)
KR (1) KR100858450B1 (zh)
CN (1) CN1282243C (zh)
TW (1) TW577126B (zh)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6175147B1 (en) * 1998-05-14 2001-01-16 Micron Technology Inc. Device isolation for semiconductor devices
JP2004095611A (ja) * 2002-08-29 2004-03-25 Fujitsu Ltd 半導体装置およびその製造方法
CN100352036C (zh) * 2002-10-17 2007-11-28 株式会社瑞萨科技 半导体器件及其制造方法
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
JP4489368B2 (ja) * 2003-03-24 2010-06-23 株式会社日立製作所 半導体装置およびその製造方法
JP4454242B2 (ja) * 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US20050209672A1 (en) * 2004-03-02 2005-09-22 Cardiomind, Inc. Sliding restraint stent delivery systems
US7151315B2 (en) * 2003-06-11 2006-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of a non-metal barrier copper damascene integration
KR100562675B1 (ko) * 2003-11-04 2006-03-20 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7256087B1 (en) * 2003-12-22 2007-08-14 Cypress Semiconductor Corporation Techniques for improving negative bias temperature instability (NBTI) lifetime of field effect transistors
US20050194683A1 (en) 2004-03-08 2005-09-08 Chen-Hua Yu Bonding structure and fabrication thereof
JP4040602B2 (ja) * 2004-05-14 2008-01-30 Necエレクトロニクス株式会社 半導体装置
US7183187B2 (en) * 2004-05-20 2007-02-27 Texas Instruments Incorporated Integration scheme for using silicided dual work function metal gates
JP4499487B2 (ja) * 2004-06-14 2010-07-07 三星電子株式会社 半導体装置の製造方法
US7205238B2 (en) * 2004-10-21 2007-04-17 Sharp Laboratories Of America, Inc. Chemical mechanical polish of PCMO thin films for RRAM applications
US20060157776A1 (en) * 2005-01-20 2006-07-20 Cheng-Hung Chang System and method for contact module processing
US7488656B2 (en) * 2005-04-29 2009-02-10 International Business Machines Corporation Removal of charged defects from metal oxide-gate stacks
US8063655B2 (en) * 2005-07-19 2011-11-22 Cypress Semiconductor Corporation Method and circuit for reducing degradation in a regulated circuit
JP4751705B2 (ja) * 2005-11-18 2011-08-17 富士通セミコンダクター株式会社 半導体装置の製造方法
KR100780245B1 (ko) * 2006-08-28 2007-11-27 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
US7977798B2 (en) * 2007-07-26 2011-07-12 Infineon Technologies Ag Integrated circuit having a semiconductor substrate with a barrier layer
KR101573949B1 (ko) * 2007-11-08 2015-12-02 램 리써치 코포레이션 산화물 스페이서를 이용한 피치 감소
US8098536B2 (en) 2008-01-24 2012-01-17 International Business Machines Corporation Self-repair integrated circuit and repair method
US8105931B2 (en) * 2008-08-27 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating dual high-k metal gates for MOS devices
JP5665557B2 (ja) * 2011-01-14 2015-02-04 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US10367089B2 (en) * 2011-03-28 2019-07-30 General Electric Company Semiconductor device and method for reduced bias threshold instability
CN102820237B (zh) * 2011-06-11 2015-08-05 中国科学院微电子研究所 半导体器件中金属厚度的量测方法
US9530901B2 (en) 2012-01-31 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Decoupling finFET capacitors
US9576868B2 (en) 2012-07-30 2017-02-21 General Electric Company Semiconductor device and method for reduced bias temperature instability (BTI) in silicon carbide devices
US9257283B2 (en) 2012-08-06 2016-02-09 General Electric Company Device having reduced bias temperature instability (BTI)
TWI489623B (zh) * 2012-12-21 2015-06-21 Macronix Int Co Ltd 半導體結構及其製造方法
CN103887259B (zh) * 2012-12-24 2016-08-17 旺宏电子股份有限公司 半导体结构及其制造方法
US9111931B2 (en) * 2014-01-22 2015-08-18 Nanya Technology Corporation Method of forming an interconnect structure with high process margins
US9905456B1 (en) 2016-09-26 2018-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN106910696B (zh) * 2017-04-07 2019-09-17 上海华力微电子有限公司 图形光罩连接孔缺陷检查测试结构及方法
US10366982B2 (en) 2017-11-30 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure with embedded memory device and contact isolation scheme
US11004794B2 (en) 2018-06-27 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Partial barrier free vias for cobalt-based interconnects and methods of fabrication thereof

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03232231A (ja) * 1990-02-08 1991-10-16 Toshiba Corp 半導体装置
US5714413A (en) 1995-12-11 1998-02-03 Intel Corporation Method of making a transistor having a deposited dual-layer spacer structure
JP3869089B2 (ja) * 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
WO1998044567A1 (fr) * 1997-03-28 1998-10-08 Hitachi, Ltd. Dispositif de memoire remanente a semi-conducteur, dispositif a semi-conducteur et procedes de fabrication associes de ceux-ci
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
JP3149846B2 (ja) 1998-04-17 2001-03-26 日本電気株式会社 半導体装置及びその製造方法
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
TW404007B (en) 1998-12-16 2000-09-01 United Microelectronics Corp The manufacture method of interconnects
FR2794286B1 (fr) 1999-05-26 2003-06-06 Commissariat Energie Atomique Niveau d'interconnexion de type damascene pour dispositif micro-electronique
JP4807894B2 (ja) * 1999-05-31 2011-11-02 ルネサスエレクトロニクス株式会社 半導体装置
JP2001085625A (ja) * 1999-09-13 2001-03-30 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6492222B1 (en) * 1999-12-22 2002-12-10 Texas Instruments Incorporated Method of dry etching PZT capacitor stack to form high-density ferroelectric memory devices
JP2001189448A (ja) * 1999-12-28 2001-07-10 Fujitsu Ltd 半導体装置及びその製造方法
US6355555B1 (en) 2000-01-28 2002-03-12 Advanced Micro Devices, Inc. Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer
JP3659112B2 (ja) * 2000-02-03 2005-06-15 セイコーエプソン株式会社 半導体装置およびその製造方法
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
JP2001257262A (ja) 2000-03-08 2001-09-21 Hitachi Ltd 半導体装置およびその製造方法
JP2001291720A (ja) 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
JP2001291865A (ja) * 2000-04-10 2001-10-19 Sharp Corp 絶縁ゲート型トランジスタ及びその製造方法
JP4895420B2 (ja) 2000-08-10 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4771504B2 (ja) 2000-09-13 2011-09-14 キヤノン株式会社 分散画像生成装置及び分散画像生成方法及びコンピュータ読み取り可能な記憶媒体
JP2002198443A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置及びその製造方法
JP3966707B2 (ja) * 2001-02-06 2007-08-29 株式会社東芝 半導体装置及びその製造方法
TWI264818B (en) * 2001-04-03 2006-10-21 Matsushita Electric Ind Co Ltd Semiconductor device and its production method
JP3914452B2 (ja) * 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6734477B2 (en) * 2001-08-08 2004-05-11 Agilent Technologies, Inc. Fabricating an embedded ferroelectric memory cell
KR100434697B1 (ko) * 2001-09-05 2004-06-07 주식회사 하이닉스반도체 반도체소자의 제조방법
US6773930B2 (en) * 2001-12-31 2004-08-10 Texas Instruments Incorporated Method of forming an FeRAM capacitor having a bottom electrode diffusion barrier
US7294567B2 (en) * 2002-03-11 2007-11-13 Micron Technology, Inc. Semiconductor contact device and method
JP2003332426A (ja) * 2002-05-17 2003-11-21 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US6998275B2 (en) * 2003-04-09 2006-02-14 Texas Instruments Incorporated Hydrogen-less CVD TiN process for FeRAM VIA0 barrier application

Also Published As

Publication number Publication date
TW577126B (en) 2004-02-21
US20030183939A1 (en) 2003-10-02
EP1349207A3 (en) 2004-11-03
US20050029671A1 (en) 2005-02-10
US6885105B2 (en) 2005-04-26
JP4340040B2 (ja) 2009-10-07
JP2003289100A (ja) 2003-10-10
EP2360723B1 (en) 2018-02-28
CN1449034A (zh) 2003-10-15
EP1349207B1 (en) 2015-02-11
KR100858450B1 (ko) 2008-09-16
EP1349207A2 (en) 2003-10-01
KR20030078611A (ko) 2003-10-08
US7030498B2 (en) 2006-04-18
EP2360723A1 (en) 2011-08-24

Similar Documents

Publication Publication Date Title
CN1282243C (zh) 具有铜布线的半导体器件
CN1298042C (zh) 具有无凹痕浅槽隔离的半导体器件及其制造方法
CN2793924Y (zh) 半导体装置
CN1293637C (zh) 具有应变沟道的互补式金属氧化物半导体及其制作方法
CN1260817C (zh) 含有绝缘栅场效应晶体管的半导体器件及其制造方法
CN1196201C (zh) 薄膜晶体管及其制造方法、薄膜晶体管阵列基板、液晶显示装置以及电致发光型显示装置
CN1208823C (zh) 浅沟隔离半导体及其制造
CN1177357C (zh) 具有最小覆盖电容的金属氧化物半导体场效应晶体管
CN1298054C (zh) 存储器与逻辑电路混合形成于一芯片的半导体器件及其制法
CN1215554C (zh) 互补型金属氧化物半导体器件及其制造方法
CN1725507A (zh) 半导体装置及其制造方法
CN1670965A (zh) 源极及漏极中聚含掺质金属的晶体管
CN1716607A (zh) 半导体器件及其制造方法
CN1819201A (zh) 具有提高的载流子迁移率的半导体结构及其制造方法
CN1866524A (zh) 半导体器件及其制造方法
CN1428840A (zh) 半导体器件及其制造方法
CN1767205A (zh) 包括高k-介质材料的半导体器件及其形成方法
CN1805153A (zh) 半导体器件及其制造方法
CN1905160A (zh) 集成半导体结构的制造方法及相应的集成半导体结构
CN1877834A (zh) 半导体集成电路器件及其制造方法
CN1992275A (zh) 具有金属和多晶硅栅电极的高性能电路及其制造方法
CN1835248A (zh) 悬空硅层的金属氧化物半导体场效应晶体管及其制造方法
CN1702866A (zh) 半导体器件
CN1801464A (zh) 半导体装置与半导体装置的制造方法
CN1905209A (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20081212

Address after: Tokyo, Japan

Patentee after: Fujitsu Microelectronics Ltd.

Address before: Kanagawa, Japan

Patentee before: Fujitsu Ltd.

ASS Succession or assignment of patent right

Owner name: FUJITSU MICROELECTRONICS CO., LTD.

Free format text: FORMER OWNER: FUJITSU LIMITED

Effective date: 20081212

C56 Change in the name or address of the patentee

Owner name: FUJITSU SEMICONDUCTOR CO., LTD.

Free format text: FORMER NAME: FUJITSU MICROELECTRON CO., LTD.

CP01 Change in the name or title of a patent holder

Address after: Kanagawa

Patentee after: FUJITSU MICROELECTRONICS Ltd.

Address before: Kanagawa

Patentee before: Fujitsu Microelectronics Ltd.

CP02 Change in the address of a patent holder

Address after: Kanagawa

Patentee after: FUJITSU MICROELECTRONICS Ltd.

Address before: Tokyo, Japan

Patentee before: Fujitsu Microelectronics Ltd.

ASS Succession or assignment of patent right

Owner name: SUOSI FUTURE CO., LTD.

Free format text: FORMER OWNER: FUJITSU SEMICONDUCTOR CO., LTD.

Effective date: 20150525

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20150525

Address after: Kanagawa

Patentee after: SOCIONEXT Inc.

Address before: Kanagawa

Patentee before: FUJITSU MICROELECTRONICS Ltd.

CX01 Expiry of patent term

Granted publication date: 20061025

CX01 Expiry of patent term