CN1177357C - 具有最小覆盖电容的金属氧化物半导体场效应晶体管 - Google Patents

具有最小覆盖电容的金属氧化物半导体场效应晶体管 Download PDF

Info

Publication number
CN1177357C
CN1177357C CNB011029927A CN01102992A CN1177357C CN 1177357 C CN1177357 C CN 1177357C CN B011029927 A CNB011029927 A CN B011029927A CN 01102992 A CN01102992 A CN 01102992A CN 1177357 C CN1177357 C CN 1177357C
Authority
CN
China
Prior art keywords
oxide
layer
grid
substrate
pad oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB011029927A
Other languages
English (en)
Other versions
CN1309419A (zh
Inventor
�찲�ȡ�C��������
黛安娜·C·博伊德
霍塞因·I·哈纳费
梅克·伊昂格
韦斯利·C·纳茨尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Elpis Technologies
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1309419A publication Critical patent/CN1309419A/zh
Application granted granted Critical
Publication of CN1177357C publication Critical patent/CN1177357C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/512Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being parallel to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Element Separation (AREA)

Abstract

提供了制造具有高介电常数(k大于7)栅绝缘体、低覆盖电容(0.35fF/μm或更低)、以及短于光刻确定的栅长度的沟道长度(亚光刻,例如0.1μm或更短)的MOSFET的方法。这些方法包括镶嵌工艺步骤和化学氧化物清除(COR)步骤。COR步骤在衬垫氧化物层上产生一个大的斜坡,当与高k栅绝缘体组合时,导致比用常规互补金属氧化物半导体(CMOS)技术制作的MOSFET器件更低的覆盖电容、短的沟道长度和更好的器件性能。

Description

具有最小覆盖电容的金属 氧化物半导体场效应晶体管
技术领域
本发明涉及到半导体器件,确切地说是涉及到具有高介电常数(k大于7)栅绝缘体、低覆盖电容(0.35fF/μm或更低)、以及短于光刻确定的栅长度的沟道长度(亚光刻,例如0.1μm或更小)的金属氧化物半导体场效应晶体管(MOSFET)的制造方法。
背景技术
随着MOSFET的沟道长度被缩小到亚0.1μm尺度和栅氧化物厚度被缩小到1.5nm以下,大于1A/cm2的隧道电流将使得不能够用SiO2作为栅介电层。因此,必需开发利用高k栅绝缘体的互补金属氧化物半导体(CMOS)技术,以便继续将CMOS缩小到亚0.1μm范围。
在采用高k栅绝缘体的常规栅CMOS技术中,通常在制作栅绝缘体之后,对源/漏掺杂剂执行激活退火。为了防止高k绝缘体性质的退化,将退火温度限制在低于800℃。这样低温度的退火导致源/漏结的局部激活以及多晶硅栅的耗尽。上述的二个特性由于常常导致器件性质退化而是不可取的。
而且,在常规栅CMOS技术中,源/漏延伸部分必需覆盖器件的栅区。这一覆盖引起器件中的电容。源/漏延伸部分与栅区的覆盖越大,覆盖电容就越大。同样,若源/漏延伸部分与栅的覆盖太小,则可能制造出不可靠的MOSFET器件。
与常规栅CMOS技术有关的另一个问题是利用光刻和腐蚀来制造栅。在制作CMOS器件的栅区的过程中使用光刻和腐蚀,提供了沟道长度与光刻工具同等数量级的MOSFET器件。亦即,光刻确定的栅长度使得无法制作亚光刻器件。
考虑到现有技术栅CMOS技术的缺点,对开发能够制造具有高k栅绝缘体、低覆盖电容、和亚光刻沟道长度MOSFET的新的和改进了的方法,就有了不断的需求。
发明内容
本发明的一个目的是提供一种制造包含高k介电材料作为器件的栅绝缘体的高度可靠的MOSFET器件的方法。
本发明的进一步目的是提供一种制造包含高k介电栅绝缘体和低覆盖电容的高度可靠的MOSFET器件的方法。
本发明的另一个目的是提供一种制造具有高k栅绝缘体、低覆盖电容和短沟道长度的高度可靠的MOSFET器件的方法。
本发明的再一个目的是提供一种制造具有低覆盖电容和短沟道长度的高度可靠的MOSFET器件的方法,其中高k低温金属氧化物或高k高温金属氧化物被用作器件的栅绝缘体。
术语“高k”在本发明中被用来表示介电常数大于Si3N4亦即大于7.0的介电材料。术语“高k”表示介电常数为15或更大的介电材料则更好。
术语“低覆盖电容”在本发明中被用来表示0.35fF/μm或更低的电容。
术语“短沟道长度”在本发明中被用来表示位于栅区下面的长度为0.1μm或更短,亦即亚光刻的栅沟道。
术语“高温金属氧化物”表示在大约950-1050℃,最好是1000℃的温度下,承受10秒钟的退火时不退化的金属氧化物。这种金属氧化物的示例性例子包括但不局限于Al2O3和TiO2
术语“低温金属氧化物”表示在950-1050℃,最好是1000℃下,退火10秒钟时被转换成金属或变得漏电的金属氧化物。这种金属氧化物的示例性例子包括但不局限于ZrO2、钛酸钡、钛酸锶、和钛酸锶钡。
利用包括用来形成栅电极的镶嵌工艺步骤以及用来产生衬垫氧化物层中的大斜坡的化学氧化物清除(COR)工艺步骤的方法,在本发明中达到了这些和其它的目的和优点。当这二个工艺步骤与高k介电材料组合使用时,能够制造具有低覆盖电容和短沟道长度的MOSFET器件。
在本发明的一个实施例中,其中高k高温金属氧化物被用作栅绝缘体,本发明的工艺步骤包含:
(a)提供具有制作在衬底表面上的叠层膜的半导体结构,所述叠层膜包含至少一个制作在所述衬底的所述表面上的衬垫氧化物层和一个制作在所述衬垫氧化物层上的氮化物层;
(b)在所述氮化物层中制作停止在所述衬垫氧化物层上的栅孔;
(c)在所述栅孔侧壁的氮化物层上制作氧化物膜;
(d)利用化学氧化物清除工艺对所述氧化物膜和部分所述衬垫氧化物层进行腐蚀,以便在所述栅孔中提供暴露部分所述衬底的窗口,其中的衬垫氧化物层被所述腐蚀削尖;
(e)在所述栅孔周围和所述暴露的衬底上制作高k高温金属氧化物层;
(f)用栅导体填充所述栅孔;
(g)清除所述氮化物层以暴露部分所述高k高温金属氧化物;以及
(h)完成所述MOSFET器件的制造。
步骤(h)包括在所述栅导体下面的所述衬底中形成激活的源/漏延伸部分;在所述高k高温金属氧化物的暴露的侧壁上制作侧壁间隔物;在所述衬底中形成激活的源/漏区;以及在部分所述衬垫氧化物层中和在所述栅导体中形成硅化物区。
在本发明的另一个实施例中,其中高k低温金属氧化物被用作栅绝缘体,本发明的工艺步骤包含:
(i)提供具有制作在衬底表面上的虚拟叠层膜的半导体结构,所述虚拟叠层膜包含至少一个制作在所述衬底的所述表面上的衬垫氧化物层、一个制作在所述衬垫氧化物层上的多晶硅层、以及制作在所述多晶硅层上的SiO2层;
(ii)清除所述虚拟叠层膜的选定部分,停止在所述衬垫氧化物层上,以便提供图形化的虚拟栅区;
(iii)从所述图形化的虚拟栅区清除所述SiO2层;
(iv)在所述虚拟栅区下面的所述衬底中形成激活的源/漏延伸部分;
(v)在所述虚拟栅区的侧壁上形成侧壁间隔物;
(vi)在所述衬底中形成激活的源/漏区;
(vii)在部分所述衬垫氧化物层中和在所述虚拟栅区的所述多晶硅层中形成硅化物区;
(viii)制作围绕所述虚拟栅区的绝缘层;
(ix)整平所述绝缘层,停止在所述虚拟栅区中的所述多晶硅层;
(x)用化学氧化物清除工艺制作窗口,以便暴露部分所述衬底,借助于清除所述虚拟栅区的所述多晶硅层以及借助于削尖所述虚拟栅区的部分所述衬垫氧化物层,而制作所述窗口;
(xi)在所述窗口中制作高k低温金属氧化物;以及
(xii)用栅导体填充所述窗口,所述栅导体在低温下制作。
在步骤(xii)之后,可以随之以可选的整平工艺。
本发明的另一情况涉及到利用上述某一种方法制造的MOSFET器件。本发明的MOSFET器件的特征是具有低的覆盖电容和短的沟道长度。具体地说,本发明的MOSFET器件包含至少一个具有制作在至少部分削尖的衬垫氧化物层上的高k栅绝缘体的栅区,其中所述栅区还包括长度为亚光刻,最好是0.1μm或更短的沟道。
附图说明
图1A-G示出了通过用于本发明第一实施例中的各个工艺步骤,亦即在采用高k高温金属氧化物的情况下的本发明的MOSFET器件。
图2A-F示出了通过用于本发明第二实施例中的各个工艺步骤,亦即在采用高k低温金属氧化物的情况下的本发明的MOSFET器件。
具体实施方式
现参照本发明的附图来更详细地描述提供具有高k、低覆盖电容和短沟道长度的MOSFET器件的制造方法的本发明。应该指出的是,在附图中相同的参考号被用来描述相同和/或相当的元件。
参照图1A-1G,示出了本发明第一实施例中使用的各个基本工艺步骤。具体地说,图1A-1G是利用本发明第一方法能够制作的一种可能的MOSFET器件的剖面图。如上所述,当高k高温金属氧化物被用作栅绝缘体时,使用第一方法。
图1A示出了由本发明的步骤(a)制作的初始结构。此初始结构包含衬底10和叠层膜12。此叠层膜包括诸如制作在衬底10表面上的SiO2之类的衬垫氧化物层14和诸如制作在衬垫氧化物层上的Si3N4之类的氮化物层16。虽然本发明的附图描述了包含二个材料层的叠层膜,但叠层膜也可以包含额外的材料层。在本发明附图中的实施例中,氮化物层16不同于用来确定隔离沟槽的氮化物层,因此,将用稍后的腐蚀步骤清除这一层,以便暴露结构的栅区,在清除氮化物层之后,衬垫氧化物层保留在部分衬底上。
用常规的热生长工艺,将衬垫氧化物层14制作在衬底10的表面上,或作为变通,可以用诸如但不局限于此的化学汽相淀积(CVD)、等离子体辅助CVD、溅射、蒸发之类的淀积工艺或其它相似的淀积工艺,来制作衬垫氧化物层。衬垫氧化物层的厚度可以变化,但应该比后续工艺步骤中将要制作的相应的栅绝缘体更厚。通常,衬垫氧化物层的厚度为大约8-20nm。
就氮化物层16而论,利用包括与以上在制作衬垫氧化物层过程中所指出的相同的本技术领域众所周知的常规淀积工艺,将此层制作在衬垫氧化物层14的表面上。氮化物层的厚度可以变化,但应该比其下面的衬垫氧化物更厚。通常,在本发明中,叠层膜12的氮化物层16的厚度约为50-200nm。
本发明所用的衬底可以是其中存在硅之类的半导体材料的任何一种常规的半导体衬底。可以用在本发明中的一些衬底的例子包括但不局限于Si、Ge、SiGe、GaP、InAs、InP和所有其它的III/V族化合物半导体。衬底也可以由诸如Si/SiGe之类的层状半导体组成。依赖于要制造的所需器件,衬底可以是n型或p型。衬底可以包含用本技术众所周知的工艺技术制作在衬底上或制作在衬底中的各种各样的有源和/或隔离区。用于本发明的最佳衬底是Si晶片即芯片。
在衬底10上制作叠层膜12之前,在衬底中制作隔离沟槽。此隔离沟槽被制作成通过先前淀积的氮化物层(不同于氮化物层16)、衬垫氧化物层14和部分衬底10。用常规的光刻和腐蚀(反应离子刻蚀(RIE)、等离子体腐蚀、离子束腐蚀和其它相似的干法腐蚀工艺)来制作隔离沟槽。虽然在附图中未示出,但光刻步骤使用了常规的光刻胶以及可选地使用了抗反射涂层,在衬底中制作隔离沟槽之后,二者都被清除。
在隔离沟槽中制作例如SiO2的氧化物衬里,以便衬垫各个沟槽的侧壁和底部,然后用沟槽介电材料填充沟槽(在附图中,隔离区18意味着包括氧化物衬里以及沟槽介电材料)。在沟槽填充之后,可以随之以可选的致密化步骤和/或整平步骤。图1A示出了隔离沟槽填充、整平和叠层膜12制作之后形成的结构。应该指出的是,氧化物衬里构成具有衬垫氧化物顶部表面的一个连续的层;因此,隔离沟槽的整个底部被隔离于衬底10。
可以用包括与以上制作衬垫氧化物层14过程中指出的相同的常规淀积或热生长工艺,来制作氧化物衬里。依赖于其制作中所用的工艺技术,氧化物衬里的厚度可以变化,但氧化物衬里的典型厚度范围是大约5-20nm。
在用氧化物衬里衬垫隔离沟槽的底部之后,在先前制作的氮化物层的表面上和在隔离沟槽中,制作沟槽介电材料。用于本发明的填充工艺包含任何常规的淀积工艺,包括但不局限于CVD和等离子体辅助CVD。可以用于本发明这一步骤中的适当的沟槽介电材料包括任何常规的介电材料。能够用于本发明的一些适当的沟槽介电材料的例子,包括但不局限于原硅酸四乙酯(TEOS)、SiO2、可流动的氧化物、以及其它相似的介电材料。当TEOS被用作沟槽介电材料时,在整平之前可以使用可选的致密化步骤。
整平工艺包含本技术领域熟练人员所知的任何常规的整平技术,包括但不局限于化学机械抛光(CMP)和研磨。在制作隔离区之后,可以清除氮化物层,并制作新的氮化物层16,或作为变通,淀积额外的氮化物材料,以形成新的氮化物层16。
本发明的第一方法的下一步骤包括在氮化物层16中制作停止于衬垫氧化物14上的栅孔20,见图1B。具体地说,利用常规的光刻和腐蚀(反应离子刻蚀(RIE)、等离子体腐蚀、离子束腐蚀和其它相似的干法腐蚀工艺)来制作栅孔,提供图1B所示的结构。在确定栅孔过程中使用常规的光刻胶,并在其制造之后被清除。虽然附图描述了结构中仅仅一个栅孔的制作,但此处也考虑了多个栅孔。
在制作栅孔之后,可以利用常规的离子注入和激活退火方法,来执行可选的阈值调整注入步骤;本技术领域熟练人员对这二个工艺都是众所周知的。
在栅孔制作和可选的阈值调整注入制作之后,在栅孔20侧壁的氮化物层上制作氧化膜22,提供图1C所示的结构。用诸如能够制作栅孔中的氮化物层上的氧化物层的CVD之类的淀积工艺,来制作氧化物层。此氧化物由诸如TEOS之类的常规材料组成。
接着,如图1D所示,在栅孔20底部的衬垫氧化物层14中制作窗口24,以便在栅孔中提供斜坡衬垫氧化物层。“斜坡”意味着衬垫氧化物的侧壁不是垂直的。相反,衬垫氧化物的侧壁明显地偏离90度。斜坡衬垫氧化物的侧壁最好是大约45度或更小。在本发明中,利用在清除氧化物过程中有高度选择性的化学氧化物清除(COR)步骤来提供斜坡。本发明的这一步骤借助于在完全清除先前制作在栅孔中的氮化物层上的氧化物层的情况下削尖衬垫氧化物层,而在栅孔中暴露部分衬底10。COR步骤是一种汽相化学氧化物清除工艺,其中用汽相HF和NH3作为腐蚀剂,并使用低压(8毫乇或更低)。
在削尖栅孔中的衬垫氧化物层之后,环绕栅孔(包括被削尖的衬垫氧化物层和衬底的暴露表面)制作高k高温金属氧化物层26(见图1E)。利用包括但不局限于CVD、等离子体辅助CVD、原子层淀积、溅射的常规淀积工艺以及其它相似的淀积工艺,来制作高k高温金属氧化物。如上所述,高k高温材料包括在950-1050℃下,最好是在1000℃下退火10秒钟时不退化的金属氧化物。能够用于本发明的一些高k高温金属氧化物的例子包括Al2O3和TiO2
对于本发明,高k高温介电材料的厚度是不严格的,但通常,高k高温介质的厚度约为5-30埃。
在制作高k高温金属氧化物之后,利用诸如CVD、等离子体辅助CVD、蒸发和溅射之类的本技术领域熟练人员众所周知的常规淀积工艺,用栅导体28来填充栅孔。能够用于本发明的适当的栅导体包括但不局限于多晶硅、W、Ta、TiN和其它相似的导电材料。在图1E中也示出了包括栅导体的结构。若有需要,则在用栅导体填充栅孔之后,使用常规的整平工艺。
接着,如图1F所示,利用常规的镶嵌回腐蚀工艺,从结构中清除氮化物层16。具体地说,在镶嵌回腐蚀工艺中,采用了在清除氮化物比之其它周围材料层具有高度选择性的诸如热磷酸之类的化学腐蚀剂;本发明中使用的镶嵌回腐蚀工艺停止于上述的衬垫氧化物层上。
在清除氮化物层16之后,利用本技术领域熟练人员众所周知的技术,来制造通常出现在MOSFET器件中的其它的各个区域。图1G示出了本发明的一个完成的MOSFET器件,这是MOSFET器件区周围的剖面图。具体地说,图1G包括:源/漏延伸部分30、侧壁间隔物32、源/漏区34和硅化物区36。利用常规的离子注入和退火方法来形成源/漏延伸部分。在激活源/漏延伸部分过程中使用的退火温度通常约为950℃或更高,而退火时间通常约为5秒钟或更短。
侧壁间隔物32由任何常规氮化物(例如Si3N4)或氧化物(例如SiO2)组成,并利用本技术众所周知的常规淀积工艺来制作,然后用RIE或其它相似的腐蚀工艺对其进行腐蚀。侧壁间隔物32的厚度可以变化,但通常其厚度约为100-150nm。
用常规的离子注入和退火方法来制作源/漏区34。对于约为5秒钟或更短的时间长度,用来激活源/漏区的退火温度通常约为1000℃或更高。
利用本技术领域熟练人员众所周知的自对准形成硅化物的常规工艺步骤,在结构中制作硅化物区。由于这一工艺步骤是众所周知的,故此处不提供其详细描述。
然后可以对图1G所示的结构进行本技术众所周知的并在例如著作R.Colclaser,″Micro Electronics processing and Device Design″,Chapter 10,pages 266-269,John Wiley and Sons publisher,1980中所述的其它常规CMOS工艺步骤。
上述描述和图1A-1G示出了本发明的方法,其中使用高k高温金属氧化物作为栅导体。下面的描述和图2A-2F示出了当高k低温金属氧化物被用作栅导体时的本发明的方法。要指出的是,图2A-2F所示的第二实施例代表了本发明的最佳实施例。
图2A示出了本发明的这一实施例中使用的初始结构。具体地说,图2A包含衬底10、隔离沟槽18以及包含衬垫氧化物层14、多晶硅层52和SiO2层54的虚拟叠层膜50。利用结合本发明第一实施例的上述的工艺步骤来制作沟槽和衬垫氧化物层。利用诸如CVD、等离子体辅助CVD和溅射之类的常规淀积工艺,来制作虚拟叠层膜50的多晶硅层,以低压CVD工艺最佳。多晶硅层52的厚度对本发明来说是不严格的,但通常多晶硅层的厚度约为1000-2000埃。
利用原硅酸四乙酯(TEOS)的臭氧淀积,或能够形成SiO2层的任何其它的淀积工艺,来制作虚拟叠层膜50的SiO2层。SiO2层54的的厚度对本发明来说是不严格的,但通常SiO2层的厚度约为300-500埃。
图2A还示出了存在用来制造虚拟叠层膜50中的虚拟栅区的图形化光刻胶56。用于本发明的光刻胶是光刻中使用的任何常规的光刻胶,并用常规的淀积工艺、曝光和显影方法被制作在SiO2层上。
在本发明中,用图形化的光刻胶来保护部分虚拟叠层膜50。用诸如RIE或等离子体腐蚀之类的常规干法腐蚀工艺,来清除虚拟叠层膜50的未被保护的区域,停止于衬垫氧化物层14上。在清除未被保护的层,亦即虚拟叠层膜的多晶硅层52和SiO2层54之后,用本技术领域熟练人员众所周知的常规剥离方法来剥离图形化的光刻胶,并用常规的湿法腐蚀工艺来清除虚拟栅区的先前被保护的SiO2层。
在从虚拟栅区清除图形化的光刻胶和SiO2层54之后,制作源/漏延伸部分30、侧壁间隔物32、源/漏区34、和硅化物区36(在源/漏区上和在虚拟栅的多晶硅的顶部上),提供图2B所示的结构。用与以上指出的相同的工艺技术,制作区域30、32、34和36。要指出的是,图2B示出了包括含有多晶硅层52的虚拟栅区58的结构。虚拟栅区的使用以及区域30、32、34和36的稍后的制作,使人们能够用高k低温金属氧化物作为栅绝缘体。
接着,如图2C所示,用诸如CVD、低压CVD、等离子体辅助CVD之类的常规淀积工艺和其它相似的能够在结构上形成共形层的淀积工艺,在结构上制作绝缘层60。诸如SiO2之类的任何绝缘材料能够被用作层60。绝缘层的厚度可以根据所使用的材料的类型而变化,但通常绝缘层的厚度约为2000-3000埃。
在结构上制作绝缘层之后,可以使用诸如化学机械抛光或研磨之类的任何常规整平工艺。要指出的是,本发明这一步骤中使用的整平工艺,在清除制作在多晶硅层52上的硅化物区36之后被停止。于是,这一整平就暴露出虚拟栅区的多晶硅层52。图2D示出了在进行上述整平之后形成的结构。
接着,利用RIE或化学下游腐蚀工艺清除多晶硅层52,将衬垫氧化物层14暴露出来。然后用上述的COR工艺腐蚀被暴露的衬垫氧化物,以便在衬垫氧化物层中形成斜坡,见图2E。组合的腐蚀步骤在结构中形成窗口24,其中所述的窗口包含削尖的衬垫氧化物层。
在衬垫氧化物层中形成斜坡之后,利用先前结合高k高温金属氧化物所述的常规淀积工艺,在窗口中制作诸如ZrO2、钛酸钡、钛酸锶、钛酸锶钡之类的高k低温金属氧化物层62。高k低温金属氧化物的厚度约为5-30埃。
在淀积高k低温金属氧化物之前,可以在窗口中制作例如氮化物的可选势垒层。当可选势垒层被制作在结构中时,可以使用诸如CVD的任何常规淀积工艺,且依赖于制作势垒层过程中所使用的材料的类型,其厚度可以变化。
在结构中制作高k低温金属氧化物之后,在大约950℃或更低的温度下,于N2中进行大约30秒钟或更短的快速热退火。可以利用单个升温和恒温周期或多个升温和恒温周期来进行快速热退火。
然后,用以上指出过的工艺步骤,在窗口中制作如上所述的导电材料28。于是可以用例如CMP的常规整平工艺来整平此结构,以便提供图2F所示的结构。
在图1G和2F中,都示出了采用高k金属氧化物作为栅绝缘体的MOSFET器件。而且,图1G和2F所示的MOSFET器件具有低的覆盖电容和短的沟道长度。短的沟道长度是对衬垫氧化物层提供斜坡的一个直接的结果。借助于以上述的方式使衬垫氧化物层成斜坡,沟道38比光刻工艺能够得到的短得多。
虽然对于其最佳实施例已经详细地描述了本发明,但本技术领域的熟练人员能够理解,可以作出形式和内容方面的上述和其它的改变而不偏离本发明的构思与范围。因此认为本发明不局限于所述的准确形式和细节,而是在所附权利要求的范围内。

Claims (32)

1.一种制作具有低的覆盖电容和短的沟道长度的MOSFET器件的方法,它包含下列步骤:
(a)提供具有制作在衬底表面上的叠层膜的半导体结构,所述叠层膜包含至少一个制作在所述衬底的所述表面上的衬垫氧化物层和一个制作在所述衬垫氧化物层上的氮化物层;
(b)在所述氮化物层中制作停止在所述衬垫氧化物层上的栅孔;
(c)在所述栅孔侧壁的所述氮化物层上制作氧化物层;
(d)利用化学氧化物清除工艺对所述氧化物层和部分所述衬垫氧化物层进行腐蚀,以便在所述栅孔中提供暴露所述衬底的窗口,其中的衬垫氧化物层被所述腐蚀削尖;
(e)在所述栅孔周围和所述暴露的衬底上制作高k高温金属氧化物层;
(f)用栅导体填充所述栅孔;
(g)清除所述氮化物层以暴露部分所述高k高温金属氧化物;以及
(h)完成所述MOSFET器件的制造。
2.权利要求1的方法,其中的步骤(h)包括在所述栅导体下面的所述衬底中形成激活的源/漏延伸部分;在所述暴露的高k高温金属氧化物的侧壁上制作侧壁间隔物;在所述衬底中形成激活的源/漏区;以及在部分所述衬垫氧化物中和在所述栅导体中形成硅化物区。
3.权利要求1的方法,其中所述衬底包括其中制作的隔离区。
4.权利要求3的方法,其中所述隔离区包括氧化物衬里和沟槽介电材料。
5.权利要求1的方法,其中所述衬底是选自Si、Ge、SiGe、GaAs、InAs、InP和层状半导体的半导体材料。
6.权利要求1的方法,其中所述栅孔用光刻和腐蚀方法制作。
7.权利要求1的方法,其中所述氧化物层用淀积氧化物材料的方法制作。
8.权利要求1的方法,其中在汽相HF和NH3中进行所述化学氧化物清除工艺。
9.权利要求1的方法,其中在8毫乇或更低的压力下进行所述化学氧化物清除工艺。
10.权利要求1的方法,其中所述高k高温金属氧化物是Al2O3或TiO2或能够经受住950-1050℃下10秒钟退火的任何其它氧化物。
11.权利要求1的方法,其中用淀积工艺来制作所述高k高温金属氧化物。
12.权利要求11的方法,其中所述淀积工艺包括CVD、等离子体辅助CVD、溅射和原子层淀积。
13.权利要求1的方法,其中所述高k高温金属氧化物的厚度为5-30埃。
14.权利要求1的方法,其中所述栅导体包括多晶硅、W、Ta和TiN。
15.权利要求1的方法,其中的步骤(g)包含镶嵌工艺步骤,其中使用了化学腐蚀剂。
16.一种制作具有低的覆盖电容和短的沟道长度的MOSFET器件的方法,它包含下列步骤:
(i)提供具有制作在衬底表面上的虚拟叠层膜的半导体结构,所述虚拟叠层膜包含至少一个制作在所述衬底的所述表面上的衬垫氧化物层、一个所述衬垫氧化物层上的多晶硅层、以及一个制作在所述多晶硅层上的SiO2层;
(ii)清除所述虚拟叠层膜的选定部分,停止在所述衬垫氧化物层上,以便提供图形化的虚拟栅区;
(iii)从所述图形化的虚拟栅区清除所述SiO2层;
(iv)在所述虚拟栅区下面的所述衬底中形成激活的源/漏延伸部分;
(v)在所述虚拟栅区的侧壁上形成侧壁间隔物;
(vi)在所述衬底中形成激活的源/漏区;
(vii)在部分所述衬垫氧化物层中和在所述虚拟栅区的所述多晶硅层中形成硅化物区;
(viii)制作围绕所述虚拟栅区的绝缘层;
(ix)整平所述绝缘层,停止在所述虚拟栅区中的所述多晶硅层处;
(x)用化学氧化物清除工艺制作窗口,以便暴露部分所述衬底,借助于清除所述虚拟栅区的所述多晶硅层以及借助于削尖所述虚拟栅区的部分所述衬垫氧化物层,而制作所述窗口;
(xi)在所述窗口中制作高k低温金属氧化物;以及
(xii)用栅导体填充所述窗口。
17.权利要求16的方法,其中所述衬底包括其中制作的隔离区。
18.权利要求17的方法,其中所述隔离区包括氧化物衬里和沟槽介电材料。
19.权利要求16的方法,其中所述衬底是选自Si、Ge、SiGe、GaAs、InAs、InP和层状半导体的半导体材料。
20.权利要求16的方法,其中步骤(ii)包含提供光刻胶、图形化所述光刻胶、以及腐蚀不包括图形化光刻胶的区域。
21.权利要求16的方法,其中用化学腐蚀剂清除所述SiO2层。
22.权利要求16的方法,其中用CVD、低压CVD、等离子体辅助CVD的淀积工艺以及能够形成共形层的淀积工艺,来制作所述绝缘层。
23.权利要求16的方法,其中用化学机械抛光或研磨方法来进行所述整平。
24.权利要求16的方法,其中在汽相HF和NH3中进行所述化学氧化物清除工艺。
25.权利要求16的方法,其中在8毫乇或更低的压力下进行所述化学氧化物清除工艺。
26.权利要求17的方法,其中所述高k低温金属氧化物是ZrO2、钛酸钡、钛酸锶和钛酸锶钡、或当使用950-1050℃下10秒钟退火时退化的任何其它氧化物。
27.权利要求16的方法,其中用淀积工艺来制作所述高k低温金属氧化物。
28.权利要求27的方法,其中所述淀积工艺包括CVD、等离子体辅助CVD、溅射和原子层淀积。
29.权利要求16的方法,其中所述高k低温金属氧化物的厚度约为5-30埃。
30.权利要求16的方法,其中所述高k低温金属氧化物在950℃或更低的温度下,在N2中被退火30秒钟或更短的时间。
31.权利要求16的方法,其中所述栅导体包括多晶硅、W、Ta和TiN。
32.MOSFET器件,它包含至少一个制作在半导体衬底上的栅区,所述栅区包含栅导体、位于栅导体周围和栅导体下方的栅绝缘体以及栅绝缘体侧壁上的侧壁间隔物,所述栅绝缘体是介电常数大于7.0的高k金属氧化物,所述栅区还包含制作在所述栅绝缘体下面的亚光刻沟道,其中所述沟道长度借助于在削尖的衬垫氧化物层和未被所述衬垫氧化物覆盖的半导体衬底上制作部分所述栅绝缘体而被确定。
CNB011029927A 2000-02-14 2001-02-13 具有最小覆盖电容的金属氧化物半导体场效应晶体管 Expired - Lifetime CN1177357C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/503,926 US6271094B1 (en) 2000-02-14 2000-02-14 Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
US09/503,926 2000-02-14

Publications (2)

Publication Number Publication Date
CN1309419A CN1309419A (zh) 2001-08-22
CN1177357C true CN1177357C (zh) 2004-11-24

Family

ID=24004103

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB011029927A Expired - Lifetime CN1177357C (zh) 2000-02-14 2001-02-13 具有最小覆盖电容的金属氧化物半导体场效应晶体管

Country Status (6)

Country Link
US (2) US6271094B1 (zh)
JP (1) JP3529732B2 (zh)
KR (1) KR100354115B1 (zh)
CN (1) CN1177357C (zh)
SG (1) SG90231A1 (zh)
TW (1) TW478162B (zh)

Families Citing this family (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6355580B1 (en) 1998-09-03 2002-03-12 Micron Technology, Inc. Ion-assisted oxidation methods and the resulting structures
EP1082759A1 (en) * 1999-03-17 2001-03-14 Koninklijke Philips Electronics N.V. Method of manufacturing a mis field-effect transistor
US6273951B1 (en) 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
JP2001291861A (ja) * 2000-04-05 2001-10-19 Nec Corp Mosトランジスタ、トランジスタ製造方法
FR2810157B1 (fr) * 2000-06-09 2002-08-16 Commissariat Energie Atomique Procede de realisation d'un composant electronique a source, drain et grille auto-allignes, en architecture damascene
US6444512B1 (en) * 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
JP2002110966A (ja) 2000-09-26 2002-04-12 Seiko Epson Corp 半導体装置の製造方法および半導体装置
JP2002110967A (ja) * 2000-09-26 2002-04-12 Seiko Epson Corp 半導体装置の製造方法および半導体装置
JP2002110965A (ja) * 2000-09-26 2002-04-12 Seiko Epson Corp 半導体装置の製造方法および半導体装置
US6440808B1 (en) * 2000-09-28 2002-08-27 International Business Machines Corporation Damascene-gate process for the fabrication of MOSFET devices with minimum poly-gate depletion, silicided source and drain junctions, and low sheet resistance gate-poly
US6589866B1 (en) * 2000-10-19 2003-07-08 Advanced Micro Devices, Inc. Metal gate with PVD amorphous silicon layer having implanted dopants for CMOS devices and method of making with a replacement gate process
JP3940565B2 (ja) * 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6509612B2 (en) * 2001-05-04 2003-01-21 International Business Machines Corporation High dielectric constant materials as gate dielectrics (insulators)
US6762463B2 (en) * 2001-06-09 2004-07-13 Advanced Micro Devices, Inc. MOSFET with SiGe source/drain regions and epitaxial gate dielectric
US6900094B2 (en) * 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
US6417056B1 (en) * 2001-10-18 2002-07-09 Chartered Semiconductor Manufacturing Ltd. Method to form low-overlap-capacitance transistors by forming microtrench at the gate edge
US6541351B1 (en) * 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
US6743683B2 (en) * 2001-12-04 2004-06-01 Intel Corporation Polysilicon opening polish
KR100449324B1 (ko) * 2001-12-26 2004-09-18 동부전자 주식회사 반도체 소자의 트랜지스터 제조 방법
KR100442784B1 (ko) * 2001-12-26 2004-08-04 동부전자 주식회사 반도체 소자의 트랜지스터 제조 방법
KR100449323B1 (ko) * 2001-12-26 2004-09-18 동부전자 주식회사 반도체 소자의 트랜지스터 제조 방법
US6479403B1 (en) 2002-02-28 2002-11-12 Taiwan Semiconductor Manufacturing Company Method to pattern polysilicon gates with high-k material gate dielectric
KR20030073338A (ko) * 2002-03-11 2003-09-19 주식회사 다산 씨.앤드.아이 높은 유전 상수를 갖는 게이트 유전막을 이용한 반도체소자 및 그의 제조방법
US7378356B2 (en) * 2002-03-16 2008-05-27 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
US7087480B1 (en) 2002-04-18 2006-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process to make high-k transistor dielectrics
US6818553B1 (en) 2002-05-15 2004-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Etching process for high-k gate dielectrics
US6541336B1 (en) 2002-05-15 2003-04-01 International Business Machines Corporation Method of fabricating a bipolar transistor having a realigned emitter
KR100439034B1 (ko) * 2002-08-02 2004-07-03 삼성전자주식회사 누설전류를 방지할 수 있는 반도체 장치의 비트라인구조및 그의 형성방법
US6642117B1 (en) 2002-08-05 2003-11-04 Taiwan Semiconductor Manufacturing Co. Ltd Method for forming composite dielectric layer
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US8617312B2 (en) * 2002-08-28 2013-12-31 Micron Technology, Inc. Systems and methods for forming layers that contain niobium and/or tantalum
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
KR100479231B1 (ko) * 2002-09-17 2005-03-25 동부아남반도체 주식회사 반도체 이중 다마신 구조를 이용한 실리사이드 게이트라인 형성 방법
US6756284B2 (en) * 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US6770536B2 (en) * 2002-10-03 2004-08-03 Agere Systems Inc. Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate
US6656824B1 (en) * 2002-11-08 2003-12-02 International Business Machines Corporation Low resistance T-gate MOSFET device using a damascene gate process and an innovative oxide removal etch
US6762114B1 (en) 2002-12-31 2004-07-13 Texas Instruments Incorporated Methods for transistor gate fabrication and for reducing high-k gate dielectric roughness
US6750126B1 (en) 2003-01-08 2004-06-15 Texas Instruments Incorporated Methods for sputter deposition of high-k dielectric films
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7214274B2 (en) * 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US6806517B2 (en) * 2003-03-17 2004-10-19 Samsung Electronics Co., Ltd. Flash memory having local SONOS structure using notched gate and manufacturing method thereof
US7079760B2 (en) * 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US6790733B1 (en) * 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US7115528B2 (en) * 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US8728285B2 (en) 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
DE10324448B3 (de) * 2003-05-28 2005-02-03 Infineon Technologies Ag Verfahren zur Herstellung einer Halbleiter-Gate-Struktur
US6924517B2 (en) * 2003-08-26 2005-08-02 International Business Machines Corporation Thin channel FET with recessed source/drains and extensions
US7041601B1 (en) * 2003-09-03 2006-05-09 Advanced Micro Devices, Inc. Method of manufacturing metal gate MOSFET with strained channel
US7205185B2 (en) * 2003-09-15 2007-04-17 International Busniess Machines Corporation Self-aligned planar double-gate process by self-aligned oxidation
US6838347B1 (en) * 2003-09-23 2005-01-04 International Business Machines Corporation Method for reducing line edge roughness of oxide material using chemical oxide removal
US7064027B2 (en) * 2003-11-13 2006-06-20 International Business Machines Corporation Method and structure to use an etch resistant liner on transistor gate structure to achieve high device performance
US7049662B2 (en) * 2003-11-26 2006-05-23 International Business Machines Corporation Structure and method to fabricate FinFET devices
KR100707169B1 (ko) * 2003-12-12 2007-04-13 삼성전자주식회사 메모리 소자 및 그 제조 방법
US7351994B2 (en) * 2004-01-21 2008-04-01 Taiwan Semiconductor Manufacturing Company Noble high-k device
US20050242387A1 (en) * 2004-04-29 2005-11-03 Micron Technology, Inc. Flash memory device having a graded composition, high dielectric constant gate insulator
US7148099B2 (en) * 2004-06-24 2006-12-12 Intel Corporation Reducing the dielectric constant of a portion of a gate dielectric
KR100642898B1 (ko) * 2004-07-21 2006-11-03 에스티마이크로일렉트로닉스 엔.브이. 반도체 장치의 트랜지스터 및 그 제조방법
US7301185B2 (en) * 2004-11-29 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. High-voltage transistor device having an interlayer dielectric etch stop layer for preventing leakage and improving breakdown voltage
US8636876B2 (en) 2004-12-08 2014-01-28 R. Ernest Demaray Deposition of LiCoO2
US7071047B1 (en) * 2005-01-28 2006-07-04 International Business Machines Corporation Method of forming buried isolation regions in semiconductor substrates and semiconductor devices with buried isolation regions
US7745296B2 (en) * 2005-06-08 2010-06-29 Globalfoundries Inc. Raised source and drain process with disposable spacers
US20070120199A1 (en) * 2005-11-30 2007-05-31 Advanced Micro Devices, Inc. Low resistivity compound refractory metal silicides with high temperature stability
US7631898B2 (en) * 2006-01-25 2009-12-15 Chrysler Group Llc Power release and locking adjustable steering column apparatus and method
CN100435350C (zh) * 2006-01-25 2008-11-19 南京大学 高介电系数栅电介质材料铝酸钛薄膜及其制备方法
US20070224745A1 (en) * 2006-03-21 2007-09-27 Hui-Chen Chang Semiconductor device and fabricating method thereof
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7368393B2 (en) * 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7407890B2 (en) * 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US20080079084A1 (en) * 2006-09-28 2008-04-03 Micron Technology, Inc. Enhanced mobility MOSFET devices
US7384852B2 (en) * 2006-10-25 2008-06-10 International Business Machines Corporation Sub-lithographic gate length transistor using self-assembling polymers
US7552152B2 (en) * 2007-03-05 2009-06-23 International Business Machines Corporation Risk-modulated proactive data migration for maximizing utility in storage systems
US7435636B1 (en) 2007-03-29 2008-10-14 Micron Technology, Inc. Fabrication of self-aligned gallium arsenide MOSFETs using damascene gate methods
EP2176880A1 (en) * 2007-07-20 2010-04-21 Imec Damascene contacts on iii-v cmos devices
US7812370B2 (en) * 2007-07-25 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel field-effect transistor with narrow band-gap channel and strong gate coupling
US7674669B2 (en) * 2007-09-07 2010-03-09 Micron Technology, Inc. FIN field effect transistor
DE102007046849B4 (de) * 2007-09-29 2014-11-06 Advanced Micro Devices, Inc. Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
US7745295B2 (en) * 2007-11-26 2010-06-29 Micron Technology, Inc. Methods of forming memory cells
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US8303716B2 (en) 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US8287688B2 (en) 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US7834345B2 (en) * 2008-09-05 2010-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel field-effect transistors with superlattice channels
US8587075B2 (en) * 2008-11-18 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel field-effect transistor with metal source
JP2010245366A (ja) * 2009-04-08 2010-10-28 Fujifilm Corp 電子素子及びその製造方法、並びに表示装置
US8093117B2 (en) * 2010-01-14 2012-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a metal gate
US8535998B2 (en) 2010-03-09 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a gate structure
CN101864556A (zh) * 2010-05-14 2010-10-20 南京大学 一种高介电系数钛铝氧薄膜和制备方法及其应用
CN102280375B (zh) * 2010-06-08 2013-10-16 中国科学院微电子研究所 一种先栅工艺中叠层金属栅结构的制备方法
CN102456558B (zh) * 2010-10-25 2013-10-23 中芯国际集成电路制造(上海)有限公司 一种高介电常数介质-金属栅极的制造方法
CN102569076B (zh) * 2010-12-08 2015-06-10 中国科学院微电子研究所 一种半导体器件及其制造方法
KR20120081832A (ko) * 2011-01-12 2012-07-20 삼성전자주식회사 금속 실리사이드층의 제조 방법 및 이를 이용하는 반도체 장치의 제조 방법
CN102800631B (zh) * 2011-05-26 2014-09-03 中芯国际集成电路制造(上海)有限公司 Cmos晶体管的形成方法
CN102916038B (zh) * 2011-08-04 2015-12-16 北大方正集团有限公司 一种场效应晶体管及其制造方法
US8445345B2 (en) * 2011-09-08 2013-05-21 International Business Machines Corporation CMOS structure having multiple threshold voltage devices
KR20130127257A (ko) * 2012-05-14 2013-11-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP5955658B2 (ja) * 2012-06-15 2016-07-20 株式会社Screenホールディングス 熱処理方法および熱処理装置
US8941177B2 (en) 2012-06-27 2015-01-27 International Business Machines Corporation Semiconductor devices having different gate oxide thicknesses
US9385044B2 (en) * 2012-12-31 2016-07-05 Texas Instruments Incorporated Replacement gate process
RU2531122C1 (ru) * 2013-04-18 2014-10-20 Открытое Акционерное Общество "Воронежский Завод Полупроводниковых Приборов-Сборка" Способ изготовления полупроводникового прибора
US9728623B2 (en) * 2013-06-19 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Replacement metal gate transistor
US10084060B2 (en) * 2014-08-15 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method of the same
US9812577B2 (en) * 2014-09-05 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
CN104465323A (zh) * 2014-11-28 2015-03-25 上海华力微电子有限公司 一种缩小有源区关键尺寸的方法
US9276013B1 (en) * 2015-01-21 2016-03-01 International Business Machines Corporation Integrated formation of Si and SiGe fins
US9656093B2 (en) 2015-07-16 2017-05-23 Boston Scientific Neuromodulation Corporation Systems and methods for making and using connector contact arrays for electrical stimulation systems
US10232169B2 (en) 2015-07-23 2019-03-19 Boston Scientific Neuromodulation Corporation Burr hole plugs for electrical stimulation systems and methods of making and using
US9956394B2 (en) 2015-09-10 2018-05-01 Boston Scientific Neuromodulation Corporation Connectors for electrical stimulation systems and methods of making and using
US9986989B2 (en) 2016-01-08 2018-06-05 Boston Scientific Neuromodulation Corporation Surgical retractor for implanting leads and methods of making and using
US10342983B2 (en) 2016-01-14 2019-07-09 Boston Scientific Neuromodulation Corporation Systems and methods for making and using connector contact arrays for electrical stimulation systems
US10814127B2 (en) 2016-02-05 2020-10-27 Boston Scientific Neuromodulation Corporation Slotted sleeve neurostimulation device
US10335607B2 (en) 2016-02-05 2019-07-02 Boston Scientific Neuromodulation Corporation Implantable optical stimulation lead and methods of making and using
WO2017143254A1 (en) 2016-02-19 2017-08-24 Boston Scientific Neuromodulation Corporation Electrical stimulation cuff devices and systems
US10071242B2 (en) 2016-02-29 2018-09-11 Boston Scientific Neuromodulation Corporation Lead anchor for an electrical stimulation system
US10124161B2 (en) 2016-03-31 2018-11-13 Boston Scientific Neuromodulation Corporation Neurostimulation lead with conductive elements and methods for making the same
EP3429679B1 (en) 2016-05-17 2022-11-23 Boston Scientific Neuromodulation Corporation Systems for anchoring a lead for neurostimulation of a target anatomy
US10493269B2 (en) 2016-06-02 2019-12-03 Boston Scientific Neuromodulation Corporation Leads for electrostimulation of peripheral nerves and other targets
US10201713B2 (en) 2016-06-20 2019-02-12 Boston Scientific Neuromodulation Corporation Threaded connector assembly and methods of making and using the same
US10307602B2 (en) 2016-07-08 2019-06-04 Boston Scientific Neuromodulation Corporation Threaded connector assembly and methods of making and using the same
EP3452163A1 (en) 2016-07-29 2019-03-13 Boston Scientific Neuromodulation Corporation Systems and methods for making and using an electrical stimulation system for peripheral nerve stimulation
EP3458154A1 (en) 2016-07-29 2019-03-27 Boston Scientific Neuromodulation Corporation Connector assembly with contact rings comprising biased ball-spring contacts
US10543374B2 (en) 2016-09-30 2020-01-28 Boston Scientific Neuromodulation Corporation Connector assemblies with bending limiters for electrical stimulation systems and methods of making and using same
US10625072B2 (en) 2016-10-21 2020-04-21 Boston Scientific Neuromodulation Corporation Electrical stimulation methods with optical observation and devices therefor
EP3548140B1 (en) 2016-12-02 2022-04-20 Boston Scientific Neuromodulation Corporation Systems for selecting stimulation parameters for electrical stimulation devices
US10905871B2 (en) 2017-01-27 2021-02-02 Boston Scientific Neuromodulation Corporation Lead assemblies with arrangements to confirm alignment between terminals and contacts
WO2018160495A1 (en) 2017-02-28 2018-09-07 Boston Scientific Neuromodulation Corporation Toolless connector for latching stimulation leads and methods of making and using
US10709886B2 (en) 2017-02-28 2020-07-14 Boston Scientific Neuromodulation Corporation Electrical stimulation leads and systems with elongate anchoring elements and methods of making and using
US10835739B2 (en) 2017-03-24 2020-11-17 Boston Scientific Neuromodulation Corporation Electrical stimulation leads and systems with elongate anchoring elements and methods of making and using
US10603499B2 (en) 2017-04-07 2020-03-31 Boston Scientific Neuromodulation Corporation Tapered implantable lead and connector interface and methods of making and using
KR102342550B1 (ko) 2017-06-09 2021-12-23 삼성전자주식회사 반도체 장치
US20180369606A1 (en) 2017-06-26 2018-12-27 Boston Scientific Neuromodulation Corporationd Systems and methods for making and using implantable optical stimulation leads and assemblies
WO2019005689A1 (en) 2017-06-26 2019-01-03 Boston Scientific Neuromodulation Corporation SYSTEMS AND METHODS FOR VISUALIZING AND CONTROLLING OPTOGENETIC STIMULATION USING OPTICAL STIMULATION SYSTEMS
US10918873B2 (en) 2017-07-25 2021-02-16 Boston Scientific Neuromodulation Corporation Systems and methods for making and using an enhanced connector of an electrical stimulation system
WO2019055837A1 (en) 2017-09-15 2019-03-21 Boston Scientific Neuromodulation Corporation ACTIONABLE WIRE CONNECTOR FOR OPERATING ROOM CABLE ASSEMBLY AND METHODS OF MANUFACTURE AND USE
WO2019055846A1 (en) 2017-09-15 2019-03-21 Boston Scientific Neuromodulation Corporation SOLIDITY WIRE CONNECTOR FOR OPERATING ROOM CABLE ASSEMBLY AND METHODS OF MANUFACTURE AND USE
US11139603B2 (en) 2017-10-03 2021-10-05 Boston Scientific Neuromodulation Corporation Connectors with spring contacts for electrical stimulation systems and methods of making and using same
AU2018364743B2 (en) 2017-11-13 2021-08-12 Boston Scientific Neuromodulation Corporation Systems and methods for making and using a low-profile control module for an electrical stimulation system
US10229983B1 (en) * 2017-11-16 2019-03-12 International Business Machines Corporation Methods and structures for forming field-effect transistors (FETs) with low-k spacers
WO2019139883A1 (en) 2018-01-11 2019-07-18 Boston Scientific Neuromodulation Corporation Methods and systems for stimulation for glial modulation
US11103712B2 (en) 2018-01-16 2021-08-31 Boston Scientific Neuromodulation Corporation Connector assemblies with novel spacers for electrical stimulation systems and methods of making and using same
WO2019143574A1 (en) 2018-01-16 2019-07-25 Boston Scientific Neuromodulation Corporation An electrical stimulation system with a case-neutral battery and a control module for such a system
EP3762087B1 (en) 2018-03-09 2023-04-26 Boston Scientific Neuromodulation Corporation Burr hole plugs for electrical stimulation systems
WO2019178145A1 (en) 2018-03-16 2019-09-19 Boston Scientific Neuromodulation Corporation Kits and methods for securing a burr hole plugs for stimulation systems
WO2019183078A1 (en) 2018-03-23 2019-09-26 Boston Scientific Neuromodulation Corporation Optical stimulation systems using therapy cycling and methods of using
US11565131B2 (en) 2018-03-23 2023-01-31 Boston Scientific Neuromodulation Corporation Optical stimulation systems with calibration and methods of making and using
EP3768381B1 (en) 2018-03-23 2023-03-08 Boston Scientific Neuromodulation Corporation An optical stimulation system with automated monitoring
EP3768372A1 (en) 2018-03-23 2021-01-27 Boston Scientific Neuromodulation Corporation An optical stimulation system with on-demand monitoring and methods of making and using
EP3790623B1 (en) 2018-05-11 2023-07-05 Boston Scientific Neuromodulation Corporation Connector assembly for an electrical stimulation system
US20200009374A1 (en) 2018-07-09 2020-01-09 Boston Scientific Neuromodulation Corporation Directional electrical stimulation leads, systems and methods for spinal cord stimulation
US11224743B2 (en) 2018-09-21 2022-01-18 Boston Scientific Neuromodulation Corporation Systems and methods for making and using modular leads for electrical stimulation systems
WO2020102039A1 (en) 2018-11-16 2020-05-22 Boston Scientific Neuromodulation Corporation An optical stimulation system with on-demand monitoring and methods of making
US11152384B2 (en) * 2019-01-15 2021-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Boundary structure for embedded memory
AU2020226356B2 (en) 2019-02-19 2022-12-08 Boston Scientific Neuromodulation Corporation Lead introducers and systems and methods including the lead introducers
US11357992B2 (en) 2019-05-03 2022-06-14 Boston Scientific Neuromodulation Corporation Connector assembly for an electrical stimulation system and methods of making and using
EP4168110A1 (en) 2020-09-04 2023-04-26 Boston Scientific Neuromodulation Corporation Stimulation systems with a lens arrangement for light coupling and methods of making and using
CN116745001A (zh) 2021-01-19 2023-09-12 波士顿科学神经调制公司 具有定向电极配置的电刺激袖带装置及***
US20220323781A1 (en) 2021-04-08 2022-10-13 Boston Scientific Neuromodulation Corporation Photobiomodulation system and delivery device and methods of making and using
AU2022277556A1 (en) 2021-05-21 2023-11-02 Boston Scientific Neuromodulation Corporation Electrical stimulation cuff devices and systems with helical arrangement of electrodes
US20240058619A1 (en) 2022-08-22 2024-02-22 Boston Scientific Neuromodulation Corporation Implantable photobiomodulation systems employing thermal monitoring or control and methods of making and using
WO2024044048A1 (en) 2022-08-22 2024-02-29 Boston Scientific Neuromodulation Corporation Photobiomodulation systems including an electrode disposed on or over a light emitter and methods of making and using
WO2024136949A1 (en) 2022-12-20 2024-06-27 Boston Scientific Neuromodulation Corporation Optical modulation cuff devices, systems, and methods of making and using
WO2024141768A2 (en) 2022-12-29 2024-07-04 Benabid Alim Louis Optical stimulation systems and methods for implanting and using
EP4398258A2 (en) 2023-01-04 2024-07-10 Boston Scientific Neuromodulation Corporation Systems and methods incorporating a light therapy user interface for optical modulation

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100198674B1 (ko) * 1996-10-18 1999-06-15 구본준 씨모스펫 및 그 제조방법
US5872038A (en) * 1997-01-08 1999-02-16 Advanced Micro Devices Semiconductor device having an elevated active region formed in an oxide trench and method of manufacture thereof
US6218276B1 (en) * 1997-12-22 2001-04-17 Lsi Logic Corporation Silicide encapsulation of polysilicon gate and interconnect
TW454254B (en) * 1998-05-20 2001-09-11 Winbond Electronics Corp Method to manufacture devices with elevated source/drain
US6140677A (en) * 1998-06-26 2000-10-31 Advanced Micro Devices, Inc. Semiconductor topography for a high speed MOSFET having an ultra narrow gate
US6008095A (en) * 1998-08-07 1999-12-28 Advanced Micro Devices, Inc. Process for formation of isolation trenches with high-K gate dielectrics
US6188110B1 (en) * 1998-10-15 2001-02-13 Advanced Micro Devices Integration of isolation with epitaxial growth regions for enhanced device formation
US6087231A (en) * 1999-08-05 2000-07-11 Advanced Micro Devices, Inc. Fabrication of dual gates of field transistors with prevention of reaction between the gate electrode and the gate dielectric with a high dielectric constant
US6300172B1 (en) * 1999-10-01 2001-10-09 Chartered Semiconductor Manufacturing Ltd. Method of field isolation in silicon-on-insulator technology

Also Published As

Publication number Publication date
US20020028555A1 (en) 2002-03-07
KR100354115B1 (ko) 2002-09-28
KR20010082029A (ko) 2001-08-29
JP3529732B2 (ja) 2004-05-24
US6271094B1 (en) 2001-08-07
JP2001267565A (ja) 2001-09-28
US6353249B1 (en) 2002-03-05
SG90231A1 (en) 2002-07-23
CN1309419A (zh) 2001-08-22
TW478162B (en) 2002-03-01

Similar Documents

Publication Publication Date Title
CN1177357C (zh) 具有最小覆盖电容的金属氧化物半导体场效应晶体管
CN100345301C (zh) 整合型晶体管及其制造方法
US9373695B2 (en) Method for improving selectivity of epi process
US6894357B2 (en) Gate stack for high performance sub-micron CMOS devices
CN1129171C (zh) 半导体器件的电容器的形成方法
US11508583B2 (en) Selective high-k formation in gate-last process
CN1208823C (zh) 浅沟隔离半导体及其制造
US11728376B2 (en) Structure and formation method of semiconductor device structure with gate stack
CN1725507A (zh) 半导体装置及其制造方法
CN1902740A (zh) 利于高产量并包括蚀刻终止层和/或应力膜的置换栅流程
CN1534758A (zh) 半导体器件的制造方法
CN1449034A (zh) 具有铜布线的半导体器件
CN1812101A (zh) 互补金属氧化物半导体及其形成方法
CN1203445A (zh) 能减小寄生电容的半导体器件的制造方法
CN1700472A (zh) 集成电路以及形成用于晶体管栅电极的隔离层的方法
CN1319881A (zh) 在金属镶嵌栅极工艺中形成自对准接触焊盘的方法
CN1503350A (zh) 制造多重阈值的方法和工艺
CN1832183A (zh) 提供用于沟槽电容器阵列的掩埋板的结构和方法
CN1877795A (zh) 半导体器件及其制造方法
CN1825597A (zh) 存储器元件,半导体元件及其制造方法
CN1298043C (zh) 半导体元件和隔离半导体元件的方法
CN1763909A (zh) 绝缘硅基板上单片集成铅直装置制造方法
CN1577823A (zh) 半导体器件及其制造方法
CN1622310A (zh) 具有沟道隔离结构的半导体装置及其制造方法
CN1812060A (zh) 半导体器件的制造方法

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20200618

Address after: Okatai Odawara

Patentee after: Elpis technologies

Address before: New York, USA

Patentee before: International Business Machines Corp.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20041124