CN1298042C - 具有无凹痕浅槽隔离的半导体器件及其制造方法 - Google Patents

具有无凹痕浅槽隔离的半导体器件及其制造方法 Download PDF

Info

Publication number
CN1298042C
CN1298042C CNB2003101215093A CN200310121509A CN1298042C CN 1298042 C CN1298042 C CN 1298042C CN B2003101215093 A CNB2003101215093 A CN B2003101215093A CN 200310121509 A CN200310121509 A CN 200310121509A CN 1298042 C CN1298042 C CN 1298042C
Authority
CN
China
Prior art keywords
silicon nitride
oxide film
silicon oxide
semiconductor device
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2003101215093A
Other languages
English (en)
Other versions
CN1512559A (zh
Inventor
大田裕之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Publication of CN1512559A publication Critical patent/CN1512559A/zh
Application granted granted Critical
Publication of CN1298042C publication Critical patent/CN1298042C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Element Separation (AREA)

Abstract

本发明所公开的制造半导体器件的方法具有如下步骤:使用包括第一氮化硅膜并具有窗口的图案作为掩模来蚀刻半导体衬底,以形成隔离沟槽;沉积第二氮化硅膜,使其覆盖隔离沟槽的内表面;形成第一氧化硅膜,使其掩埋隔离沟槽;蚀刻并去除隔离沟槽上部区域中的第一氧化硅膜;蚀刻并去除暴露出的第二氮化硅膜;对第二氧化硅膜进行化学机械抛光;以及蚀刻并去除暴露出的第一氮化硅膜。

Description

具有无凹痕浅槽隔离的半导体器件及其制造方法
技术领域
本发明涉及半导体器件及其制造方法,并且更具体地涉及具有浅槽隔离(STI)的半导体器件及其制造方法。
背景技术
本申请基于2002年12月26日提出的日本专利申请No.2002-376009,并要求其优先权,在本文中通过引用而结合了其全部内容。
硅的局部氧化(LOCOS)已经被用作半导体器件的隔离。
根据LOCOS技术,在硅衬底上形成作为缓冲层的氧化硅膜之后,形成作为用来防止氧化的掩模层的氮化硅膜。在对氮化硅膜进行图案化之后,经由氧化硅膜对硅衬底的表面有选择地进行热氧化。
当对硅衬底进行热氧化时,诸如氧气和水分的氧化性物质不仅进入到氮化硅膜的开口下的硅区(隔离区),而且也进入到在氮化物层下面的缓冲氧化硅层下的邻近开口的硅区(有源区)。这些氧化性物质甚至还会氧化在氮化硅膜下的硅衬底表面,并形成叫做鸟嘴的氧化硅区。这个鸟嘴区基本上不能用作用于形成电子元件的有源区,因此减少了有源区的面积。
在硅衬底上形成具有多种尺寸的孔的氮化硅膜,并对该衬底表面进行热氧化。在这种情况下,在小尺寸孔中的硅衬底表面上形成的氧化硅膜,比在大尺寸孔中的硅衬底表面上形成的氧化硅膜薄。这种现象叫做薄化(thinning)。
随着半导体器件的小型化,不用作电子元件形成区的面积与半导体衬底的总面积的比率也在增加。也就是说,由于鸟嘴或薄化而不能够用作电子元件形成区的面积的比率增加了,这妨碍了半导体器件的高度集成化。
沟槽隔离(TI)技术作为隔离区形成技术是公知的。根据TI技术,在半导体衬底的表面层中形成沟槽,在沟槽中埋入或嵌入绝缘体或多晶硅。已经使用这种方法来形成需要深隔离区的双极晶体管LSI(大规模集成电路)。
沟槽隔离正被应用于MOS晶体管LSI,因为不会发生鸟嘴和薄化。MOS晶体管LSI不象双极晶体管LSI那样需要深隔离区,它可以使用深度约0.1到1.0μm的相对较浅的隔离区。这种结构叫做浅槽隔离(STI)。
下面将参照图11A到11G来描述STI形成过程。
如图11A所示,在硅衬底1的表面上,通过热氧化形成厚度例如约为10nm的的氧化硅膜2。在这个氧化硅膜2上,通过化学气相沉积(CVD)形成厚度例如为100到150nm的氮化硅膜3。氧化硅膜2起缓冲层的作用,用来减小硅衬底1和氮化硅膜3之间的应力。在后面的抛光处理中,氮化硅膜3起停止层的作用。
在氮化硅膜3上,形成光刻胶图案4。由光刻胶图案4界定的开口界定出隔离区。在光刻胶图案4下的硅衬底区界定出将形成电子元件的有源区。
使用光刻胶图案4作为蚀刻掩模,通过反应离子蚀刻(RIE),将分别在开口中暴露的氮化硅膜3、下置氧化硅膜2和下置硅衬底1蚀刻到例如约0.5μm的深度,以形成沟槽6。
如图11B中所示,对沟槽6中暴露的硅衬底表面进行热氧化,以形成厚度例如为10nm的热氧化的硅膜7。
如图11C所示,例如,通过高密度等离子体(HDP)CVD在硅衬底上沉积氧化硅膜9,其被埋入或者嵌入到沟槽中。为了使用作隔离区的氧化硅膜9致密,要在例如900到1100℃在氮气氛下对硅衬底进行退火。
如图11D所示,使用氮化硅膜3作为停止物,通过化学机械抛光(CMP)或者反应离子蚀刻(RIE)对氧化硅膜9向下进行抛光。因此,氧化硅膜9仅在由氮化硅膜3界定出的沟槽中剩余下来。在这个阶段,可以进行退火以使氧化硅膜致密。
如图11E所示,用热磷酸去除氮化硅膜3。接着,用稀氢氟酸去除硅衬底1表面上的缓冲氧化硅膜2。这时,埋在沟槽中的氧化硅膜9也受到一定程度的蚀刻。
如图11F所示,对硅衬底1的表面进行热氧化,以形成牺牲(sacrificial)氧化硅膜22。经由牺牲氧化硅膜向硅衬底1的表面层中注入期望导电类型的杂质离子,并激活杂质离子以在硅衬底1的表面层中形成期望导电类型的阱10。然后,使用稀氢氟酸去除牺牲氧化硅膜22。当去除牺牲氧化硅膜时,氧化硅膜9也受到稀氢氟酸一定程度的蚀刻。
如图11G所示,对硅衬底暴露的表面进行热氧化,以形成期望厚度的用作栅极绝缘膜的氧化硅膜11。在硅衬底1上沉积多晶硅膜12,并对其进行图案化以形成栅电极。注入导电类型与阱10的杂质离子的导电类型相反的杂质离子,并激活杂质离子以形成源/漏区。如果需要,在栅电极的侧壁上形成侧壁间隔物,并再次注入具有相反导电类型的杂质离子,并激活杂质离子以形成高杂质浓度的源/漏区。
因为氧化硅膜9埋在沟槽中,并进行热处理以使其致密,所以氧化硅膜9会随其变得致密而收缩。由氧化硅膜9包围的有源区会受到压应力。
当压应力产生作用的时候,硅衬底有源区中的电子迁移率可能会大大地降低。如果载流子迁移率降低了,那么饱和漏极电流就会降低。因为随着半导体器件制造的小型化,有源区变得很小,所以压应力的影响就变得很大。
如图11G所示,因为隔离区9的肩部(shoulder)被蚀刻,并形成了凹痕(divot),因此不但硅衬底有源区的上表面,而且其肩部的侧壁都被栅电极包围了。当对这种形状的栅电极加电压时,电场会在有源区的肩部上集中,使得肩部形成了具有更低阈值电压的晶体管。这种寄生晶体管在IV特性曲线上产生凸峰(hump)。
已经提出了一种抑制凹痕的形成和防止凸峰特性的方法(参照早期公开号为平-11-297812的日本专利)。根据这个方法,依次在沟槽的内表面上形成氧化硅膜和氮化硅膜,接着,一旦在沟槽中填充了掩模材料,就对掩模材料进行一定程度的蚀刻,使得沟槽中掩模材料表面的水平高度比半导体衬底表面的水平高度更低,并去除在沟槽上部暴露的高出掩模材料的氮化硅膜。
虽然STI适合于小型化的制造,但是还是可能出现STI所特有的问题。所以希望有能够克服STI特有问题的新技术。
发明内容
本发明的一个目的是提供一种制造具有STI的半导体器件的方法,该方法能够实现好的晶体管特性。
本发明的另一个目的是提供一种具有好的晶体管特性的半导体器件。
根据本发明的一个方面,提供了一种制造半导体器件的方法,该方法包括如下步骤:(a)在半导体衬底的表面上形成图案,所述图案包括第一氮化硅膜并具有用于形成隔离沟槽的窗口;(b)用所述图案作为掩模蚀刻所述半导体衬底,以形成隔离沟槽;(c)沉积覆盖所述隔离沟槽内表面的第二氮化硅膜;(d)形成覆盖所述第二氮化硅膜并掩埋所述隔离沟槽的第一氧化硅膜;(f)蚀刻所述第一氧化硅膜,以去除在所述隔离沟槽上部区域中的所述第一氧化硅膜;(g)蚀刻并去除所述第二氮化硅膜的暴露部分;(h)形成掩埋所述隔离沟槽的第二氧化硅膜;(i)用所述第一氮化硅膜作为停止物,对所述第二氧化硅膜进行化学机械抛光;以及(j)蚀刻并去除暴露出的所述第一氮化硅膜,其中所述第二氮化硅膜的厚度是20到40nm。
根据本发明的另一个方面,提供了一种半导体器件,其包括:半导体衬底;在所述半导体衬底的表面下形成的隔离沟槽;氮化硅衬膜,其覆盖所述隔离沟槽的下部内表面,并缩到低于所述半导体衬底的表面;第一氧化硅膜,其在由所述氮化硅衬膜围绕的区域中形成,并掩埋所述隔离沟槽的下部区域;第二氧化硅膜,其在所述第一氧化硅膜上形成,并掩埋所述隔离沟槽的上部区域;和由所述隔离沟槽界定出的有源区,其中所述氮化硅衬膜具有20nm到40nm的厚度。
根据本发明的另一个方面,提供了一种半导体器件,其包括:半导体衬底;在所述半导体衬底的表面下形成的隔离沟槽;氮化硅衬膜,其覆盖所述隔离沟槽的下部内表面,并缩到低于所述半导体衬底的表面;氧化硅膜,其掩埋所述隔离沟槽,并在由所述氮化硅衬膜围绕的区域中具有空洞;和由所述隔离沟槽界定出的有源区。
如上所述,通过利用氮化硅膜的张应力,可以提高漏极电流。
通过防止在有源区肩部形成凹痕,可以抑制寄生晶体管的形成。
附图说明
图1A和1B示出了发明人所做模拟的分析结果。
图2A到2J是说明根据第一实施例的STI形成方法的横截面视图。
图3A和3B是示出了在有源区中形成的半导体器件的结构的平面图和横截面视图。
图4A到4D是说明根据第二实施例的STI形成方法的横截面视图。
图5A到5J是说明根据第三实施例的STI形成方法的横截面视图。
图6A到6D是说明根据第四实施例的STI形成方法的横截面视图。
图7A到7D是说明根据第五实施例的STI形成方法的横截面视图。
图8A到8D是说明根据第六实施例的STI形成方法的横截面视图。
图9A到9E示出了对样品的测量结果。
图10是示意性地示出了半导体集成电路器件的结构的横截面视图。
图11A到11G是说明传统STI形成方法的横截面视图。
具体实施方式
发明人负责研究一种方法,该方法用在沟槽中形成的氮化硅衬膜中所产生的张应力来抵消氧化硅膜中的压应力。发明人还研究了,通过在沟槽内表面上形成氮化硅衬膜、并随后从半导体衬底表面上去除当前存在的氮化硅膜使其下降到预定深度之后,漏极电流是如何变化的;并且还研究了漏极电流是如何随着氮化硅膜厚度而变化的。
图1A示出了漏极电流Id相对于凹入量r的变化的模拟结果,这里凹入量r对应于氮化硅膜的上边缘相对于衬底表面的凹入量。将氮化硅膜的厚度设定为100nm,将栅极电压Vg设定为1.2V,将漏极电压Vd设定为0.01V。
从图1A可以看出,当凹入量r从0开始增加时,漏极电流Id也随之增加。随着凹入量r的增加,漏极电流Id的增加达到饱和,然后漏极电流Id下降。因此,相对于凹入量r的变化的漏极电流Id有一个峰值。凹入量r为80到150nm时漏极电流Id变为最大。
图1B示出了漏极电流Id相对于氮化硅膜厚度变化而变化的模拟结果。将凹入量设定为80nm,将栅极电压Vg设定为1.2V,将漏极电压Vd设定为0.01V。从图1B中可以看出,随着氮化硅膜厚度的增加,漏极电流Id也增加。可以确认这样的效果,即氮化硅衬膜越厚,漏极电流增加得越多。可以认为,如果沟槽宽度为100nm或更窄,那么氮化硅衬膜的厚度优选为20到40nm。
根据这些模拟结果,在沟槽的内表面上形成氮化硅衬膜,然后去除沟槽上部区域中的氮化硅衬膜以增加漏极电流。这个实施例将在下面描述。
图2A到2J是示意性地说明根据本发明第一实施例形成STI隔离区的过程的横截面视图。
如图2A所示,在硅衬底1的表面上,通过热氧化形成厚度为3到21nm(例如10nm)的氧化硅膜2。在氧化硅膜2上,通过低压(LP)化学气相沉积(CVD)形成厚度为100到150nm(例如100nm)的氮化硅膜3。例如,使用SiCl2H2和NH3作为源气,在700℃的温度下,进行LPCVD。
在氮化硅膜3上涂覆光刻胶膜,并对光刻胶膜进行曝光和显影以形成光刻胶图案4。光刻胶图案4在有源区(电子元件形成区)上形成,光刻胶图案的开口界定出隔离区。开口的宽度在0.1到5μm的范围内,例如为0.1μm。
使用光刻胶图案4作为蚀刻掩模,对氮化硅膜3、氧化硅膜2和硅衬底1进行蚀刻。将硅衬底蚀刻到例如为0.3μm的深度,以形成沟槽6。用CF4、CHF3和Ar的混合气体作为蚀刻气体,对氮化硅膜和氧化硅膜进行蚀刻。用HBr和O2的混合气体或者Cl2和O2的混合气体对硅衬底1进行蚀刻。
在这些蚀刻条件下,沟槽6具有倾斜的侧壁。倾斜的侧壁可以降低有源区肩部上的电场集中。然后去除光刻胶图案4。
如图2B所示,对在沟槽6的表面上暴露的硅衬底表面进行热氧化,以形成厚度为1到20nm(例如10nm)的氧化硅膜7。这样,在沟槽6中暴露的整个硅表面都被氧化硅膜7覆盖了。
如图2C所示,通过LPCVD形成氮化硅膜8,其覆盖氧化硅膜7和氮化硅膜3的表面。氮化硅膜8的厚度在20到40nm的范围内,例如为20nm。氮化硅膜越厚,所产生的张应力就越大。根据沟槽宽度来确定氮化硅膜的最大厚度。
在约650℃的温度下,使用SiCl2H2和NH3的混合气体作为源气,进行LPCVD。通过这种热CVD形成的氮化硅膜具有1GPa或更大的张应力。这个应力的方向与经受了使膜致密的热处理过程的被埋入的氧化硅膜的应力方向相反,所述热处理过程将在后面描述。
还可以使用二叔丁基氨基硅烷(BTBAS)和氨气(NH3)作为源气,通过热CVD形成氮化硅膜。
这种热CVD在如下的条件下进行:压力从1.33Pa到1330Pa,例如为65Pa;衬底温度从550℃到580℃;BTBAS的流速从5sccm到200sccm,例如为40sccm;NH3的流速从50sccm到200sccm,例如为160sccm;流量比[BTBAS]∶[NH3]=1∶1到2∶20,例如为1∶4。
550℃到580℃的衬底温度比使用SiCl2H2和NH3作为源气的LPCVD中的650℃的衬底温度更低。所得到的氮化硅含有碳。
如图2D所示,通过使用例如电感耦合等离子体CVD***的高密度等离子体(HDP)CVD,在形成有氮化硅膜8的衬底上,形成氧化硅膜9a以将其埋入沟槽中。使用SiH4和氧气的混合气体或者正硅酸乙酯(TEOS)和臭氧的混合气体作为源气,形成HDP氧化硅膜。选择氧化硅膜9a的厚度以使沟槽被完全掩埋。可以使用旋转玻璃(spin-on-glass,SOG)涂覆类型的氧化硅膜,而不通过CVD形成氧化硅膜。
如图2E所示,首先,通过化学机械抛光(CMP)部分地去除氮化硅膜8上的氧化硅膜9a,留下厚度为20到100nm的平整的氧化硅膜9a。接下来,例如用氮化硅膜8作为CMP停止物将CMP向下进行到氮化硅膜8的表面。
通过在旋转的上表面板和下表面板之间挤压硅衬底来进行CMP。例如,将上表面板和下表面板的旋转速度设定为20rpm,将上表面板和下表面板之间的压力设定为5psi,将背压设定为5psi,使用以硅胶为主要成分的浆料或者含有氧化铈的浆料(slurry)作为抛光剂。在这种抛光条件下,对氮化硅层3的蚀刻速率是很小的,从而使氮化硅层3起到抛光的停止物的作用。
在氧化硅层9生长出来或对其进行CMP之后进行退火,例如在约1000℃下进行30分钟,使氧化硅膜9中的不同密度变得均一。氧化硅膜9a变得均匀,其蚀刻特性也变得均一了。
如图2F所示,用稀氢氟酸进行2000秒的蚀刻,以去除沟槽上部区域中的氧化硅膜9a。通过这种液相蚀刻,氧化硅膜9a的表面下降,但仍保持上表面的平整。除了液相蚀刻以外,可以使用用含有CF气体的化学干法蚀刻(CDE)。
CMP处理不是必需的。例如,可以不使用CMP处理来形成和蚀刻具有平坦化功能的氧化硅膜。或者,可以通过反应离子蚀刻(RIE)对氮化硅膜8上的氧化硅膜9a进行蚀刻,然后通过CDE对沟槽中的氧化硅膜9a进行蚀刻。虽然凹入量的变化有一定程度的增加,但是可以简化处理并降低成本。
在通过图2E和2F所示的处理去除了氧化硅膜9a的区域中,暴露了氮化硅膜8。
如图2G所示,用沸腾的磷酸(H3PO4)对暴露出的氮化硅膜8进行蚀刻。厚度为20nm的氮化硅衬膜8被完全蚀刻,而掩模氮化硅膜3这时几乎还没有被蚀刻。所去除的氮化硅相对于硅衬底1表面的凹入量r主要是由氧化硅膜9a的蚀刻深度控制的。如果沟槽深度为300nm,那么将氮化硅膜8蚀刻到例如离硅衬底1的表面110nm深。
通过使氮化硅衬膜8降低到低于硅衬底表面80到150nm,MOS晶体管的漏极电流增加是可以预期的。
如图2H中所示,以类似于上述方法的HDPCVD沉积厚度例如为300nm的氧化硅膜9b,来掩埋沟槽。氧化硅膜9b也沉积在氮化硅膜3的表面上。
如图2I所示,以类似于前面描述的方法的CMP去除氮化硅膜3上的氧化硅膜9b。氮化硅膜3起CMP停止物的作用。虽然氮化硅膜3上的氧化硅膜9是通过CMP去除的,但是也可以通过使用CH4和CHF3的混合气体的RIE来去除。
在去除了不必要的氧化硅膜之后,通过例如在1000℃下进行30分钟的退火来使氧化硅膜9b致密。而已经进行过退火的氧化硅膜9a将变得更加致密。可以在CMP之前进行退火。
退火后的沟槽中氧化硅膜9的性质与热氧化膜的性质几乎相同。虽然致密的氧化硅膜产生压应力,但是这个压应力的方向与氮化硅膜的张应力的方向是相反的,因此压应力被张应力抵消了。可以防止迁移率由于压应力而被降低。
如图2J所示,用沸腾的磷酸(H3PO4)去除掩模氮化硅膜3。在这种情况下,因为氮化硅衬膜8低于硅衬底1的表面且被氧化硅膜9覆盖,所以氮化硅衬膜8将不会被蚀刻。因此可以防止凹痕的形成,而如果氮化硅衬膜被蚀刻则会形成凹痕。然后,使用光刻胶掩模通过离子注入形成n阱Wn和p阱Wp。可以通过去除氧化硅膜2并形成新牺牲膜来进行离子注入。然后通过一般过程形成如MOS晶体管的半导体器件。
图3A是示出了有源区AR1和AR2的形状的平面图,这两个有源区由在硅衬底表面上形成的隔离区9和栅电极12界定出来。在形成侧壁间隔物之前,所示出的栅电极就具有该形状。图3B是沿着图3A中示出的线IIIB-IIIB的横截面视图。有源区AR中的每一个都被隔离区9所包围。使用两个有源区AR1和AR2来形成CMOS反相器。虽然CMOS反相器具有n沟道MOS晶体管和p沟道MOS晶体管,但是将示例性地给出对n沟道MOS晶体管的描述。
如图3B所示,在每一个有源区上,通过热氧化形成例如厚度为2nm的栅极绝缘膜11。在栅极绝缘膜11上,通过化学气相沉积(CVD)形成例如厚度为100nm的多晶硅层12。在多晶硅层上,形成光刻胶掩模。通过反应离子蚀刻(RIE)对多晶硅层12进行图案化,以形成例如栅极长度为80nm的栅电极G。
用光刻胶掩模覆盖n沟道区,并注入其导电类型与p型阱的导电类型相反的n型杂质离子,以形成具有低杂质浓度的浅n型延伸区(extensionregion)Ex。如果需要,沿着相对于衬底的垂线都倾斜28°的四个方向,注入其导电类型与p型阱的导电类型相同的p型杂质离子,以形成p型小区(pocket region)Pt。对于p沟道区,进行具有相反的导电类型的处理。
在衬底上,沉积氧化硅膜或者氧化硅膜和氮化硅膜的层叠膜,并通过RIE进行各向异性蚀刻,以形成侧壁间隔物SW。用光刻胶掩模覆盖p型区,并以高杂质浓度注入其导电类型与p阱的导电类型相反的n型杂质离子,以形成具有高杂质浓度的n型源/漏区S/D。对于p沟道区,进行具有相反导电类型的处理。
沉积钴或钛的金属层,并以例如两个步骤进行硅化处理,以形成硅化物层13。通过这些过程,形成了MOS晶体管。也可以使用其他已知的方法来形成MOS晶体管。
在第一实施例中,将氮化硅衬膜8埋入到氧化硅膜中,以防止当停止物氮化硅膜3被蚀刻时凹痕的形成。但是,当去除氧化硅膜2时,掩埋STI的氧化硅膜9将不可避免地被蚀刻,因此可能形成凹痕。
图4A到4D说明了第二实施例,其能够防止当蚀刻氧化硅膜时凹痕的形成。
首先,进行图2A到2G中示出的第一实施例的处理。
如图4A中所示,在对例如厚度为20nm的氮化硅衬膜8进行蚀刻时,进行厚度为10nm的过蚀刻(总的蚀刻量相当于30nm厚)。这个过蚀刻将氮化硅膜8蚀刻成低于剩余的氧化硅膜9a的表面,并且还对氧化硅膜2上的停止物氮化硅膜3的上表面和侧壁进行蚀刻。也就是说,氮化硅膜3的侧壁从有源区1的肩部缩进例如10nm。
如图4B所示,以类似于第一实施例的方式沉积第二氧化硅膜9b。
如图4C所示,对第二氧化硅膜9b进行CMP,以去除氮化硅膜3上的氧化硅膜9b。优选地,将氮化硅膜3的初始厚度设定为使得其能够起到CMP停止物的作用。
如图4D所示,用沸腾磷酸去除氮化硅膜3。埋入的氧化硅膜9覆盖了有源区的肩部Sh。即使用稀氢氟酸去除氧化硅膜2,虽然将氧化硅膜9蚀刻了一定的程度,也可防止在有源区肩部Sh的外表面上形成凹痕。
从另一个角度来说,优选地,应该将图4A所示的过蚀刻量设定为比后面使用稀磷酸的蚀刻处理的总蚀刻量更大。例如,如果有两个蚀刻处理,每一个蚀刻掉厚度为2nm的氧化硅,则考虑到过蚀刻量,侧壁优选地要缩进6nm到12nm。
图5A到5J说明了根据第三实施例的形成STI的方法。
如图5A所示,对硅衬底1的表面进行热氧化以形成氧化硅膜2,并在氧化硅膜2上形成氮化硅膜3。这些处理与第一实施例的处理相似。在氮化硅膜3上,通过LPCVD形成例如厚度约为50nm的氧化硅膜5。在氧化硅膜5上形成光刻胶图案。对氧化硅膜5、氮化硅膜3和氧化硅膜2进行蚀刻,然后对硅衬底1进行深度例如为300nm的蚀刻以形成沟槽6。
如图5B所示,对暴露在沟槽6中的硅衬底表面进行热氧化,以形成例如厚度为5nm的氧化硅膜7。
如图5C所示,例如通过LPCVD形成厚度约为20nm的氮化硅衬膜8,以覆盖沟槽的内表面。
如图5D所示,通过HDPCVD形成埋入或嵌入沟槽中的氧化硅膜9a。
如图5E所示,通过CMP对氮化硅膜8上的氧化硅膜9a进行抛光以形成平整表面,CMP在高于氧化硅膜5为20到100nm的位置停止。例如,露出氮化硅膜8的表面。
如图5F所示,用稀氢氟酸对氧化硅膜9a进行蚀刻,以将其上表面降低到例如低于硅衬底1表面110nm的水平上。
如图5G所示,用热磷酸对暴露出的氮化硅衬膜8进行蚀刻。仅在沟槽的下部区域中留有氮化硅膜8。氮化硅膜8相对于硅衬底1表面的凹入量由氧化硅膜9a的蚀刻量控制。
如图5H所示,在仅在沟槽的下部区域中留有氮化硅膜8的状态下,通过HDPCVD生长出厚度例如为300nm的氧化硅膜9b。因此沟槽被氧化硅膜9b掩埋或嵌入。
如图5I所示,通过CMP对氧化硅膜9b和5进行抛光。在这个CMP过程中,氮化硅膜3起停止物的作用。然后,通过例如在1000℃下进行30分钟的退火来使氧化硅膜9致密。
如图5J所示,用热磷酸去除停止物氮化硅膜3。因为在去除了氮化硅膜3之后整个衬底表面都由氧化硅膜覆盖,所以可以防止凹痕的形成,而在其他情况下,如果对氮化硅衬膜进行蚀刻就将形成凹痕。
在第三实施例中,虽然可以在蚀刻停止物氮化硅膜时防止凹痕的形成,但是如果在蚀刻氧化硅膜时也蚀刻了埋入的氧化硅膜,那么就会形成凹痕。
图6A到6D说明了第四实施例,其通过缩进氮化硅膜3,能够抑制在蚀刻氧化硅膜时凹痕的形成。
首先,以类似于第三实施例的方式进行图5A到5G所示的处理。
如图6A所示,蚀刻继续到对氮化硅膜8进行过蚀刻。例如,对厚度为20nm的氮化硅膜8,进行厚度为30nm的过蚀刻。因此氮化硅膜3的侧壁缩进约10nm。
如图6B所示,通过HDPCVD沉积氧化硅膜9b。
如图6C所示,通过CMP去除氧化硅膜9b和5。在这个CMP过程中,氮化硅膜3起停止物的作用。
如图6D所示,用热磷酸去除氮化硅膜3。
在图6D示出的状态下,因为氮化硅膜3的侧壁由于图6A示出的处理而缩进,所以埋入的氧化硅膜9覆盖有源区肩部Sh的拐角约10nm的宽度。因此,在后面用稀氢氟酸对氧化硅膜进行蚀刻的处理中,可以防止凹痕的形成,而在其他情况下,当蚀刻埋入的氧化硅膜9时将形成凹痕。
图7A到7D说明了根据第五实施例形成STI区的方法。
首先,以类似于第一实施例的方式进行图2A到2G的处理。
如图7A所示,在对氮化硅膜8的上部区域进行蚀刻之后,通过使用稀氢氟酸进行约2000秒的蚀刻,来去除由氮化硅膜8围绕的氧化硅膜9a。在这种情况下,在停止物氮化硅膜3下形成的缓冲氧化硅膜2的侧壁也被侧向蚀刻。
如图7B所示,通过HDPCVD形成例如厚度为300nm的氧化硅膜9。在这个膜形成过程中,通过调整膜形成条件降低了埋入特性,使得在由氮化硅膜8围绕的狭窄空间中形成空洞V。因为沟槽的宽度变窄了,所以很难完全掩埋沟槽的整个内部空间。在这个实施例中,正面利用了掩埋沟槽的困难。例如,在距离硅衬底1表面深度约为150nm处形成空洞V。
如图7C所示,通过CMP去除氮化硅膜3上的氧化硅膜9以形成平整表面。在1000℃进行约30分钟的退火以使氧化硅膜9致密。即使在沟槽的下部区域中形成了空洞V,退火后的氧化硅膜9也变得致密而且提供了作为隔离区的足够性能。
如图7D所示,用热磷酸去除氮化硅膜3。
在这个实施例中,在氮化硅膜8上方的隔离区是由单膜形成处理所形成的氧化硅膜9构成的。空洞V位于氧化硅膜9下方。空洞V的存在减小了致密氧化硅膜9的压应力。压应力的减小加强了氮化硅膜8的张应力的效用。
图8A到8D说明了根据第六实施例形成STI区的方法。
类似于第二实施例,在图2G示出的对氮化硅膜进行蚀刻的处理中,进行类似于图4A中示出的过蚀刻。通过这个过蚀刻,也对有源区表面上的氮化硅膜3进行了蚀刻,使得其侧壁缩进。
然后,进行类似于第五实施例的处理的处理。
如图8A所示,用稀氢氟酸进行约2000秒的处理,以去除在由氮化硅膜8围绕的区域中剩余的氧化硅膜9a。在氮化硅膜3下面的氧化硅膜2也受到了侧向蚀刻。
如图8B所示,在去除了氧化硅膜9a的沟槽中形成氧化硅膜9。氧化硅膜9掩埋了沟槽,该氧化硅膜9在由氮化硅膜8围绕的沟槽的下部区域中具有空洞V。
如图8C所示,进行CMP以去除氮化硅膜3上的氧化硅膜9。
如图8D所示,用热磷酸去除氮化硅膜3。如图所示,氧化硅膜9覆盖了有源区的肩部Sh。即使在后面用稀氢氟酸对氧化硅膜进行蚀刻时氧化硅膜9受到了蚀刻,也可以防止在STI的拐角形成凹痕。
图9A到9E中示出了对具有下面结构的每个样品的漏极电流变化的测量结果,所述结构是指氮化硅衬膜下降到低于硅衬底表面一定距离。
图9A示出了每个样品的平面形状。有源区的宽度L是1μm,栅电极的栅极长度是1μm。源/漏区在电流方向上的宽度W在0.3到5μm的范围内变化。关于横截面结构,如在实施例中一样,STI结构的氮化硅膜下降到低于衬底表面(从衬底表面凹入),形成了具有不同凹入量的样品,并且在有源区中形成MOS晶体管。
图9B示出了将源/漏区在电流方向上的宽度W设定为0.3μm时对样品的测量结果。在图9B中,横坐标代表导通状态下的MOS晶体管的漏极电流Ion,纵坐标代表截止状态下的MOS晶体管的漏极电流Ioff
“无衬膜”表示没有氮化硅衬膜的样品。“凹入0nm”表示具有未凹入的氮化硅衬膜的样品。“凹入50nm”和“凹入100nm”表示氮化硅衬膜分别凹入50nm和100nm的样品。
如从图9B中看到的,如果形成了衬膜,则导通电流Ion就会增加,并且凹入越深,导通电流Ion就越大。
图9C、9D和9E示出了对源/漏区的宽度W分别为0.5μm、1μm和5μm的样品的测量结果。
可以看到,似乎随着源/漏区的宽度W变得更宽,衬膜的效果就减小了。但是,氮化硅衬膜的形成使得漏极电流Ion有了一定的增加。还可以观察到对凹入量的依赖性。根据这些结果可以说,图1中所示的分析结果一般是正确的。
图10是示出了实施例所形成的具有MOS晶体管的集成电路器件的结构的横截面视图。
在硅衬底1的表面层中,形成隔离沟槽以提供浅槽隔离(STI)9。在由STI界定出的有源区中,形成晶体管TR1和TR2。这些STI和晶体管是通过上述实施例中的任何一个形成的。
形成掩埋晶体管的第一层间绝缘膜IL1,在形成于第一层间绝缘膜IL1中的凹槽(groove)中嵌入或埋入第一布线层W1。在第一布线层W1上形成氮化硅膜等的蚀刻停止层ES1,并在蚀刻停止层ES1上形成第二层间绝缘膜IL2。穿过第二层间绝缘膜IL2和蚀刻停止层ES1形成具有嵌入(damascene)结构的第二布线层W2。
类似地,在第二层间绝缘膜IL2上形成蚀刻停止层ES2,并在这个蚀刻停止层ES2上形成由下列多个膜构成的层叠结构:层间绝缘膜IL3,蚀刻停止层ES3,层间绝缘膜IL4,蚀刻停止层ES4,层间绝缘膜IL5,蚀刻停止层ES5,层间绝缘膜IL6和蚀刻停止层ES6。在这个层叠结构上,形成钝化膜PS。穿过这些绝缘层形成布线层W3、W4、W5和W6。在最上面的层之上形成接触片(contact pad)PD。可以通过多种公知处理来形成多层布线。
已经结合了优选实施例对本发明进行了描述。本发明不仅限于上述实施例。对于本领域的技术人员来说,明显可以做出多种修改、改进、组合等。

Claims (22)

1.一种制造半导体器件的方法,包括如下步骤:
(a)在半导体衬底的表面上形成图案,所述图案包括第一氮化硅膜并具有用于形成隔离沟槽的窗口;
(b)用所述图案作为掩模蚀刻所述半导体衬底,以形成隔离沟槽;
(c)沉积覆盖所述隔离沟槽内表面的第二氮化硅膜;
(d)形成覆盖所述第二氮化硅膜并掩埋所述隔离沟槽的第一氧化硅膜;
(f)蚀刻所述第一氧化硅膜,以去除在所述隔离沟槽上部区域中的所述第一氧化硅膜;
(g)蚀刻并去除所述第二氮化硅膜的暴露部分;
(h)形成掩埋所述隔离沟槽的第二氧化硅膜;
(i)用所述第一氮化硅膜作为停止物,对所述第二氧化硅膜进行化学机械抛光;以及
(j)蚀刻并去除暴露出的所述第一氮化硅膜,其中,
所述第二氮化硅膜的厚度是20到40nm。
2.根据权利要求1所述的制造半导体器件的方法,还包括如下步骤:
(e)在所述步骤(f)之前,对所述第二氮化硅膜上的所述第一氧化硅膜进行化学机械抛光,以形成平整表面。
3.根据权利要求1所述的制造半导体器件的方法,其中,所述步骤(f)是通过稀氢氟酸或者通过使用含有CF的气体的化学干法蚀刻来进行的。
4.根据权利要求1所述的制造半导体器件的方法,其中,所述步骤(g)是通过使用热磷酸进行的。
5.根据权利要求1所述的制造半导体器件的方法,其中,所述步骤(g)去除所述第二氮化硅膜,使其达到低于所述半导体衬底表面80到150nm的深度。
6.根据权利要求1所述的制造半导体器件的方法,还包括如下步骤:
(k)在所述步骤(d)之后,对所述第一氧化硅膜进行退火,以使所述第一氧化硅膜的密度均匀。
7.根据权利要求1所述的制造半导体器件的方法,还包括如下步骤:
(k)在所述步骤(h)之后,对所述第二氧化硅膜进行退火,以使所述第二氧化硅膜致密。
8.根据权利要求1所述的制造半导体器件的方法,其中,所述步骤(g)蚀刻所述第二氮化硅膜的暴露部分,并且还进行过蚀刻,以使有源区上的所述第一氮化硅膜的侧壁缩进,并降低所述氮化硅膜的上表面。
9.根据权利要求7所述的制造半导体器件的方法,其中,所述侧壁的缩进量是6nm到12nm。
10.根据权利要求1所述的制造半导体器件的方法,其中,所述步骤(a)在所述第一氮化硅膜上堆叠覆盖层,该覆盖层具有与氮化硅不同的蚀刻特性,所述步骤(g)蚀刻所述暴露出的第二氮化硅膜,并且还进行过蚀刻,以使有源区上的所述第一氮化硅膜的侧壁缩进,而不降低所述氮化硅膜的上表面。
11.根据权利要求10所述的制造半导体器件的方法,其中,所述覆盖层是氧化硅膜。
12.根据权利要求1所述的制造半导体器件的方法,其中,所述步骤(h)留下位于所述隔离沟槽的下部区域的所述第一氧化硅膜,并在所述第一氧化硅膜上形成第二氧化硅膜。
13.根据权利要求1所述的制造半导体器件的方法,还包括如下步骤:
(i)在所述步骤(g)之后、所述步骤(h)之前,去除所述隔离沟槽的下部区域中所留下的所述第一氧化硅膜,
其中,所述步骤(h)以这样的方式形成所述第二氧化硅膜,使得在由所述第二氮化硅膜围绕的区域中形成空洞。
14.一种半导体器件,包括:
半导体衬底;
在所述半导体衬底的表面下形成的隔离沟槽;
氮化硅衬膜,其覆盖所述隔离沟槽的下部内表面,并缩到低于所述半导体衬底的表面;
第一氧化硅膜,其在由所述氮化硅衬膜围绕的区域中形成,并掩埋所述隔离沟槽的下部区域;
第二氧化硅膜,其在所述第一氧化硅膜上形成,并掩埋所述隔离沟槽的上部区域;和
由所述隔离沟槽界定出的有源区,其中,
所述氮化硅衬膜具有20nm到40nm的厚度。
15.根据权利要求14所述的半导体器件,其中,所述氮化硅衬膜缩到低于所述半导体衬底表面80nm到150nm。
16.根据权利要求14所述的半导体器件,其中,所述第二氧化硅膜覆盖所述有源区的拐角。
17.根据权利要求14所述的半导体器件,其中,所述隔离沟槽的宽度为100nm或者更窄。
18.一种半导体器件,包括:
半导体衬底;
在所述半导体衬底的表面下形成的隔离沟槽;
氮化硅衬膜,其覆盖所述隔离沟槽的下部内表面,并缩到低于所述半导体衬底的表面;
氧化硅膜,其掩埋所述隔离沟槽,并在由所述氮化硅衬膜围绕的区域中具有空洞;和
由所述隔离沟槽界定出的有源区。
19.根据权利要求18所述的半导体器件,其中,所述氮化硅衬膜缩到低于所述半导体衬底表面80nm到150nm。
20.根据权利要求18所述的半导体器件,其中,所述氮化硅衬膜具有20nm到40nm的厚度。
21.根据权利要求18所述的半导体器件,其中,所述氧化硅膜覆盖所述有源区的拐角。
22.根据权利要求18所述的半导体器件,其中,所述隔离沟槽的宽度是100nm或更窄。
CNB2003101215093A 2002-12-26 2003-12-16 具有无凹痕浅槽隔离的半导体器件及其制造方法 Expired - Fee Related CN1298042C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002376009A JP2004207564A (ja) 2002-12-26 2002-12-26 半導体装置の製造方法と半導体装置
JP376009/2002 2002-12-26

Publications (2)

Publication Number Publication Date
CN1512559A CN1512559A (zh) 2004-07-14
CN1298042C true CN1298042C (zh) 2007-01-31

Family

ID=32652708

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2003101215093A Expired - Fee Related CN1298042C (zh) 2002-12-26 2003-12-16 具有无凹痕浅槽隔离的半导体器件及其制造方法

Country Status (4)

Country Link
US (3) US7208812B2 (zh)
JP (1) JP2004207564A (zh)
CN (1) CN1298042C (zh)
TW (1) TWI229410B (zh)

Families Citing this family (387)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004207564A (ja) * 2002-12-26 2004-07-22 Fujitsu Ltd 半導体装置の製造方法と半導体装置
JP2005142481A (ja) * 2003-11-10 2005-06-02 Nec Electronics Corp 半導体装置の製造方法
US20050205963A1 (en) * 2004-03-16 2005-09-22 Johnson David A Integrated anneal cap/ ion implant mask/ trench isolation structure for III-V devices
US7332408B2 (en) * 2004-06-28 2008-02-19 Micron Technology, Inc. Isolation trenches for memory devices
US7306983B2 (en) * 2004-12-10 2007-12-11 International Business Machines Corporation Method for forming dual etch stop liner and protective layer in a semiconductor device
JP2006203109A (ja) * 2005-01-24 2006-08-03 Nec Electronics Corp 半導体装置およびその製造方法
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
US7396724B2 (en) * 2005-03-31 2008-07-08 International Business Machines Corporation Dual-hybrid liner formation without exposing silicide layer to photoresist stripping chemicals
KR100685730B1 (ko) * 2005-05-02 2007-02-26 삼성전자주식회사 절연막 구조물의 형성 방법 및 이를 이용한 반도체 장치의제조 방법
US7179717B2 (en) * 2005-05-25 2007-02-20 Micron Technology, Inc. Methods of forming integrated circuit devices
US20070235783A9 (en) * 2005-07-19 2007-10-11 Micron Technology, Inc. Semiconductor constructions, memory arrays, electronic systems, and methods of forming semiconductor constructions
JP4483728B2 (ja) 2005-07-19 2010-06-16 住友電気工業株式会社 半導体光デバイスの製造方法
US7772672B2 (en) * 2005-09-01 2010-08-10 Micron Technology, Inc. Semiconductor constructions
TWI299519B (en) * 2005-09-28 2008-08-01 Promos Technologies Inc Method of fabricating shallow trench isolation structure
JP2007103864A (ja) * 2005-10-07 2007-04-19 Sharp Corp 半導体装置及びその製造方法
KR100691016B1 (ko) 2005-12-01 2007-03-09 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US8501632B2 (en) * 2005-12-20 2013-08-06 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
JP2007189110A (ja) * 2006-01-13 2007-07-26 Sharp Corp 半導体装置及びその製造方法
US7566630B2 (en) * 2006-01-18 2009-07-28 Intel Corporation Buried silicon dioxide / silicon nitride bi-layer insulators and methods of fabricating the same
US8936995B2 (en) * 2006-03-01 2015-01-20 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
US7811935B2 (en) * 2006-03-07 2010-10-12 Micron Technology, Inc. Isolation regions and their formation
US20070212874A1 (en) * 2006-03-08 2007-09-13 Micron Technology, Inc. Method for filling shallow isolation trenches and other recesses during the formation of a semiconductor device and electronic systems including the semiconductor device
US7799694B2 (en) 2006-04-11 2010-09-21 Micron Technology, Inc. Methods of forming semiconductor constructions
US7998809B2 (en) * 2006-05-15 2011-08-16 Micron Technology, Inc. Method for forming a floating gate using chemical mechanical planarization
US7442620B2 (en) * 2006-06-13 2008-10-28 Macronix International Co., Ltd. Methods for forming a trench isolation structure with rounded corners in a silicon substrate
KR100799151B1 (ko) * 2006-06-29 2008-01-29 주식회사 하이닉스반도체 플래시 메모리 소자의 소자 분리막 형성방법
JP2008041901A (ja) * 2006-08-04 2008-02-21 Toshiba Corp 半導体装置及びその製造方法
KR100790296B1 (ko) * 2006-12-04 2008-01-02 주식회사 하이닉스반도체 플래시 메모리 소자의 제조방법
JP5132928B2 (ja) * 2006-12-25 2013-01-30 パナソニック株式会社 半導体装置
KR100843246B1 (ko) * 2007-05-22 2008-07-02 삼성전자주식회사 Sti 구조를 가지는 반도체 소자 및 그 제조 방법
TW200847328A (en) * 2007-05-23 2008-12-01 Promos Technologies Inc Method for preparing a shallow trench isolation
JP2008306139A (ja) * 2007-06-11 2008-12-18 Elpida Memory Inc 半導体装置の素子分離構造の形成方法、半導体装置の素子分離構造及び半導体記憶装置
KR20090008004A (ko) * 2007-07-16 2009-01-21 삼성전자주식회사 Sti 구조를 가지는 반도체 소자 및 그 제조 방법
TWI358788B (en) 2007-07-24 2012-02-21 Nanya Technology Corp Method for forming a shallow trench isolation
US8115254B2 (en) * 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
KR100891534B1 (ko) * 2007-10-26 2009-04-03 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20090127648A1 (en) * 2007-11-15 2009-05-21 Neng-Kuo Chen Hybrid Gap-fill Approach for STI Formation
JP5691074B2 (ja) 2008-08-20 2015-04-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR20100032039A (ko) * 2008-09-17 2010-03-25 주식회사 동부하이텍 반도체 소자의 셸로우 트렌치 소자분리막 제조 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9953885B2 (en) 2009-10-27 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. STI shape near fin bottom of Si fin in bulk FinFET
JP5554973B2 (ja) * 2009-12-01 2014-07-23 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US8143137B2 (en) * 2010-02-17 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device by thinning hardmask layers on frontside and backside of substrate
CN102201360A (zh) * 2010-03-24 2011-09-28 中芯国际集成电路制造(上海)有限公司 沟槽隔离结构及其形成方法
KR20110120695A (ko) * 2010-04-29 2011-11-04 삼성전자주식회사 반도체 소자
CN102479741A (zh) * 2010-11-23 2012-05-30 旺宏电子股份有限公司 浅沟渠隔离结构的制造方法
CN102543822B (zh) * 2010-12-23 2014-11-05 无锡华润上华半导体有限公司 浅沟槽隔离结构的制作方法
JP5692801B2 (ja) * 2011-03-30 2015-04-01 独立行政法人産業技術総合研究所 半導体の製造方法及び半導体装置
CN102737961B (zh) * 2011-04-02 2015-03-11 无锡华润上华科技有限公司 减少光刻胶掩膜倒塌或移位的方法
CN102420140A (zh) * 2011-05-26 2012-04-18 上海华力微电子有限公司 埋入式二次氮化硅衬垫的浅槽隔离结构的制备方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9099556B2 (en) 2011-08-19 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having an active region with wing structure
US8916950B2 (en) * 2011-10-18 2014-12-23 International Business Machines Corporation Shallow trench isolation structure having a nitride plug
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103377980B (zh) * 2012-04-17 2015-11-25 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构及其形成方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN104637860B (zh) * 2013-11-08 2017-10-20 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构及其制备方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN104319257B (zh) * 2014-10-29 2017-04-05 上海华力微电子有限公司 一种浅沟槽隔离结构的制造方法
JP6334370B2 (ja) 2014-11-13 2018-05-30 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9812319B1 (en) * 2016-07-06 2017-11-07 Asm Ip Holding B.V. Method for forming film filled in trench without seam or void
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10515845B2 (en) 2017-11-09 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure including isolations and method for manufacturing the same
TWI755545B (zh) * 2017-11-09 2022-02-21 台灣積體電路製造股份有限公司 包含隔離結構之半導體結構及其製作方法
DE102018114750A1 (de) 2017-11-14 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor-layout zum reduzieren des kink-effekts
US10510855B2 (en) 2017-11-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor layout to reduce kink effect
US10468410B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate modulation to improve kink effect
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10734379B2 (en) * 2017-11-30 2020-08-04 Intel Corporation Fin end plug structures for advanced integrated circuit structure fabrication
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11056348B2 (en) * 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI703673B (zh) * 2018-09-27 2020-09-01 台灣積體電路製造股份有限公司 半導體裝置的製造方法以及半導體裝置
US11201122B2 (en) * 2018-09-27 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device with reduced warpage and better trench filling performance
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11239313B2 (en) 2018-10-30 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated chip and method of forming thereof
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11017851B1 (en) * 2019-11-26 2021-05-25 Cypress Semiconductor Corporation Silicon-oxide-nitride-oxide-silicon based multi level non-volatile memory device and methods of operation thereof
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
CN111540677B (zh) * 2020-05-28 2023-03-21 绍兴同芯成集成电路有限公司 一种三层阶梯状沟槽晶体管的制造工艺
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112928060A (zh) * 2021-01-21 2021-06-08 华虹半导体(无锡)有限公司 Sti的形成方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023112469A (ja) * 2022-02-01 2023-08-14 浜松ホトニクス株式会社 光検出器

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3859222A (en) * 1971-07-19 1975-01-07 North American Rockwell Silicon nitride-silicon oxide etchant
JP2703228B2 (ja) 1987-06-18 1998-01-26 三洋電機株式会社 窒化シリコン膜の形成方法
JPS6475664A (en) 1987-09-18 1989-03-22 Agency Ind Science Techn Formation of x-ray transmitting film
JPH01176067A (ja) 1987-12-29 1989-07-12 Hoya Corp 窒化シリコン膜の成膜方法
JPH01282836A (ja) 1988-05-09 1989-11-14 Fujitsu Ltd 半導体装置の製造方法
JPH01315161A (ja) 1988-06-15 1989-12-20 Fujitsu Ltd 半導体装置の製造方法
JPH0562971A (ja) 1991-09-02 1993-03-12 Fuji Electric Co Ltd 窒化シリコン膜の形成方法
JPH09283614A (ja) 1996-04-10 1997-10-31 Sony Corp トレンチ素子分離構造およびその形成方法
JP3602313B2 (ja) 1997-06-30 2004-12-15 富士通株式会社 半導体装置の製造方法
JPH11191590A (ja) 1997-10-23 1999-07-13 Sony Corp 半導体装置の製造方法および半導体装置
US6960818B1 (en) * 1997-12-30 2005-11-01 Siemens Aktiengesellschaft Recessed shallow trench isolation structure nitride liner and method for making same
JPH11214378A (ja) 1998-01-22 1999-08-06 Sony Corp 半導体装置の製造方法
US6479368B1 (en) 1998-03-02 2002-11-12 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device having a shallow trench isolating region
KR100249025B1 (ko) * 1998-03-06 2000-03-15 김영환 반도체장치의 소자분리방법
US6333274B2 (en) * 1998-03-31 2001-12-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device including a seamless shallow trench isolation step
KR100280106B1 (ko) * 1998-04-16 2001-03-02 윤종용 트렌치 격리 형성 방법
JP3972486B2 (ja) 1998-09-18 2007-09-05 株式会社デンソー 半導体装置の製造方法
JP3977974B2 (ja) 1998-12-29 2007-09-19 株式会社半導体エネルギー研究所 半導体装置
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
KR100315441B1 (ko) * 1999-03-25 2001-11-28 황인길 반도체 소자 분리를 위한 얕은 트렌치 제조 방법
JP2002208629A (ja) 2000-11-09 2002-07-26 Toshiba Corp 半導体装置、及び、半導体装置の製造方法
KR100354439B1 (ko) 2000-12-08 2002-09-28 삼성전자 주식회사 트렌치 소자 분리막 형성 방법
KR100568100B1 (ko) * 2001-03-05 2006-04-05 삼성전자주식회사 트렌치형 소자 분리막 형성 방법
KR100512167B1 (ko) * 2001-03-12 2005-09-02 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 트렌치형 소자 분리막형성방법
JP3774121B2 (ja) 2001-03-14 2006-05-10 日本電信電話株式会社 Icカードシステムにおける認証方法及びシステム
JP2002289683A (ja) 2001-03-28 2002-10-04 Nec Corp トレンチ分離構造の形成方法および半導体装置
US7125783B2 (en) * 2001-04-18 2006-10-24 Integrated Device Technology, Inc. Dielectric anti-reflective coating surface treatment to prevent defect generation in associated wet clean
KR100428806B1 (ko) * 2001-07-03 2004-04-28 삼성전자주식회사 트렌치 소자분리 구조체 및 그 형성 방법
KR100438403B1 (ko) * 2001-09-05 2004-07-02 동부전자 주식회사 플랫 셀 메모리 소자의 제조방법
FR2830984B1 (fr) * 2001-10-17 2005-02-25 St Microelectronics Sa Tranchee d'isolement et procede de realisation
US6451654B1 (en) * 2001-12-18 2002-09-17 Nanya Technology Corporation Process for fabricating self-aligned split gate flash memory
TWI248159B (en) * 2002-01-25 2006-01-21 Nanya Technology Corp Manufacturing method for shallow trench isolation with high aspect ratio
TW533536B (en) * 2002-04-24 2003-05-21 Nanya Technology Corp Manufacturing method of shallow trench isolation
US6818526B2 (en) * 2002-10-02 2004-11-16 Texas Instruments Incorporated Method for moat nitride pull back for shallow trench isolation
JP2004193585A (ja) 2002-11-29 2004-07-08 Fujitsu Ltd 半導体装置の製造方法と半導体装置
JP2004207564A (ja) * 2002-12-26 2004-07-22 Fujitsu Ltd 半導体装置の製造方法と半導体装置

Also Published As

Publication number Publication date
US7759215B2 (en) 2010-07-20
US7196396B2 (en) 2007-03-27
JP2004207564A (ja) 2004-07-22
US7208812B2 (en) 2007-04-24
TWI229410B (en) 2005-03-11
US20060108661A1 (en) 2006-05-25
TW200416942A (en) 2004-09-01
US20040126990A1 (en) 2004-07-01
CN1512559A (zh) 2004-07-14
US20070190715A1 (en) 2007-08-16

Similar Documents

Publication Publication Date Title
CN1298042C (zh) 具有无凹痕浅槽隔离的半导体器件及其制造方法
CN1306587C (zh) 具有浅沟槽隔离的半导体器件及其制造方法
CN1208823C (zh) 浅沟隔离半导体及其制造
CN1282243C (zh) 具有铜布线的半导体器件
CN1293637C (zh) 具有应变沟道的互补式金属氧化物半导体及其制作方法
CN1260817C (zh) 含有绝缘栅场效应晶体管的半导体器件及其制造方法
CN1497708A (zh) 半导体器件的制造方法及制成的半导体器件
CN1866524A (zh) 半导体器件及其制造方法
CN1534758A (zh) 半导体器件的制造方法
CN1474436A (zh) 具有自对准节接触孔的半导体器件及其制造方法
CN1841749A (zh) 具有增加的沟道长度的半导体器件及其制造方法
CN1805153A (zh) 半导体器件及其制造方法
CN1941380A (zh) 具有铟掺杂子区域的栅隔离区的半导体结构
US20060278985A1 (en) Multilevel semiconductor devices and methods of manufacturing the same
CN1716607A (zh) 半导体器件及其制造方法
CN101047129A (zh) 半导体结构及n型金属氧化物半导体晶体管的形成方法
CN1725508A (zh) 横向双扩散金属氧化物半导体ldmos元件及其加工方法
CN1941418A (zh) 存储单元以及具有该存储单元的半导体非易失性存储器的结构
CN1300854C (zh) 镶嵌栅极多台面式金氧半场效应晶体管及其制造方法
CN1499624A (zh) 具有伪结构的半导体器件
TWI231003B (en) Improved shallow trench isolation structure with low sidewall capacitance for high speed integrated circuits
KR101203178B1 (ko) 조밀 간격의 라인들을 포함하는 구조체 위에 향상된 신뢰성을 갖는 인터레이어 절연 물질을 형성하는 방법
CN1622310A (zh) 具有沟道隔离结构的半导体装置及其制造方法
CN1314102C (zh) 半导体装置及其制造方法
CN1497700A (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: FUJITSU MICROELECTRONICS CO., LTD.

Free format text: FORMER OWNER: FUJITSU LIMITED

Effective date: 20081031

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20081031

Address after: Tokyo, Japan, Japan

Patentee after: Fujitsu Microelectronics Ltd.

Address before: Kanagawa

Patentee before: Fujitsu Ltd.

C56 Change in the name or address of the patentee

Owner name: FUJITSU SEMICONDUCTORS CO., LTD

Free format text: FORMER NAME: FUJITSU MICROELECTRON CO., LTD.

CP03 Change of name, title or address

Address after: Kanagawa

Patentee after: Fujitsu Semiconductor Co., Ltd.

Address before: Tokyo, Japan, Japan

Patentee before: Fujitsu Microelectronics Ltd.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070131

Termination date: 20191216

CF01 Termination of patent right due to non-payment of annual fee