CN113463069A - 两种不同材料同时选择性沉积在两个不同表面上 - Google Patents

两种不同材料同时选择性沉积在两个不同表面上 Download PDF

Info

Publication number
CN113463069A
CN113463069A CN202110338805.7A CN202110338805A CN113463069A CN 113463069 A CN113463069 A CN 113463069A CN 202110338805 A CN202110338805 A CN 202110338805A CN 113463069 A CN113463069 A CN 113463069A
Authority
CN
China
Prior art keywords
metal
reactant
semi
substrate
different
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110338805.7A
Other languages
English (en)
Inventor
M.E.吉文斯
E.托伊斯
S.豪卡
D.内夫斯特鲁瓦
C.德扎拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN113463069A publication Critical patent/CN113463069A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • C23C16/20Deposition of aluminium only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/341Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one carbide layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02145Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing aluminium, e.g. AlSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在一些实施例中,提供了使用相同反应化学物质同时且选择性地将第一材料沉积在衬底的第一表面上和将第二不同材料沉积在同一衬底的第二不同表面上的方法。例如,第一材料可选择性地沉积在金属表面上,而第二材料同时且选择性地沉积在相邻的介电表面上。所述第一材料和所述第二材料具有不同的材料特性,如不同蚀刻速率。

Description

两种不同材料同时选择性沉积在两个不同表面上
相关申请的引用
本申请要求2020年3月30日提交的美国临时申请第63/001898号的优先权,所述临时申请以全文引用的方式并入本文中。
技术领域
本申请涉及两种不同材料同时选择性沉积在同一衬底的两个不同表面上。确切地说,本发明涉及一种气相沉积工艺,其中使两个不同表面暴露于相同气相反应物选择性地使两种不同材料沉积在两个不同表面上。
背景技术
集成电路目前通过复杂的工艺来制造,在所述复杂的工艺中,在半导体衬底上按预定布置依次构建各层材料。
材料在半导体衬底上的预定布置常可通过将材料沉积在整个衬底表面上,然后从所述衬底的预定区域移除材料来实现,如通过沉积掩模层和随后的选择性蚀刻工艺。因此,当需要将第一材料仅沉积在第一表面上和将第二材料仅沉积在第二表面上时,通常需要多个步骤。首先,将第一材料沉积在整个衬底表面上。然后,使用光刻工艺来对第一材料进行图案化,暴露不在第一表面上的第一材料。然后蚀刻第一表面,使得第一表面上剩余的是第一材料。然后遵循相同步骤在第二表面上产生第二材料。
具有同时且选择性地将第一材料沉积在衬底的第一表面上和将第二材料沉积在衬底的第二表面上的一种工艺将是有益的。此工艺可以通过避免需要用于沉积和蚀刻第一材料和第二材料中的每一者的单独步骤来节省制造时间。
发明内容
在一些方面,提供了选择性地将第一材料沉积在衬底的第一表面上和将第二不同材料沉积在衬底的第二不同表面上的方法。选择性地将第一材料沉积在衬底的第一表面上和将第二不同材料沉积在衬底的第二不同表面上的方法可包含一个或多个第一沉积循环,其包含:将所述衬底的所述第一表面和所述第二表面暴露于钝化剂,使得所述钝化剂相对于所述第二表面在所述第一表面上选择性地形成钝化层;使所述衬底的所述第一表面和所述第二表面暴露于第一金属或半金属反应物;以及使所述衬底的所述第一表面和所述第二表面暴露于第二金属或半金属反应物。在一些实施例中,包含来自第一金属或半金属反应物的第一金属或半金属以及来自第二金属或半金属反应物的第二金属或半金属的第一材料的第一层形成于第二表面上而不是第一表面上。在一些实施例中,包含来自第二金属或半金属反应物的第二金属或半金属的第二材料的第二层形成于第一表面上而不是第二表面上。
在一些实施例中,第一表面包含金属,且第二表面包含介电材料。在一些实施例中,金属是Co、Cu、W、Ru和/或Ni。在一些实施例中,介电材料包含二氧化硅(SiO2)、氮化硅(SiN)和/或碳氧化硅(SiOC)。在一些实施例中,第一材料具有与第二材料不同的材料特性。在一些实施例中,第一材料具有与第二材料不同的蚀刻速率。在一些实施例中,第一材料具有与第二材料不同的电导率或折射率。
在一些实施例中,选择性地将第一材料沉积在衬底的第一表面上和将第二不同材料沉积在衬底的第二不同表面上的方法可额外包含使所述第一表面和所述第二表面与额外的反应物接触。额外的反应物可以是例如氧反应物、氮反应物或碳反应物。在一些实施例中,第一表面是金属表面,第二表面是介电表面,钝化剂是Hthd、Hfac或Hacac,第一金属或半金属反应物是二乙基氨基硅烷或六(乙基氨基)二硅烷,第二金属或半金属反应物是三甲基铝(TMA),并且额外的反应物是包含水的氧反应物。
在一些实施例中,选择性地将第一材料沉积在衬底的第一表面上和将第二不同材料沉积在衬底的第二不同表面上的方法额外包含一个或多个第二沉积循环,其包含交替并依次使衬底与钝化剂和第一金属或半金属反应物接触。在一些实施例中,一个或多个第二沉积循环额外包含使衬底与氧反应物、氮反应物和/或碳反应物接触。在一些实施例中,重复第一循环X次,且重复第二循环Y次,其中X和Y是整数。
在一些实施例中,钝化剂包含二亚胺或β-二酮。在一些实施例中,钝化层在空间上阻止第一金属或半金属反应物与第一表面反应。在一些实施例中,第一金属或半金属反应物包含硅。在一些实施例中,第一金属或半金属反应物包含六甲基二硅氮烷(HMDS)、四甲基二硅氮烷(TMDS)、二乙基氨基硅烷和/或六(乙基氨基)二硅烷。在一些实施例中,第二金属或半金属反应物包含铝、锆、铪、钛、镧和/或铒。
在一些实施例中,提供了将两种不同材料沉积在衬底上的方法。将两种不同材料沉积在衬底上的方法包含通过使衬底的第一表面和第二表面与相同气相反应物的连续脉冲同时接触,选择性地且同时地将第一材料沉积在衬底的第一表面上和将第二不同材料沉积在衬底的第二不同表面上。在一些实施例中,将两种不同材料沉积在衬底上的方法进一步包含:使所述第一表面和所述第二表面暴露于钝化剂,使得所述钝化剂相对于所述第二表面在所述第一表面上选择性地形成钝化层;使所述第一表面和所述第二表面暴露于第一反应物,其中所述第一反应物包含金属或半金属;以及使所述第一表面和所述第二表面暴露于第二反应物,其中所述第二反应物包含金属或半金属。在一些实施例中,钝化剂包含β-二酮。在一些实施例中,第一反应物包含HMDS、TMDS、二乙基氨基硅烷和/或六(乙基氨基)二硅烷。在一些实施例中,第二反应物包含铝、锆、铪、钛、镧和/或铒。在一些实施例中,在不暴露于环境空气的情况下选择性地沉积第一材料和第二材料。
附图说明
图1是说明同时且选择性地将两种材料沉积在衬底的两个不同表面上的工艺的示例性实施例的流程图。
图2A和图2B示意性地说明同时且选择性地将两种不同材料沉积在衬底的两个不同表面上的工艺的示例性实施例的阶段。
具体实施方式
在一些情况下,如在集成电路的形成中,期望选择性地在衬底的第一表面上形成第一材料,和在所述衬底的第二不同表面上形成第二不同材料。如本文所述,利用单个气相沉积工艺这样做可以提供许多优点,包括例如通过避免多个典型处理步骤来提高处理速度。在一些实施例中,沉积的第一材料可以具有与第二材料不同的一个或多个材料特性,并且在后续处理中可以利用这些差异。例如,此类材料特性可以包括不同的蚀刻速率和不同的电导率。
本文公开了使用单个沉积工艺同时且选择性地将第一材料沉积在衬底的第一表面上和将第二材料沉积在所述衬底的第二表面上的方法和材料。第一和第二材料彼此不同并且具有不同的材料特性。在一些实施例中,提供了气相沉积方法,其中使包含两种不同材料,如介电材料和金属的层的衬底暴露于多种不同前体、反应物和/或钝化剂。所述不同反应物中的至少一者与衬底上的两个不同层的表面不同地反应。例如,在一些实施例中,衬底的第一表面(如金属层的表面)和衬底的第二表面(如包含介电材料的层的表面)依次暴露于钝化剂、第一金属或半金属反应物和第二金属或半金属反应物。在一些实施例中,还可以交替并依次提供第三反应物以产生所要材料。例如,第三反应物可以是与沉积材料反应以产生氧化物、氮化物或碳化物的氧反应物、氮反应物或碳反应物。在一些实施例中,第一表面和第二表面在衬底上彼此相邻。
钝化剂相对于第二表面选择性地与第一表面反应,并且优先地在第一表面上形成钝化物质层。例如,在一些实施例中,钝化剂相对于介电表面在衬底的金属表面上选择性地形成钝化层。由于第一表面的钝化,第一金属或半金属反应物与第二非钝化表面而不是钝化第一表面反应,在第二表面上形成包含所述第一金属或半金属反应物的金属或半金属的反应物物质层。在一些实施例中,在第一表面上基本上不存在包含第一金属或半金属反应物的金属或半金属的反应物物质。不受理论限制,这可归因于由钝化剂引起的空间位阻,所述空间位阻阻止第一金属或半金属反应物与第一表面接触和反应。
钝化层并不阻止第二金属或半金属反应物反应,并且因此第二金属或半金属与第一和第二表面两者反应。例如,第二金属或半金属反应物的尺寸可以是尽管存在钝化层,但在空间上也并不能阻止其接触第一表面。因此,在第一表面上形成包含第二金属或半金属反应物的金属或半金属的反应物物质层。此外,第二金属或半金属反应物还与第二表面反应,在第二表面上形成物质层,所述第二表面包含来自第一金属或半金属反应物的金属或半金属以及来自第二金属或半金属反应物的金属或半金属。
类似地,任选的第三反应物能够与第一钝化表面和第二非钝化表面两者反应。因此,第三反应物与第一表面上的物质反应,以在第一表面上形成包含来自第二金属或半金属反应物的金属或半金属的第一材料,并且第三反应物与第二表面上的物质反应,以在第二表面上形成包含来自第一金属或半金属反应物的第一金属或半金属以及来自第二金属或半金属反应物的第二金属或半金属的第二不同材料。第一材料和第二材料因此是不同的材料,并且可以具有一个或多个不同的材料特性,如蚀刻速率、密度、电导率和折射率。
在一些实施例中,提供一种衬底,所述衬底包含第一材料层的第一表面和第二不同材料层的第二表面,如第一金属表面和第二介电表面。在一些实施例中,例如,衬底可包含有包含Co、Cu或W的金属表面和包含SiO2的介电表面。
在一些实施例中,第一表面包含以下、基本上由以下组成或由以下组成:金属氧化物,如氧化铪、氧化钛、氧化铝、氧化钼、氧化钴或氧化铜,而第二表面包含以下、基本上由以下组成或由以下组成:不同的金属或金属氧化物,如氧化锗、氧化钨、氧化锌、氧化铁、金属钌或金属铂。
在一些实施例中,第一表面包含以下、基本上由以下组成或由以下组成:金属氧化物,如氧化铪、氧化钛、氧化铝、氧化钼、氧化钴或氧化铜,而第二表面包含以下、基本上由以下组成或由以下组成:氧化硅、氮化硅或金属钯。
在一些实施例中,第一表面包含以下、基本上由以下组成或由以下组成:金属或金属氧化物,如氧化锗、氧化钨、氧化锌、氧化铁、金属钌或金属铂,而第二表面包含以下、基本上由以下组成或由以下组成:氧化硅、氮化硅或金属钯。
衬底的两个不同表面交替并依次暴露于钝化剂、第一金属或半金属反应物、第二金属或半金属反应物和第三反应物,如氧化剂。钝化剂相对于第二表面选择性地与第一表面反应,如相对于第二介电表面选择性地与第一金属表面反应,并且优先地在第一表面上形成钝化物质层。在钝化之后,衬底的第一和第二表面暴露于第一金属或半金属反应物。由于第一表面的钝化,第一金属或半金属反应物与非钝化第一表面而不是钝化第二表面反应,在第二表面上形成包含所述第一金属或半金属反应物的金属或半金属的层。例如,包含第一金属或半金属反应物的金属或半金属的层可以相对于钝化的第一金属表面在第二介电表面上形成。
第一和第二表面随后暴露于第二金属或半金属反应物。钝化层并不阻止第二金属或半金属反应物反应,并且因此当衬底与第二金属或半金属反应物接触时,第二金属或半金属反应物与第一表面和第二钝化表面两者反应,所述第一表面包含来自第一金属或半金属反应物的金属或半金属物质层。因此,第二表面包含来自第一金属或半金属反应物的金属或半金属以及来自第二金属或半金属反应物的金属或半金属,而第一表面仅包含来自第二金属或半金属反应物的金属或半金属。例如,包含来自第一金属或半金属反应物的金属或半金属的第一材料可形成于第一金属表面上,并且包含来自第一金属或半金属反应物的第一金属或半金属以及来自第二金属或半金属反应物的第二金属或半金属的第二不同材料形成于第二介电表面上。
第一和第二表面任选地与第三反应物进一步接触。钝化剂并不阻止第三反应物反应,且因此第三反应物与第一材料和第二材料反应,如通过形成相应的氧化物、氮化物或碳化物。可以提供额外的反应物以实现所要材料组成。所得第一材料和第二材料因此是不同的材料,并且可以具有一个或多个不同的材料特性,如蚀刻速率、密度、电导率和折射率。在一些实施例中,可以提供一种或多种额外的反应物以实现所要材料组成以及第一和第二材料各自的特性。
在一些实施例中,第一材料和第二材料的蚀刻速率可相差至少25%、至少50%、至少75%、至少85%、至少90%或至少95%。第一材料的蚀刻速率可为第二材料的蚀刻速率的至少1.5倍、至少2倍、至少3倍、至少5倍、至少7倍或至少10倍。
在一些实施例中,第一材料和第二材料的电导率可相差至少20%、至少40%、至少60%、至少100%或至少500%。在一些实施例中,第一材料的电导率可比第二材料的电导率大至少10倍、至少100倍或至少1000倍。
在一些实施例中,第一材料和第二材料的密度可相差至少5%、至少10%、至少20%、至少30%、至少40%或至少50%。
在一些实施例中,第一材料和第二材料的折射率可相差至少5%、至少10%、至少20%、至少30%、至少40%或至少50%。
在一些实施例中,可通过蚀刻相对于第一和第二材料中的一者选择性地移除第一材料和第二材料中的另一者。在一些实施例中,通过蚀刻选择性地移除第一材料或第二材料中的一者,并且蚀刻工艺在第一材料与第二材料之间的选择率可为至少25%、至少50%、至少75%、至少85%、至少90%或至少95%。蚀刻工艺在第一材料与第二材料之间的选择率可以给出为由(第一材料的蚀刻速率/第二材料的蚀刻速率)计算的百分比。
钝化剂、第一金属或半金属反应物、第二金属或半金属反应物和第三反应物的交替和依次提供可以被视为沉积循环。沉积循环可以重复多次,以选择性地将两种不同材料以所要厚度沉积在两种不同表面上。在随后的循环中,钝化剂通过与第一表面上而不是第二表面上形成的材料反应来维持其选择率。例如,如果钝化剂最初相对于介电表面对金属表面具有选择性,则即使通过初始沉积循环已改变了介电质的顶表面,也将在后续沉积循环中维持这种选择性。在一些实施例中,例如,钝化剂相对于介电质对金属氧化物具有选择性,并且初始金属表面包含氧化物或经处理以包含一些氧化物。在随后的循环中,形成金属氧化物(可能包括不同的金属),并且保持选择率。类似地,第一反应物、第二反应物和第三反应物的选择率将在后续沉积循环中维持。尽管称为第一反应物、第二反应物和第三反应物,但钝化剂、第一金属或半金属反应物、第二半金属或金属反应物和第三反应物可以任何次序提供。此外,所述次序可在循环之间变化。
如上文所提及,在一些实施例中,钝化剂选择性地使衬底的第一表面而不是第二表面钝化。在一些实施例中,钝化剂选择性地使相对于介电表面的金属表面钝化。在一些实施例中,钝化剂可在空间上阻止第一金属或半金属反应物在钝化第一表面上的反应。然而,由于第二表面未经钝化,第二表面能够与第一金属或半金属反应物反应。另一方面,钝化剂并不阻碍一种或多种额外的反应物的反应,使得那些反应物能够与第一和第二表面两者反应。以此方式,同时暴露于第一和第二表面的第一金属或半金属反应物选择性地与第二表面而不是第一钝化表面反应,而第二金属或半金属反应物和任何额外的反应物接着能够与第一钝化表面和第二非钝化表面两者反应,使得在第一和第二表面上形成不同的材料。因此,基于特定情形选择钝化剂,使得它们能够相对于第二表面选择性地钝化第一表面,并且使得它们阻止第一前体的反应,同时允许第二前体与任选地额外的前体的反应。在一些实施例中,钝化剂是在杂原子之间包含乙烯桥或丙烷桥的双齿化合物。例如,钝化剂可包含O、S、N或P杂原子。在一些实施例中,钝化剂包含根据式(I)、式(II)、式(III)或式(IV)的化合物,
Figure BDA0002998651290000081
其中R1和R2独立地选自线性、支链、环状和芳香族C1至C10烃。
在一些实施例中,钝化剂包含根据式(V)、式(VI)、式(VII)或式(VIII)的化合物,
Figure BDA0002998651290000091
其中R1、R2、R3和R4各自独立地选自线性、支链、环状和芳香族C1至C10烃。
在一些实施例中,钝化剂为根据式(IX)的吡啶类化合物、一氧化碳、氮基氧鎓、根据式(X)的经取代的丁二烯、根据式(XI)的三烷基膦、根据式(XII)或(XIII)的二烷基硫化物或根据式(XIV)的二硫化物,
Figure BDA0002998651290000092
Figure BDA0002998651290000101
其中R1、R2、R3和R4各自可独立地选自线性、支链、环状和芳香族C1至C10烃。
在一些实施例中,钝化剂是二亚胺类化合物。二亚胺类化合物的两个亚胺基团可定位在相邻的碳原子中(即(R1-C(N-R3)-C(N-R4)-R2))。或者,亚胺基团可被碳原子隔开(即R1-C(N-R3)-C-C(N-R4)-R2)。二亚胺类化合物的R1和R2基团可独立地选自线性、支链、环状或芳香族C1至C10烃;选自线性、支链、环状或芳香族C1至C10烷氧基;选自烷基氨基,其中N上的取代基独立地为H或烷基,并且其中烷基是线性、支链、环状或芳香族C1至C10;或来自包含1至10个碳和卤素(F、Cl、Br或I)的卤烃基,如-CF3或-CF2CF3。在一些实施例中,钝化剂是二酮类化合物。二酮类化合物的两个酮基团可定位在相邻的碳原子中(即(R1-C(O)-C(O)-R2))。或者,酮基团可被碳原子隔开(即R1-C(O)-C-C(O)-R2)。二酮类化合物的R1和R2基团可独立地选自线性、支链、环状或芳香族C1至C10烃;选自线性、支链、环状或芳香族C1至C10烷氧基;选自烷基氨基,其中N上的取代基独立地为H或烷基,并且其中烷基是线性、支链、环状或芳香族C1至C10;或来自包含1至10个碳和卤素(F、Cl、Br或I)的卤烃基,如-CF3或-CF2CF3。在一些实施例中,钝化剂是β-二酮。在一些实施例中,钝化剂是四甲基-3,5-庚二酮(Hthd)。在一些实施例中,钝化剂是乙酰丙酮(Hacac)。在一些实施例中,钝化剂是六氟乙酰丙酮(Hfac)。
在一些实施例中,第一金属或半金属前体的分子大小较大,其不允许第一金属或半金属反应物分子穿透钝化层,并且因此钝化剂使得第一金属或半金属前体不与第一表面接触和反应。另一方面,第二金属或半金属前体的分子大小允许其穿透钝化剂以与第一表面反应。因此,有益的是,钝化表面仅与第二金属或半金属前体反应,而非钝化表面与第一金属或半金属前体和第二金属或半金属前体两者反应。同样,可提供能够与两个表面反应的一种或多种额外的反应物,如能够与第一和第二表面上的材料反应以形成相应金属氧化物的含氧反应物,能够与第一和第二表面上的材料反应以形成相应金属氮化物的含氮反应物和/或能够与第一和第二表面上的材料反应以形成相应金属碳化物的含碳反应物。因此,第一材料形成于衬底的第一表面上,且第二材料形成于衬底的第二表面上,即使两个表面都暴露于相同化学物质。如上文所提及,第一和第二材料可具有不同的材料特性。
在一些实施例中,第一表面是金属(metal)或金属的(metallic)表面,并且第二表面是介电表面。
在一些实施例中,第一金属或半金属反应物可以包含硅。在一些实施例中,第一金属或半金属反应物是半金属反应物,如硅反应物。在一些实施例中,硅反应物可具有式Sia(NR1R’)bR2c、或Sia(OR’)bR1c、或SiaXbRc,其中R1和R2可各自独立地选自H和C1至C6烃,R’可选自C1至C6烃,X可选自由F、Cl、Br和I组成的组,以及a是1至4的整数,b是1至2a+2的整数,以及c是2a+2-b的整数。在一些实施例中,第一金属或半金属反应物可包含六甲基二硅氮烷(HMDS)、四甲基二硅氮烷(TMDS)、二乙基氨基硅烷和六(乙基氨基)二硅烷中的一种或多种。
在一些实施例中,第一金属或半金属反应物可以包含钯。在一些实施例中,第一金属或半金属反应物可以包含锗、钨、锌、钌、铁和/或铂。
在一些实施例中,第二金属或半金属反应物是金属或半金属反应物,其包含锗、钨、锌、钌、铁、铂、钼、钴、铜、铝、锆、铪、钛、镧和/或铒。在一些实施例中,第二金属或半金属反应物是铝反应物。铝反应物可包含烷基铝化合物,如三甲基铝(TMA)。在一些实施例中,铝反应物可包含氧。在一些实施例中,铝反应物可包含氮。例如,铝反应物可具有式AlR3、或AlRx(OR)y、或AlRx(NRR’)y、或AlRxHy,其中x是0、1或2,且y是3-x,且其中R是H或任何C1至C6烃基,以及R’是任何C1至C6烃基。具体地,铝反应物可包含Al(iPr2AMD)3、Al(tBu2AMD)3、Al(iPr2FMD)3或Al(tBu2FMD)3,其中iPr代表异丙基,tBu代表叔丁基,AMD代表乙脒(acetamidinate),以及FMD代表甲脒(fromaimidnate)。
在一些实施例中,第一金属或半金属反应物可以包含钯,并且第二金属或半金属反应物可以包含锗、钨、锌、钌、铁或铂。在一些实施例中,第一金属或半金属反应物可以包含钯,并且第二金属或半金属反应物可以包含铪、钛、铝、钼钴或铜。
在一些实施例中,第一金属或半金属反应物可以包含硅,并且第二金属或半金属反应物可以包含锗、钨、锌、钌、铁或铂。在一些实施例中,第一金属或半金属反应物可以包含硅,并且第二金属或半金属反应物可以包含铪、钛、铝、钼钴或铜。
在一些实施例中,第一金属或半金属反应物可以包含锗、钨、锌、钌、铁和/或铂,并且第二金属或半金属反应物可以包含铪、钛、铝、钼钴或铜。
原子层沉积(ALD)类型工艺
在一些实施例中,ALD类型工艺用于同时将两种不同材料沉积在两个不同表面上。ALD类型工艺是基于前体化学品和其它反应物的受控自限性表面反应。通常通过交替并依次使衬底与反应物接触来避免气相反应。例如通过在反应物脉冲之间从反应腔室移除过量的反应物和/或反应物副产物,使气相反应物彼此分离。
简单地说,通常在降低的压力下将包含第一表面和第二不同表面的衬底加热至合适的沉积温度。一般维持沉积温度低于反应物的热分解温度,但处于足够高的水平下,以避免反应物缩合并且提供所要表面反应的活化能。当然,任何给定ALD反应的适当温度窗口将取决于所涉及的表面终止状态和反应物物质。此处,温度根据沉积的膜的类型以及所利用的反应物和钝化剂而变化。在一些实施例中,沉积温度优选地处于或低于约400℃,更优选地处于或低于约200℃,并且最优选地为约20℃至约200℃。
在每个ALD循环中,衬底的表面与气相钝化反应物、第一金属或半金属气相反应物和第二金属或半金属气相反应物以及一种或多种额外的气相反应物接触。在一些实施例中,向含有衬底的反应空间提供气相反应物的脉冲。在一些实施例中,将衬底移到含有气相反应物的反应空间。优选地选择条件,使得每个反应物与适当表面反应以获得所要选择性沉积。熟练技术人员可以基于特定情形容易地确定适宜的接触时间。如通过用惰性气体吹扫,或通过将衬底从第一反应物的存在中移除,从而从衬底表面移除过量的反应物和反应副产物(如果存在的话)。
吹扫是指如通过用真空泵抽空腔室,和/或通过用如氩气或氮气的惰性气体替换反应器内部的气体,从衬底表面移除气相反应物和/或气相副产物。典型吹扫时间是约0.05至约20秒,更优选地在约1与约10秒之间,并且再更优选地在约1与约2秒之间。然而,必要时可利用其它吹扫时间,如当在高纵横比结构或具有复杂表面形态的其它结构上方需要高度保形台阶覆盖时。
每个沉积循环可以包含第一阶段,其中使包含两个不同材料层的衬底与钝化剂接触,以相对于第二材料层的表面在第一材料层的表面上选择性地形成钝化层。在一些实施例中,在使第一和第二表面与钝化剂接触之前,可处理一个或两个表面以提供适当的表面终止,使得钝化剂具有相对于第二表面针对第一表面的所要选择率。例如,第一金属表面和第二介电表面可被处理成在第一金属表面上提供氧化物,使得钝化层相对于介电表面选择性地形成于氧化金属表面上。
在允许相对于介电表面在金属表面上选择性形成钝化层的适当接触时间之后,接着例如通过吹扫反应空间从衬底表面移除过量的钝化剂。
在第二阶段中,使第一金属或半金属反应物与第一和第二表面接触。由于存在钝化层,第一金属或半金属反应物仅与非钝化表面反应且不与钝化表面反应。因此,在非钝化表面上形成包含来自第一金属或半金属反应物的金属或半金属的材料层。然后例如通过吹扫反应空间从衬底表面移除过量的第一金属或半金属反应物和反应副产物。
在第三阶段中,使第二金属或半金属反应物与第一和第二表面接触。第二金属或半金属反应物与钝化表面和非钝化表面两者反应。因此,包含来自第二金属或半金属反应物的金属或半金属的材料层形成于钝化表面上,而包含来自第二金属或半金属反应物的金属或半金属以及来自第一金属或半金属反应物的金属或半金属的材料层形成于非钝化表面上。然后如通过吹扫反应空间从衬底表面移除过量的第二金属或半金属反应物和反应副产物。
在第四阶段中,使第一和第二表面与额外的非金属、非半金属反应物,如氧反应物、氮反应物或碳反应物接触。第三反应物与钝化表面上的材料和非钝化表面上的材料反应,如通过形成金属或半金属氧化物、氮化物、碳化物、氮氧化物或碳氧化物。然后如通过吹扫反应空间从衬底表面移除过量的第三反应物和反应副产物。
包含交替并依次使衬底表面与其它反应物接触且从所述表面移除所述反应物的额外的阶段可以包括在内,以形成更复杂的材料。
在一些实施例中,每个循环的每个阶段是自限性的。在每个阶段中供应过量的反应物前体以使敏感结构表面饱和。表面饱和将确保反应物占据所有可用的反应位点(例如,受物理尺寸或“空间位阻”限制)并因此确保优异的台阶覆盖。通常,每个循环沉积少于材料的一个分子层,然而,在一些实施例中,在循环期间沉积超过一个分子层。
从衬底表面移除过量的反应物和反应副产物可以包括抽出反应空间的一些或所有内含物和/或如用氦气、氮气或另一种惰性气体吹扫反应空间。在一些实施例中,吹扫可包含在惰性载气继续流向反应空间的同时断开反应气体的流动。在一些实施例中,通过将衬底例如移动到不同的反应空间而从衬底表面移除过量的反应物和反应副产物。
ALD类型工艺中采用的反应物在标准条件(室温和大气压)下可以是固体、液体或气态材料,条件是所述反应物在其与衬底表面接触前处于气相。使衬底表面与气化反应物接触意指反应物蒸气与衬底表面接触有限的一段时间。通常,接触时间是约0.05至约10秒。然而,取决于衬底类型和其表面积,接触时间甚至可以长于10秒。在一些情况下,接触时间可以是分钟级的。熟练技术人员能够基于特定情形确定最优接触时间。
反应物的质量流速也可以由所属领域的技术人员确定。在一些实施例中,前体的流速优选地在约1与约1000sccm之间(不限于此),或在约100与约500sccm之间。
反应室中的压力可以是约0.01至约20mbar,或约1至约10mbar。在某些情况下,压力将基于特定情形而高于或低于这些范围。
可使用的合适反应器的实例包括市售ALD设备。除ALD反应器之外,还可以采用能够进行薄膜的ALD生长的许多其它种类的反应器,包括配备有用于脉冲前体的适当设备和构件的化学气相沉积(CVD)反应器。在一些实施例中,使用流动类型ALD反应器。优选地,将反应物保持分开,直到到达反应室,使得前体的共享管线减到最少。
生长过程可以任选地在连接到丛集工具的反应器或反应空间中进行。在丛集工具中,因为每个反应空间专用于一种类型的工艺,所以每个模块中反应空间的温度可以保持恒定,与在每次操作前将衬底加热到高达工艺温度的反应器相比,这改进了生产量。
独立反应器可以配备有负载锁。在此情况下,不必在每个操作之间冷却反应空间。
图1说明了用于选择性地将第一材料沉积在如金属表面的衬底的第一表面上的单个沉积循环,以及将第二不同材料沉积在如介电表面的衬底的第二表面上的单个沉积循环。在一些实施例中,第一材料仅沉积在第一表面上,并且第二材料仅沉积在第二表面上。
提供了一种具有第一表面和第二表面的衬底。使包括第一表面和第二表面的衬底交替并依次与钝化剂102、第一金属或半金属反应物104、第二金属或半金属反应物106和第三反应物108接触。
在一些实施例中,待选择性钝化的第一表面是金属或金属的表面。在一些实施例中,可在顶表面上氧化金属表面。在一些实施例中,第一金属或金属的表面可以包含钴(Co)、铜(Cu)、钨(W)和/或镍(Ni)。
在一些实施例中,未经钝化的第二表面可以是介电表面。在一些实施例中,介电表面可以是硅类介电材料,如二氧化硅(SiO2)、氮化硅(SiN)和/或碳氧化硅(SiOC)的表面。
在方框102处,第一表面和第二表面在钝化阶段同时暴露于钝化剂。钝化剂的实例包括2,2,6,6-四甲基-3,5-庚二酮(Hthd)、乙酰基丙酮(Hacac)和六氟乙酰基丙酮(Hfac)中的至少一种。在一些实施例中,钝化剂是β-二酮。在钝化阶段期间,钝化剂可选择性地吸附在第一金属表面上而不是第二介电表面上,由此在金属层的表面上形成钝化层。例如,在一些实施例中,第一表面可以包含钌,第二表面可以包含含硅材料,如氧化硅,并且钝化剂可以是烯丙基,如烯丙基苯。在一些实施例中,第一表面可以包含铜,第二表面可以包含含硅材料,如氧化硅,并且钝化剂可以是硫醇。在一些实施例中,第一表面可以包含金属或金属氧化物,第二表面可以包含含硅材料,如氧化硅,并且钝化剂可以包含环戊二烯基化合物。在使第一和第二表面暴露于钝化剂之后,可以如通过吹扫从第一表面和第二表面移除过量的钝化剂。
在方框104处,第一表面和第二表面同时暴露于第一气相金属或半金属反应物。第一金属或半金属反应物可以是半金属反应物,如硅反应物。在一些实施例中,第一金属或半金属反应物是六甲基二硅氮烷(HMDS)、四甲基二硅氮烷(TMDS)、二乙基氨基硅烷和/或六(乙基氨基)二硅烷。在一些实施例中,第一金属或半金属反应物的分子大小使得钝化层在空间上阻止第一金属或半金属反应物与第一金属表面接触和反应。因此,第一金属或半金属反应物优先地与第二表面接触且反应,所述第二表面不包括钝化层。在使第一表面和第二表面暴露于第一金属或半金属反应物之后,可如通过吹扫反应空间从第一表面和第二表面移除过量的第一金属或半金属反应物。
在方框106处,第一表面和第二表面同时暴露于第二金属或半金属反应物。在一些实施例中,第二金属或半金属反应物可以是金属反应物,如铝反应物、锆反应物、铪反应物、钛反应物、镧反应物和/或铒反应物。在一些实施例中,第二金属或半金属反应物是三甲基铝(TMA)。第二金属或半金属反应物的分子大小可以使得钝化剂不会在空间上阻止或阻断第二金属或半金属反应物与第一表面的反应。因此,第二金属或半金属反应物可与第一钝化表面和第二非钝化表面两者接触且反应。在使第一表面和第二表面同时暴露于第二金属或半金属反应物之后,可如通过吹扫反应空间从第一表面和第二表面移除过量的第二金属或半金属反应物。
第一表面和第二表面可以进一步暴露于一种或多种额外的反应物,如氧反应物、氮反应物和/或碳反应物。氧反应物可包含例如水(H2O)、臭氧(O3)、分子氧(O2)、空气或另一种氧化剂。当使第一表面和第二表面暴露于氧化剂时,沉积在第一表面和第二表面上的材料可以被氧化以在第一表面上形成第一氧化物材料和在第二表面上形成第二氧化物材料。类似地,氮反应物可以用于形成金属氮化物,和/或碳反应物可以用于形成金属碳化物。氮反应物可包含以下、基本上由以下组成或由以下组成:氨、肼、甲基肼、1,1-二甲基阱、叔丁基肼、苯肼、1,1-二苯基肼、1-氨基哌啶、1-氨基吡咯烷、氮丙啶、1-氨基氮丙啶、偶氮叔丁烷、苯胺、C1-C6烷基胺和二烷基胺(包括支链和环状烷基)。碳反应物可包含以下、基本上由以下组成或由以下组成:例如乙烯、乙炔、丙二烯、1,3-丁二烯、2,4-二甲基-1,3-丁二烯、1,3-环己二烯、1,4-环己二烯、氯甲烷、二氯甲烷、氯仿、四氯化碳、溴甲烷、二溴甲烷、溴仿、四溴化碳、碘甲烷、二碘甲烷、碘仿、四碘化碳、溴乙烷、碘乙烷、1,2-二溴乙烷、1,2-二碘乙烷、烯丙基氯、烯丙基溴、烯丙基碘。如上文所提及,使用额外的反应物的额外的阶段可用于形成更复杂的材料,如氮氧化物或碳氧化物。在使第一表面和第二表面暴露于额外的反应物之后,可以从第一表面和第二表面移除过量的反应物。
在一些实施例中,沉积在第一表面上的第一材料包含来自第一金属或半金属反应物的金属或半金属,但不包含来自第二金属或半金属反应物的金属或半金属。另一方面,沉积在第二表面上的第二材料包含来自第一金属或半金属反应物和第二金属或半金属反应物两者的金属或半金属。例如,在一些实施例中,第一金属表面和第二介电表面交替并依次在沉积循环中与硅反应物、铝反应物和氧反应物接触。在一些实施例中,金属表面包含Co、Co、Ni或W,并且介电表面包含SiO2。Hacac、Hfac或Hthd可用作钝化剂,二乙基氨基硅烷或六(乙基氨基)二硅烷可用作第一金属或半金属反应物,以及TMA可用作第二金属或半金属反应物。水或其它氧化剂可用作第三反应物。也就是说,在一些实施例中,沉积循环包含交替并依次使第一金属表面和第二介电表面与包含Hacac、Hthd和/或Hfac的钝化剂、包含二乙基氨基硅烷或六(乙基氨基)二硅烷的第一金属或半金属反应物、包含TMA的第二金属或半金属反应物以及如水的第三氧反应物接触。沉积循环可重复两次或更多次,且选择性地将氧化铝(Al2O3)沉积在金属层上且将硅酸铝(AlXSiYO)沉积在介电表面上。
因此,沉积在金属表面上的第一材料具有与沉积在介电表面上的第二材料不同的材料特性。例如,第一材料可以具有不同于第二材料的蚀刻速率、不同电导率、不同密度、不同折射率或其它材料特性。
在一些实施例中,移除过量的反应物或钝化剂可以包括其中借助于吹扫气体从反应空间移除过量的反应物和/或反应副产物的吹扫循环。吹扫循环可以包括吹扫气体,例如惰性气体,如氦气(He)或氩气(Ar)。
如上文所提及,尽管被称作第一反应物、第二反应物和第三反应物,但反应物可以任何次序在每个沉积循环中提供,并且可以不同次序在不同沉积循环中提供。
在一些实施例中,除了上文所论述的第一沉积循环之外,所述工艺可以包括第二沉积循环。在第二沉积循环中,衬底可以交替并依次暴露于一种或多种额外的反应物。在一些实施例中,衬底可暴露于钝化剂以及第一沉积循环中使用的第一金属或半金属反应物或第二金属或半金属反应物中的一者,但不暴露于第一沉积循环中使用的另一第一金属或半金属反应物或第二金属或半金属反应物。例如,在第二沉积循环中,衬底的第一和第二表面可以交替并依次暴露于钝化剂和第一金属或半金属反应物,但不使第一表面和第二表面暴露于第二金属或半金属反应物(方框106)。因此,第二沉积循环可以包括交替并依次用钝化剂和被钝化剂阻断反应的第一金属或半金属反应物暴露第一表面和第二表面。以此方式,第二循环可以有差异地将包含来自第一金属或半金属反应物的金属或半金属的材料送到非钝化表面。因此,第二循环可用于调节或调整沉积在非钝化表面上的材料中的金属或半金属之一者的浓度。在一些实施例中,在第二循环中利用不同的反应物来将额外的组分添加到一个或两个表面,和/或将不同的材料沉积到一个或两个表面上。第二沉积循环可以进一步包括将衬底的第一表面和第二表面暴露于氧化剂、氮反应物或碳反应物。第二沉积循环可以用于例如调整第二表面上的第二材料的组成。
每个沉积循环可以依次重复预定次数,并且可以以所要比率进行两个(或更多个)不同的沉积循环以选择性地沉积具有所要组成和厚度的膜。例如,第一沉积循环可以重复X次。如果进行第二沉积循环,则第二沉积循环可以重复Y次,其中X和Y是整数。可以选择X和Y的具体数目和X:Y的比率,以实现所要组成和厚度。
在一些实施例中,如上文所描述进行第一沉积循环,其中包含第一金属表面和第二介电表面的衬底交替并依次暴露于钝化剂、第一硅反应物、第二金属或半金属反应物和第三氧反应物、第三氮反应物或第三碳反应物。在一些实施例中,选择反应物,使得第一沉积循环选择性地将金属氧化物沉积在金属层上,并且将金属硅酸盐沉积在介电表面上。例如,金属硅酸盐可以是硅酸铝(AlxSiyO)、硅酸钛(TiXSiYO)、硅酸钽(TaXSiYO)、硅酸铪(HfXSiYO)、硅酸锆(ZrXSiYO)、硅酸钇(YXSiYO)和硅酸镧(LaXSiYO)。其它金属氧化物可以是氧化钛(TiO2)、氧化钽(Ta2O5)、氧化铪(HfO2)、氧化锆(ZrO2)、氧化钇(Y2O3)和氧化镧(La2O3)。
在一些实施例中,包含第一金属表面和第二介电表面,如Cu表面和SiO2表面的衬底交替并依次暴露于包含Hacac、Hthd、Hfac和/或β-二酮的钝化剂;包含例如HMDS、TMDS、二乙基氨基硅烷和/或六(乙基氨基)二硅烷的第一硅反应物;如包含TMA的铝反应物的第二金属反应物;和如水的第三氧反应物。第一沉积循环选择性地将氧化铝(Al2O3)沉积在金属层上,并且将硅酸铝(AlXSiYO)沉积在介电表面上。
可进行第二沉积循环以调节介电表面上硅酸铝的硅含量。第二沉积循环可包含交替并依次使第一表面和第二表面暴露于钝化剂、硅反应物和氧反应物。由于钝化剂使金属表面(其可包含来自先前沉积循环的氧化铝)钝化,第二金属或半金属反应物仅与介电表面(其可包含来自先前沉积循环的硅酸铝)反应,使得在第二沉积循环中,硅氧化物相对于金属表面在介电表面上选择性地沉积。通过选择第一沉积循环和第二沉积循环的适当比率,可在介电表面上形成硅浓度增加的硅酸铝。
图2A说明了如图1中所描述的循环气相沉积工艺的例示性实施的各个阶段。在图2A中,在250处,提供具有第一表面204和第二表面202的衬底。第一表面204可以是不同于第二表面202的材料。在一些实施例中,第一表面204可以是金属或金属的,而第二表面202可以是介电表面。在一些实施例中,第一金属或金属的表面可以包含例如Co、Cu、W和/或Ni。在一些实施例中,第一金属表面包含在第一金属表面顶部的表面氧化物。第一金属表面上的表面氧化物可以是第一金属表面的金属的氧化物,例如氧化钴、氧化铜或氧化钨。在一些实施例中,第二介电表面可以包含SiO2、SiN和/或SiOC。
在钝化阶段252期间,通过使第一和第二表面暴露于钝化剂,如Hthd或Hacac,选择性地在第一表面204上形成钝化层206。钝化层206在存在时可以在第一表面204上形成表面氧化物。在钝化阶段252期间,钝化剂选择性地与第一表面204而不是第二表面202反应,使得钝化层相对于第二表面选择性地形成于第一表面上。如上文所描述,钝化剂可以是例如Hthd、Hfac或Hacac。
在第一金属或半金属反应物阶段254中,钝化的第一表面204和第二表面202暴露于第一金属或半金属反应物。在一些实施例中,第一金属或半金属反应物是硅反应物,如二乙基氨基硅烷或六(乙基氨基)二硅烷。第一表面204上的钝化层206在空间上阻止第一金属或半金属反应物接触第一表面204。因此,第一金属或半金属反应物选择性地与第二表面202反应,并且包含第一金属或半金属(如硅)的反应物物质层208选择性地沉积在第二表面202上而不是第一表面204上。
在第二金属或半金属反应物阶段256中,衬底暴露于第二金属或半金属反应物。第二金属或半金属反应物可以是例如铝反应物,如TMA。在一些实施例中,第二金属或半金属反应物可以是Zr反应物、Hf反应物、Ti反应物、La反应物或Er反应物。存在钝化层206并不阻止第二金属或半金属反应物与第一表面204接触和反应。因此,第二金属或半金属反应物与第一表面204和第二表面202两者接触且反应。因此,第一层212形成于第二表面202上,所述第一层包含来自第一金属或半金属反应物的金属或半金属以及来自第二金属或半金属反应物的金属或半金属,并且第二层210形成于第一表面204上,所述第二层仅包含来自第二金属或半金属反应物的金属或半金属。例如,当第一金属或半金属反应物是硅反应物且第二金属或半金属反应物是铝反应物时,非钝化第二表面204上的第一层212可包含硅和铝,而钝化表面204上的第二层210包含铝而不是硅。
如上文所论述,额外的阶段258可以包括在沉积循环中,其中衬底暴露于第三反应物,如氧反应物、氮反应物和/或碳反应物。图2B描绘了在暴露于如上文所描述的额外的反应物之后的衬底。在一些实施例中,氧反应物可以包含水、臭氧、分子氧或空气。在暴露于氧反应物之后,第二表面202上的第一层212和第一表面204上的第二层210转换成第一表面204上的第一材料214和第二表面202上的第二材料216。在其中第一金属或半金属反应物是硅反应物的情况下,第二金属或半金属反应物是铝反应物,并且第三反应物是氧反应物,第一材料214可以是Al2O3且第二材料216可以是硅酸铝(AlXSiYO)。
为了增加第一材料214和第二材料216的厚度,可以重复图2A和2B中所说明的阶段直到达到所要厚度。
另外,如上文所论述,在一些实施例中,可以通过提供一个或多个第二沉积循环来调整或调节第二材料216的含量。这些调节循环反映了第一沉积循环的步骤,如图2A和2B中所说明,但省略了使衬底暴露于第二金属或半金属反应物的步骤(如在第二金属或半金属反应物阶段256中执行的)。当执行此第二沉积调节循环时,第一金属或半金属反应物仍接触第二表面202,这可以增加第二材料216内来自第一金属或半金属反应物的金属或半金属的量。然而,由于存在钝化层206,第一金属或半金属反应物并不接触第一表面204,并且因此在调节循环中几乎没有额外的材料沉积在第一表面204上。以此方式,例如,可以增加硅酸铝的硅含量。
尽管已论述了某些实施例和实例,但熟习此项技术者应理解,权利要求书的范围延伸超出具体公开的实施例,延伸到其它替代实施例和/或用途以及其明显的变型和等效物。

Claims (23)

1.一种选择性地将第一材料沉积在衬底的第一表面上和将第二不同材料沉积到所述衬底的第二不同表面上的方法,所述方法包含一个或多个第一沉积循环,其包含:
使所述衬底的所述第一表面和所述第二表面暴露于钝化剂,使得所述钝化剂相对于所述第二表面在所述第一表面上选择性地形成钝化层;
使所述衬底的所述第一表面和所述第二表面暴露于第一金属或半金属反应物;以及
使所述衬底的所述第一表面和所述第二表面暴露于第二金属或半金属反应物,
其中包含来自所述第一金属或半金属反应物的第一金属或半金属以及来自所述第二金属或半金属反应物的第二金属或半金属的第一材料的第一层形成于所述第二表面上而不在所述第一表面上,并且
其中包含来自所述第二金属或半金属反应物的所述第二金属或半金属的第二材料的第二层形成于所述第一表面上而不在所述第二表面上。
2.根据权利要求1所述的方法,其中所述第一表面包含金属,并且所述第二表面包含介电材料。
3.根据权利要求2所述的方法,其中所述金属是Co、Cu、W、Ru和/或Ni。
4.根据权利要求2所述的方法,其中所述介电材料包含二氧化硅(SiO2)、氮化硅(SiN)和/或碳氧化硅(SiOC)。
5.根据权利要求1至4中任一项所述的方法,其中所述第一材料具有与所述第二材料不同的材料特性。
6.根据权利要求5所述的方法,其中所述第一材料具有与所述第二材料不同的蚀刻速率。
7.根据权利要求5所述的方法,其中所述第一材料具有与所述第二材料不同的电导率或折射率。
8.根据权利要求1至4中任一项所述的方法,其额外包含使所述第一表面和所述第二表面与额外的反应物接触,所述额外的反应物包含氧反应物、氮反应物或碳反应物。
9.根据权利要求8所述的方法,其中所述第一表面是金属表面,所述第二表面是介电表面,所述钝化剂是Hthd、Hfac或Hacac,所述第一金属或半金属反应物是二乙基氨基硅烷或六(乙基氨基)二硅烷,所述第二金属或半金属反应物是三甲基铝(TMA),并且所述额外的反应物是包含水的氧反应物。
10.根据权利要求1至4中任一项所述的方法,其中所述方法额外包含一个或多个第二沉积循环,其包含交替并依次使所述衬底与所述钝化剂和所述第一金属或半金属反应物接触。
11.根据权利要求10所述的方法,其中所述一个或多个第二沉积循环额外包含使所述衬底与氧反应物、氮反应物和/或碳反应物接触。
12.根据权利要求10所述的方法,其中重复所述第一循环X次,并且重复第二循环Y次,其中X和Y是整数。
13.根据权利要求1至4中任一项所述的方法,其中所述钝化剂包含二亚胺或β-二酮。
14.根据权利要求1至4中任一项所述的方法,其中所述钝化层在空间上阻止所述第一金属或半金属反应物与所述第一表面反应。
15.根据权利要求1至4中任一项所述的方法,其中所述第一金属或半金属反应物包含硅。
16.根据权利要求15所述的方法,其中所述第一金属或半金属反应物包含六甲基二硅氮烷(HMDS)、四甲基二硅氮烷(TMDS)、二乙基氨基硅烷和/或六(乙基氨基)二硅烷。
17.根据权利要求1至4中任一项所述的方法,其中所述第二金属或半金属反应物包含铝、锆、铪、钛、镧和/或铒。
18.一种将两种不同材料沉积在衬底上的方法,其包含:
通过同时使所述衬底的第一表面和第二不同表面与相同气相反应物的连续脉冲接触,选择性地且同时将第一材料沉积在所述衬底的所述第一表面上和将第二不同材料沉积在所述衬底的所述第二表面上。
19.根据权利要求18所述的方法,其中在不暴露于环境空气的情况下选择性地沉积所述第一材料和所述第二材料。
20.根据权利要求18或19所述的方法,其进一步包含:
使所述第一表面和所述第二表面暴露于钝化剂,使得所述钝化剂相对于所述第二表面在所述第一表面上选择性地形成钝化层;
使所述第一表面和所述第二表面暴露于第一反应物,其中所述第一反应物包含金属或半金属;以及
使所述第一表面和所述第二表面暴露于第二反应物,其中所述第二反应物包含金属或半金属。
21.根据权利要求20所述的方法,其中所述钝化剂包含β-二酮。
22.根据权利要求20所述的方法,其中所述第一反应物包含HMDS、TMDS、二乙基氨基硅烷和/或六(乙基氨基)二硅烷。
23.根据权利要求20所述的方法,其中所述第二反应物包含铝、锆、铪、钛、镧和/或铒。
CN202110338805.7A 2020-03-30 2021-03-30 两种不同材料同时选择性沉积在两个不同表面上 Pending CN113463069A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063001898P 2020-03-30 2020-03-30
US63/001,898 2020-03-30

Publications (1)

Publication Number Publication Date
CN113463069A true CN113463069A (zh) 2021-10-01

Family

ID=77855644

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110338805.7A Pending CN113463069A (zh) 2020-03-30 2021-03-30 两种不同材料同时选择性沉积在两个不同表面上

Country Status (4)

Country Link
US (2) US11608557B2 (zh)
KR (1) KR20210122684A (zh)
CN (1) CN113463069A (zh)
TW (1) TW202204658A (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10985028B1 (en) * 2019-10-18 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacturing
US20220127717A1 (en) * 2020-10-27 2022-04-28 Applied Materials, Inc. Selective Deposition Of A Heterocyclic Passivation Film On A Metal Surface
KR20230012422A (ko) * 2021-07-15 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 전이 금속과 13족 원소를 포함한 층을 형성하기 위한 방법 및 시스템
WO2023204453A1 (ko) * 2022-04-19 2023-10-26 인천대학교 산학협력단 영역-선택적 원자층 증착법을 이용한 박막의 선택적 증착방법 및 박막이 선택적으로 형성된 기판
WO2023205324A1 (en) * 2022-04-21 2023-10-26 Gelest, Inc. Inherent area selective deposition of mixed oxide dielectric film
US20240052480A1 (en) * 2022-08-15 2024-02-15 Applied Materials, Inc. Methods for Selective Molybdenum Deposition
US20240120195A1 (en) * 2022-10-06 2024-04-11 Applied Materials, Inc. Dielectric on dielectric selective deposition using aniline passivation

Family Cites Families (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
DE60137791D1 (de) 2000-11-30 2009-04-09 Asm Int Dünnfilme für magnetische vorrichtungen
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR20150067397A (ko) 2002-11-15 2015-06-17 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US20040266185A1 (en) * 2003-06-30 2004-12-30 Texas Instruments Incorporated Method for reducing integrated circuit defects
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
EP1824960A2 (en) 2004-11-22 2007-08-29 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8399056B2 (en) 2006-06-02 2013-03-19 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
WO2009036046A1 (en) 2007-09-14 2009-03-19 Sigma-Aldrich Co. Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl trialkoxy hafnium and zirconium precursors
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
JP2011503876A (ja) 2007-11-06 2011-01-27 エイチシーエフ パートナーズ リミテッド パートナーシップ 原子層堆積プロセス
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8309446B2 (en) 2008-07-16 2012-11-13 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
TW201027766A (en) 2008-08-27 2010-07-16 Applied Materials Inc Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
WO2010065505A2 (en) 2008-12-01 2010-06-10 E. I. Du Pont De Nemours And Company Anode for an organic electronic device
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
CA2767472A1 (en) 2009-07-31 2011-02-03 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012066977A1 (ja) 2010-11-19 2012-05-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
WO2012107138A1 (en) 2011-02-07 2012-08-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20190077619A (ko) 2011-06-03 2019-07-03 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9352944B2 (en) 2012-03-19 2016-05-31 Gray Manufacturing Company, Inc. Control and communication system for a wireless vehicle lift system
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
JP6277963B2 (ja) 2013-01-31 2018-02-14 大日本印刷株式会社 電子線硬化性樹脂組成物、リフレクター用樹脂フレーム、リフレクター、半導体発光装置、及び成形体の製造方法
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
CN110323268B (zh) 2013-06-28 2023-01-03 英特尔公司 基于选择性外延生长的iii-v族材料的器件
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
CA3009048A1 (en) 2013-09-20 2015-03-26 Baker Hughes, A Ge Company, Llc Composites for use in stimulation and sand control operations
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
WO2015047345A1 (en) 2013-09-27 2015-04-02 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
EP3084815A4 (en) 2013-12-19 2018-01-03 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9895715B2 (en) * 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
KR102287787B1 (ko) 2014-03-27 2021-08-10 인텔 코포레이션 광 지원형 금속 원자층 퇴적(ald) 및 화학 기상 퇴적(cvd)을 위한 전구체 및 프로세스 설계
KR102472396B1 (ko) 2014-03-28 2022-12-01 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
CN107533951B (zh) 2015-05-01 2021-10-26 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
CN108064225A (zh) 2015-06-18 2018-05-22 英特尔公司 用于第二或第三行过渡金属薄膜的沉积的固有地选择性前驱体
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
WO2017184357A1 (en) 2016-04-18 2017-10-26 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
KR20230026514A (ko) 2016-10-02 2023-02-24 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
TWI739984B (zh) * 2017-01-31 2021-09-21 美商應用材料股份有限公司 就圖案化應用進行選擇性沉積之方案
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) * 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
TW202140833A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積

Also Published As

Publication number Publication date
US11608557B2 (en) 2023-03-21
TW202204658A (zh) 2022-02-01
US20210301391A1 (en) 2021-09-30
KR20210122684A (ko) 2021-10-12
US20230203644A1 (en) 2023-06-29

Similar Documents

Publication Publication Date Title
US11608557B2 (en) Simultaneous selective deposition of two different materials on two different surfaces
US11396701B2 (en) Passivation against vapor deposition
US20220367173A1 (en) Deposition of oxide thin films
KR102662636B1 (ko) 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적
US9587307B2 (en) Enhanced deposition of noble metals
JP4713041B2 (ja) 遷移金属窒化物薄膜の堆積方法
KR20170138954A (ko) 반응 챔버 패시베이션 및 금속성 막들의 선택적 퇴적
US20020162506A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20010000866A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP2010508661A (ja) 金属炭化物膜の気相成長
KR20200120872A (ko) 금속 표면 상에 금속 산화물의 선택적 증착
JP2006257551A (ja) Aldによる貴金属の促進された堆積
WO2013043501A1 (en) Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
KR20200099986A (ko) 반응 챔버에서 주기적 증착 공정에 의해 기판 상에 하프늄 란타늄 산화물 막을 증착하는 방법
CN113463067A (zh) 氧化硅在金属表面上的选择性沉积
US20220084817A1 (en) Silicon oxide deposition method
WO2021087069A1 (en) Methods to grow low resistivity metal containing films
JP7486588B2 (ja) エッチングまたは堆積のための方法
TWI843931B (zh) 蝕刻或沉積之方法
KR20240096719A (ko) 몰리브데넘 전구체 화합물
WO2023086298A1 (en) Molybdenum precursor compounds
CN117721436A (zh) 用于选择性地沉积过渡金属的方法和组件
TW202328401A (zh) 蝕刻製程和處理組件

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination