CN113463067A - 氧化硅在金属表面上的选择性沉积 - Google Patents

氧化硅在金属表面上的选择性沉积 Download PDF

Info

Publication number
CN113463067A
CN113463067A CN202110323241.XA CN202110323241A CN113463067A CN 113463067 A CN113463067 A CN 113463067A CN 202110323241 A CN202110323241 A CN 202110323241A CN 113463067 A CN113463067 A CN 113463067A
Authority
CN
China
Prior art keywords
substrate
metal
deposition
aluminum
silicon oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110323241.XA
Other languages
English (en)
Inventor
A.伊利贝里
G.A.弗尼
邓少任
D.恰佩
E.托伊斯
M.托米宁
M.吉文斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN113463067A publication Critical patent/CN113463067A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/12Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing organo-metallic compounds or metal hydrides
    • B01J31/122Metal aryl or alkyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/12Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing organo-metallic compounds or metal hydrides
    • B01J31/14Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing organo-metallic compounds or metal hydrides of aluminium or boron
    • B01J31/143Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing organo-metallic compounds or metal hydrides of aluminium or boron of aluminium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供了用于相对于介电表面在金属或金属性表面上选择性沉积氧化硅膜的方法。可相对于金属或金属性表面选择性地钝化衬底的介电表面,如通过将衬底暴露于甲硅烷基化剂。然后,通过使金属表面与金属催化剂和包含硅烷醇的硅前体接触来相对于经钝化的氧化物表面选择性地在金属或金属性表面上沉积氧化硅。

Description

氧化硅在金属表面上的选择性沉积
相关申请的引用
本申请要求2020年3月30日提交的美国临时申请号63/002135的优先权,该临时申请通过全文引用并入本文。
背景
技术领域
本公开总体上涉及氧化硅相对于衬底的介电表面在衬底的金属或金属性表面上的选择性沉积。
背景技术
半导体制造中不断缩小的器件尺寸要求新的创新性加工方法。常规上,半导体加工中的图案化涉及减法工艺,其中沉积毯覆层、通过光刻技术掩蔽并通过掩模中的开口蚀刻。还已知加法图案化,其中掩蔽步骤先于感兴趣的材料的沉积,如使用剥离(lift-off)技术或镶嵌工艺(damascene processing)进行的图案化。在大多数情况下,应用昂贵的多步光刻技术来图案化。
图案化可通过选择性沉积来简化,选择性沉积已引起了半导体制造商越来越多的兴趣。选择性沉积以各种方式高度有益。重要的是,它可减少光刻步骤,从而降低加工成本。选择性沉积也可实现窄结构中增强的缩微。
包含二氧化硅的薄膜在微电子器件中被用于许多不同的应用中,例如,作为介电材料。二氧化硅是硅微电子器件中最常用的介电材料之一。
发明内容
在一些方面,提供了相对于介电表面在金属或金属性表面上选择性沉积氧化硅膜的方法。在一些实施方案中,相对于衬底的介电表面在衬底的金属表面上选择性地沉积氧化硅的方法按次序包括:使衬底与钝化剂接触;使金属表面与金属催化剂接触;和使金属表面与包含硅烷醇的硅反应物接触。在一些实施方案中,金属表面包含Al、Cu、Co、Ni、W、Nb、Fe和Mo中的一种或多种。在一些实施方案中,介电表面包含氧化硅。在一些实施方案中,使衬底与钝化剂接触导致相对于金属表面选择性地钝化介电表面。在一些实施方案中,钝化剂为甲硅烷基化剂。在一些实施方案中,甲硅烷基化剂包含烷基氨基硅烷。在一些实施方案中,烷基氨基硅烷具有式(RI)3Si(NRIIRIII),其中RI为直链或支链C1-C5烷基基团或者直链或支链C1-C4烷基基团,RII为直链或支链C1-C5烷基基团、直链或支链C1-C4烷基基团或者氢,RIII为直链或支链C1-C5烷基基团或者直链或支链C1-C4烷基基团。在一些实施方案中,甲硅烷基化剂包含烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)。
在一些实施方案中,金属催化剂包含三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔-丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)。在一些实施方案中,金属催化剂为包含Zn、Mg、Mn、La、Hf、Al、Zr、Ti、Sn或Ga的金属化合物。在一些实施方案中,金属催化剂为金属卤化物、有机金属化合物或金属有机化合物。
在一些实施方案中,硅反应物包含三(叔-丁氧基)硅烷醇(TBS)、三(异丙氧基)硅烷醇(TIS)或三(叔-戊氧基)硅烷醇(TPS)。
在一些实施方案中,在使衬底与钝化剂接触之前在金属表面上形成钝化阻断层。在一些实施方案中,钝化阻断层包含聚合物或自组装单层(SAM)。
在一些实施方案中,氧化硅相对于经钝化的介电表面在经催化的金属表面上的沉积的选择性大于约50%。
在一些实施方案中,相对于衬底的介电表面选择性地在衬底的金属表面上沉积氧化硅包括沉积超循环,所述沉积超循环包括:使衬底与甲硅烷基化剂接触,和进行一个或多个氧化硅沉积子循环,所述氧化硅沉积子循环包括交替且依次地使衬底与金属催化剂和硅烷醇接触。在一些实施方案中,甲硅烷基化剂为N-(三甲基甲硅烷基)二甲基胺。在一些实施方案中,金属催化剂包含三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔-丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)。
在一些实施方案中,金属催化剂为包含Zn、Mg、Mn、La、Hf、Al、Zr、Ti、Sn或Ga的金属化合物。在一些实施方案中,金属催化剂为金属卤化物、有机金属化合物、或金属有机化合物。在一些实施方案中,硅烷为三(叔-戊氧基)硅烷醇。在一些实施方案中,在沉积超循环中将氧化硅沉积子循环重复两次或更多次。在一些实施方案中,在至少一个氧化硅沉积子循环中使衬底与硅烷醇接触两次或更多次。在一些实施方案中,将沉积超循环重复两次或更多次。
在一些实施方案中,相对于衬底的介电表面选择性地在衬底的金属表面上沉积氧化硅的方法包括交替且依次地使衬底与包含烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)的甲硅烷基化剂;三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔-丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA);和三(叔-戊氧基)硅烷醇接触。
附图说明
图1为流程图,示意了相对于介电表面选择性地在金属表面上沉积氧化硅的沉积方法。
图2A为具有第一介电表面和第二相邻金属表面的衬底的一部分的示意性横截面。
图2B为在介电表面的选择性钝化之后图2A的衬底的示意性横截面。
图2C为金属表面上铝催化剂的选择性沉积之后图2B的衬底的示意性横截面。
图2D为金属表面上氧化硅的选择性沉积之后图2C的衬底的示意性横截面。
图2E为从氧化物表面去除钝化材料之后图2D的衬底的示意性横截面。
具体实施方式
通过本文描述的方法形成的氧化硅膜如二氧化硅膜(例如,SiO2膜)可用于多种情况下。氧化硅膜,如二氧化硅膜(例如,SiO2膜),例如被用于各种半导体器件中,包括CMOS、DRAM、闪存和磁头应用。氧化硅,如二氧化硅(例如,SiO2),通常也被用作CMOS的栅极电介质、用作电隔离层和间隙填充层。可通过使硅烷醇暴露于包含适当催化剂的表面来沉积氧化硅膜,如二氧化硅膜(例如,SiO2膜)。催化剂准备表面以与硅烷醇反应,从而导致衬底表面上催化的氧化硅生长。
在一些实施方案中,通过与催化剂组合地使用钝化剂,相对于第二介电表面如氧化物表面选择性地在第一金属(或金属性)表面上方沉积氧化硅。在一些实施方案中,介电表面可相对于金属表面被选择性地钝化,例如通过甲硅烷基化。随后,相对于介电表面选择性地在金属表面上沉积催化剂。催化剂可以是例如金属催化剂,如下文更详细地描述。然后通过使衬底与硅反应物如硅烷醇接触来相对于经钝化的介电表面选择性地在金属表面上沉积氧化硅层。在一些实施方案中,在介电表面的钝化之后沉积氧化硅而不在金属表面上沉积催化剂。氧化硅层可通过循环气相沉积工艺沉积,其中使衬底交替地与催化剂和硅烷醇接触,直至已选择性地沉积期望厚度的氧化硅膜。在一些实施方案中,可省却钝化步骤。
在一些实施方案中,用甲硅烷基化剂如烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)来将衬底上的介电表面如氧化物表面甲硅烷基化,选择性地在同一衬底的金属表面上沉积如本文所述的金属催化剂,并随后相对于经钝化的介电表面选择性地在衬底的金属表面上沉积氧化硅。例如,可相对于相邻的介电表面如金属氧化物表面、氧化硅表面或低k表面选择性地在金属表面上沉积氧化硅层,例如通过使用烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)作为钝化剂,使用三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔-丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)作为铝催化剂,以及使用硅烷醇如三(叔-戊氧基)硅烷醇作为硅反应物。
在一些实施方案中,衬底的金属或金属性表面包含元素金属或金属合金,而衬底的第二不同表面包含介电材料如氧化物。在一些实施方案中,介电表面和金属表面彼此相邻。可能的介电材料的实例包括基于氧化硅的材料,包括生长或沉积的二氧化硅、掺杂和/或多孔的氧化物、硅上的天然氧化物等。在一些实施方案中,介电材料包含金属氧化物。在一些实施方案中,介电材料包含低k材料。
介电材料的表面可相对于金属或金属性表面被选择性地钝化,如通过选择性甲硅烷基化。在一些实施方案中,使介电表面与气相钝化剂如气相烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)接触。可使衬底与足够量的钝化剂接触并持续足够的时间段,以使介电表面被硅物种选择性地钝化。在一些实施方案中,使两个表面都与气相钝化剂接触并相对于金属或金属性表面选择性地钝化介电表面。在一些实施方案中,介电表面不用自组装单层(SAM)钝化。
相对于介电表面选择性地在金属表面上形成催化剂,如通过使衬底与金属催化剂化合物接触。在一些实施方案中,催化剂为金属催化剂。在一些实施方案中,使金属表面和介电表面二者均与金属催化剂化合物接触。包含催化剂物种的金属表面在本文中可被称为“经催化的金属表面”。在一些实施方案中,使衬底与如下所述金属催化剂接触。催化剂可以是例如包含Zn、Mg、Mn、La、Hf、Al、Zr、Ti、Sn或Ga的金属化合物。在一些实施方案中,催化剂为金属卤化物、有机金属或金属有机化合物。在一些实施方案中,催化剂可为金属氧化物。在一些实施方案中,催化剂为包含硼的化合物。在一些实施方案中,金属催化剂为铝催化剂,其包含三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔-丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)。在一些实施方案中,催化剂为锆化合物,如Zr-DO4。在一些实施方案中,催化剂为四(乙基甲基氨基)锆(TEMAZ)。在一些实施方案中,催化剂为ZrCl4。在一些实施方案中,催化剂为镧化合物,如三(异丙基-环戊二烯基)镧(LA(iPrCp)3)。在一些实施方案中,催化剂为钛化合物,如异丙醇钛(TTIP)或TiCl4。在一些实施方案中,催化剂为镓化合物,如三甲基镓(TMG)。在一些实施方案中,催化剂为铪化合物,如HfCl4或Hf(NO3)4
在一些实施方案中,催化剂可相对于介电表面优先沉积在金属表面上。在一些实施方案中,催化剂相对于经钝化的介电表面优先沉积在金属表面上。在一些实施方案中,介电表面上的钝化剂将抑制或防止铝催化剂在介电表面上的沉积。在一些实施方案中,单次暴露于钝化剂可防止其中使衬底与催化剂接触的1、2、5、10、20、30、40或50或更多个循环中催化剂在介电表面上的沉积。在一些实施方案中,介电表面不被钝化,并且在介电表面上不存在钝化材料的情况下催化剂选择性地沉积在金属表面上。在一些实施方案中,不采用催化剂,例如在金属或金属性表面的金属本身可催化氧化硅沉积的情况下。
在金属或金属性表面上沉积催化剂后,相对于经钝化的介电表面选择性地在金属或金属性表面上沉积氧化硅层。例如,可将衬底暴露于硅前体,如硅烷醇。在一些实施方案中,将衬底仅暴露于硅前体,而在一些实施方案中,将衬底暴露于硅前体和氧前体如H2O。硅前体可与包含铝催化剂的表面反应形成氧化硅。例如,可使衬底与包含硅烷醇的硅反应物接触,使得硅烷醇在金属或金属性表面上的催化剂原子处分解,导致氧化硅相对于介电表面在金属或金属性表面上的选择性生长。
在一些实施方案中,在一个或多个沉积超循环中使衬底交替且依次地与钝化剂、催化剂和硅烷醇反应物接触。该沉积超循环可被重复多次以相对于介电表面选择性地在金属表面上沉积期望厚度的氧化硅膜。参考图1,在一些实施方案中,在完整的沉积超循环100中,最先使衬底与钝化剂110如甲硅烷基化剂接触。可从衬底表面去除过量的钝化剂。进行氧化硅沉积子循环120,其中使衬底与催化剂130和硅前体如硅烷醇140接触。如上所述,在一些实施方案中,除了硅反应物外,还使衬底与氧反应物如H2O接触。在每个接触步骤130和140之后,可从衬底表面去除过量的催化剂和硅烷醇。在单个沉积超循环100中可将子循环重复150多次。在一些实施方案中,在每个沉积超循环100中进行一个、两个、三个或更多个氧化硅沉积子循环,其中使衬底交替且依次地与催化剂130和硅烷醇反应物140接触。即,每次使衬底与钝化剂110接触时,可进行多个氧化硅沉积子循环120。在一些实施方案中,在通过使衬底与钝化剂接触来开始另一沉积超循环100之前将氧化硅沉积子循环120重复高达五十次。在一些实施方案中,在沉积子循环之后并在使衬底与钝化剂110接触之前去除钝化层,如通过等离子体蚀刻,例如通过使衬底与H2等离子体接触。这样,在沉积过程中钝化层可被更新一次或多次。在一些实施方案中,不在每一个沉积超循环100中都去除钝化层而是仅在一个或多个沉积超循环中去除,如在最后一个沉积超循环中去除。可重复沉积超循环100直至已选择性地在金属表面上形成期望厚度的氧化硅膜。在一些实施方案中,在沉积过程中仅提供一次钝化剂。
在一些实施方案中,金属氧化物被选择性地沉积于的金属或金属性表面至少部分地与被选择性地钝化的介电表面相邻。例如,金属或金属性表面的至少一部分可与介电表面如氧化物表面相邻。
在一些实施方案中,在在介电表面如氧化物表面上形成钝化层之前,可向金属或金属性表面提供钝化阻断层,如自组装单层(SAM)。钝化阻断层可促进介电表面对钝化如甲硅烷基化的选择性,并可在其后去除钝化阻断层以允许金属催化剂和氧化硅相对于经甲硅烷基化的介电表面在金属或金属性表面上的选择性沉积。
可在在金属或金属性表面上方选择性沉积氧化硅层之后从介电表面如从氧化物表面去除介电表面上的钝化层(例如,甲硅烷基化)。可选择条件以避免损伤衬底上的周围材料。在一些实施方案中,可在氧化硅层的沉积期间以一个或多个时间间隔去除和更新介电表面上的钝化层(例如,甲硅烷基化)。例如,可在沉积过程期间以一个或多个时间间隔去除钝化层,如通过暴露于H2等离子体,随后在进行进一步的氧化硅沉积之前再次暴露于甲硅烷基化剂。在一些实施方案中,在每个循环中去除并更新钝化层。
可用于本文所述的选择性沉积工艺中的合适反应器的实例包括市售原子层沉积(ALD)设备。除ALD反应器外,也可采用能够生长有机钝化层的许多其他种类的反应器,包括化学气相沉积(CVD)反应器、气相沉积聚合(VDP)反应器和分子层沉积(MLD)反应器。
衬底表面
根据本公开的一些方面,可使用选择性沉积来相对于氧化物表面或其他介电表面优先地在金属或金属性表面上沉积感兴趣的膜,如氧化硅膜。图2A中示意性地示出了这样的衬底。在一些实施方案中,衬底上两个表面至少部分地彼此相邻。氧化物表面相对于金属或金属性表面的选择性钝化如氧化物表面的选择性甲硅烷基化将促进金属催化剂在金属或金属性表面上的后续选择性沉积,接着是氧化硅层相对于经甲硅烷基化的氧化物表面在金属或金属性表面上的选择性沉积。
在一些实施方案中,表面中之一可以是衬底的导电金属或金属性表面,而另一介电表面可以是衬底的非导电氧化物表面。在一些实施方案中,非导电氧化物表面包含-OH基团,如基于氧化硅的表面(例如,低-k材料,包括生长和沉积的硅氧化物材料和硅上的天然氧化物)。氧化物表面可通过暴露于甲硅烷基化剂相对于金属或金属性表面被选择性地钝化。这之后是暴露于金属催化剂,并随后可相对于经甲硅烷基化的氧化物表面选择性地在金属或金属性表面上沉积氧化硅。
两个衬底表面之间的材料差异使得气相沉积方法可相对于金属或金属性表面选择性地钝化氧化物表面。在一些实施方案中,使用循环气相沉积,例如循环化学气相沉积(CVD)或原子层沉积(ALD)工艺。在一些实施方案中,可在金属或金属性表面上无钝化/阻断剂(以接收较少的钝化层)的情况下和/或在介电层的表面上无催化剂以接收更多的钝化层的情况下实现对钝化层的选择性。例如,在其中第一表面为氧化物而第二表面是金属性的的实施方案中,氧化物层可相对于金属或金属性表面被选择性地甲硅烷基化而无需氧化物表面或者金属或金属性表面的预处理。
在一些实施方案中,首先处理金属或金属性表面以抑制该表面的钝化(如甲硅烷基化)。在一些实施方案中,钝化阻断层为聚合物层。在一些实施方案中,可首先相对于氧化物表面在金属或金属性表面上方形成钝化阻断自组装单层(SAM),从而促进钝化层相对于SAM覆盖金属性表面在氧化物表面上的选择性沉积。可在选择性钝化之后并在催化剂的沉积和氧化硅的后续沉积之前去除钝化抑制剂。在完成钝化层的选择性沉积之后,可相对于经钝化的表面对非钝化金属或金属性表面进行感兴趣的材料如催化剂和/或氧化硅的选择性沉积。
如本文所用,除非另外指明,否则如果某表面在本文中被称为金属表面,则其可以是金属或金属性表面。在一些实施方案中,金属或金属性表面可包含表面氧化。在一些实施方案中,金属表面的材料在具有或不具有表面氧化的情况下是导电的。在一些实施方案中,金属表面包含一种或多种过渡金属。在一些实施方案中,金属表面包含Al、Cu、Co、Ni、W、Nb、Fe或Mo中的一种或多种。在一些实施方案中,金属表面包含Cu。在一些实施方案中,金属表面为铜表面。在一些实施方案中,金属性表面包含氮化钛。在一些实施方案中,金属表面包含一种或多种贵金属如Ru。在一些实施方案中,金属表面包含金属氧化物如导电金属氧化物、金属氮化物、金属碳化物、金属硼化物或其组合。例如,金属或金属性表面可包含RuOx、NbCx、NbBx、NiOx、CoOx、NbOx、MoOx、WOx、WNCx、TaN或TiN中的一种或多种。
在一些实施方案中,金属或金属性表面为可接受如本文所述在铝催化剂的选择性沉积工艺中采用的前体或可与之配位的表面。
如上所述,在一些实施方案中,金属或金属性表面可在其上方包含钝化阻断层。也就是说,在一些实施方案中,金属或金属性表面可包含将抑制金属或金属性表面上钝化层例如自组装单层(SAM)的形成的材料。在一些实施方案中,沉积工艺包括在金属或金属性表面上但不在待钝化的表面上形成钝化阻断层。在在介电表面上形成钝化层之后,如有必要或如果希望,可去除钝化阻断层。
衬底表面的钝化
在一些实施方案中,可将衬底的氧化物或其他介电表面钝化。在一些实施方案中,钝化对于氧化物表面相对于另一表面如同一衬底上的金属或金属性表面是选择性的(参见例如图2B)。在一些实施方案中,通过暴露于气相甲硅烷基化剂一次或多次来使氧化物表面甲硅烷基化。例如,在钝化步骤中,可将甲硅烷基化剂引入反应空间中并与衬底表面接触。甲硅烷基化剂可以是例如氯硅烷、烷氧基硅烷、甲硅烷基卤化物、甲硅烷基氰酸酯、甲硅烷基叠氮化物、甲硅烷基异氰酸酯、甲硅烷基异硫氰酸酯、甲硅烷基磺酸盐、甲硅烷基乙酰胺、甲硅烷基碳二亚胺、烯丙基硅烷或含氮硅烷如硅氮烷、咪唑或胺。在一些实施方案中,甲硅烷基化剂为烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)并且甲硅烷基化包括将衬底暴露于甲硅烷基化剂的一个或多个脉冲。在一些实施方案中,使金属或金属性表面和氧化物表面二者都与甲硅烷基化剂如烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)接触。在一些实施方案中,衬底的氧化物表面相对于衬底的金属或金属性表面被选择性地甲硅烷基化。
在一些实施方案中,甲硅烷基化剂为烷基氨基硅烷。例如,可使衬底的氧化物表面与具有式(RI)3Si(NRIIRIII)的烷基氨基硅烷接触,其中RI为直链或支链C1-C5烷基基团或者直链或支链C1-C4烷基基团,RII为直链或支链C1-C5烷基基团、直链或支链C1-C4烷基基团或者氢,RIII为直链或支链C1-C5烷基基团或者直链或支链C1-C4烷基基团。
在一些实施方案中,甲硅烷基化剂为硅烷。例如,可使氧化物表面与具有通式(RI)3SiA的硅烷接触,其中RI为直链或支链C1-C5烷基基团或者直链或支链C1-C4烷基基团,A为任何能与含硅表面反应的配体。
可以单个脉冲或以一系列多个脉冲向容留衬底的反应腔室提供甲硅烷基化剂。在一些实施方案中,甲硅烷基化剂以单个长脉冲或以多个较短脉冲提供。可依次提供脉冲。在一些实施方案中,以约0.1至约60秒的1至25个脉冲提供甲硅烷基化剂。在一些实施方案中,以约0.1至约60秒、约1至约30秒或约25秒的单个脉冲提供甲硅烷基化剂。在脉冲之间,可从反应空间去除甲硅烷基化剂。例如,可用惰性气体抽空和/或吹扫反应腔室。吹扫可持续例如约1至约30秒或更多。吹扫反应腔室指的是如通过用真空泵抽空腔室和/或用惰性气体如氩气或氮气置换反应器内的气体而从反应腔室去除气相钝化剂和/或气相副产物,如果有的话。在一些实施方案中,将衬底从包含钝化剂的反应空间移开。
在一些实施方案中,甲硅烷基化过程的温度可为例如约50至约500℃,或约100至约300℃。甲硅烷基化过程期间的压力可为例如约10-5至约760托,或在一些实施方案中约1至约10托或约0.1至约10托。
在一些实施方案中,甲硅烷基化过程可原位进行,即与例如相对于经甲硅烷基化的表面在非甲硅烷基化表面上选择性沉积铝催化剂和/或相对于经甲硅烷基化的表面在非甲硅烷基化表面上后续选择性沉积氧化硅的后续沉积过程在同一反应腔室中进行。然而,在一些实施方案中,甲硅烷基化可在与一个或多个后续处理步骤分开的反应腔室中进行。在一些实施方案中,在其中进行甲硅烷基化的反应腔室是丛集工具的一部分,丛集工具包括一个或多个另外的反应腔室。例如,这样的丛集工具可包括用于沉积铝催化剂、沉积氧化硅和/或用于蚀刻一个或多个层的另外的反应腔室。在一些实施方案中,丛集工具包括单独的模块用于预处理、氧化物表面的甲硅烷基化、催化剂的选择性沉积、氧化硅的选择性沉积和随后的沉积后处理,如蚀刻以去除甲硅烷基化或沉积后等离子体清洁。在一些实施方案中,同一模块可用于两个或更多个过程。
在一些实施方案中,可在钝化和/或选择性沉积过程中的一个或多个之前或开始之时对衬底进行预处理或清洁。在一些实施方案中,可在选择性钝化和/或选择性沉积过程之前或开始之时使衬底经受等离子体清洁过程。在一些实施方案中,等离子体清洁过程可不包括离子轰击,或可包括相对少量的离子轰击。在一些实施方案中,可在钝化过程和/或选择性金属氧化物沉积过程之前或开始之时使衬底表面暴露于等离子体、自由基、激发物种和/或原子物种。在一些实施方案中,可在选择性钝化过程和/或选择性金属氧化物沉积过程之前或开始之时使衬底表面暴露于氢等离子体、自由基或原子物种。
在一些实施方案中,在相对于介电表面选择性地在金属表面上沉积催化剂之前不钝化介电表面。
金属催化剂相对于氧化物表面在金属或金属性表面上的选择性沉积
可将用于氧化硅的后续沉积的催化剂相对于衬底的介电表面选择性地沉积在衬底的金属或金属性表面上。包含催化剂的此表面可被称为经催化的金属表面。在一些实施方案中,介电表面的钝化是不必要的,并且催化剂相对于介电表面选择性地沉积在金属表面上,其中所述介电表面未经钝化。然而,在一些实施方案中,催化剂的选择性沉积通过如上所述对介电表面的钝化来促进或改善。因此,在一些实施方案中,催化剂相对于经钝化的介电表面选择性地沉积在金属或金属性表面上。如图2C中所示,在一些实施方案中,铝催化剂相对于已用如本文所述的甲硅烷基化化合物钝化的介电表面选择性地沉积在金属表面上。
在在介电表面上选择性地形成钝化层之后,在一些实施方案中,通过使衬底与催化剂化合物接触来选择性地在第二表面上沉积催化剂。催化剂在金属衬底表面上形成直至一个分子层的催化位点。催化剂化合物优选催化如下所述由气相硅烷醇反应物形成氧化硅的反应。简言之,将衬底暴露于硅烷醇,如TPS,并形成氧化硅膜,如二氧化硅膜(例如,SiO2膜),其通常包含多个分子层。如有必要,可重复暴露于催化剂和硅烷醇的循环以沉积期望厚度的二氧化硅膜。在一些实施方案中,可控制硅烷醇的浓度以实现期望的沉积速率。在一些实施方案中,可控制衬底温度以实现期望的沉积速率。在一些实施方案中,催化剂不是必需的,并且金属表面本身将催化氧化硅从硅烷醇的沉积。
在一些实施方案中,催化剂为金属催化剂。催化剂可以是例如包含Zn、Mg、Mn、La、Hf、Al、Zr、Ti、Sn或Ga的金属化合物。在一些实施方案中,催化剂为金属卤化物、有机金属或金属有机化合物。
在一些实施方案中,催化剂包含硼。在一些实施方案中,催化剂为能够与疏水性表面反应的烷基铝、烷基硼或烷基锌化合物。例如,催化剂可包含三乙基铝(TMA)、三乙基硼(TEB)或二乙基锌。
在一些实施方案中,催化剂包含具有式MRxA3-x的化合物,其中x为1至3,R为C1-C5烷基配体,M为B、Zn、Mg、Mn、La、Hf、Al、Zr、Ti、Sn或Ga,并且A为卤化物、烷基胺、氨基、甲硅烷基或其衍生物。在一些实施方案中,R为C1-C3烷基配体。在一些实施方案中,R为甲基或乙基基团。在一些实施方案中,M为硼。在一些实施方案中,催化剂为ZnRxA2-x,其中x为1至2,R为C1-C5烷基配体,并且A为卤化物、烷基胺、氨基、甲硅烷基或其衍生物。在一些实施方案中,R为C1-C3烷基配体。在一些实施方案中,R为甲基或乙基基团。
在一些实施方案中,催化剂为铝催化剂。可使用的Al化合物的实例包括三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔-丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)。在一些实施方案中,铝催化剂包含杂配位铝化合物。在一些实施方案中,杂配位铝化合物包含烷基基团和另一配体,如卤化物,例如Cl。在一些实施方案中,铝催化剂包含二甲基氯化铝。在一些实施方案中,铝催化剂包含两个不同的烷基基团作为配体的烷基前体。在一些实施方案中,铝化合物为异丙醇铝。在一些实施方案中,铝催化剂包含金属有机化合物。在一些实施方案中,铝催化剂包含有机金属化合物。在一些实施方案中,铝催化剂为铝化合物如三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔-丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)。
在一些实施方案中,催化剂为锆化合物,如Zr-DO4。在一些实施方案中,催化剂为四(乙基甲基氨基)锆(TEMAZ)。在一些实施方案中,催化剂为ZrCl4
在一些实施方案中,催化剂为镧化合物,如三(异丙基-环戊二烯基)镧(LA(iPrCp)3)。
在一些实施方案中,催化剂为钛化合物,如异丙醇钛(TTIP)或TiCl4
在一些实施方案中,催化剂为镓化合物,如三甲基镓(TMG)。
在一些实施方案中,催化剂为铪化合物,如HfCl4或Hf(NO3)4
可以单个脉冲或以一系列多个脉冲向容留衬底的反应腔室提供催化剂。在一些实施方案中,催化剂以单个长脉冲或以多个较短脉冲提供。可依次提供脉冲。在一些实施方案中,以约0.1至约60秒的1至25个脉冲提供催化剂。在一些实施方案中,以约0.1至约60秒、约1至30秒或约25秒的单个脉冲提供催化剂。在脉冲之间,可从反应空间去除过量的催化剂。例如,可用惰性气体抽空和/或吹扫反应腔室。吹扫可持续例如约1至30秒或更多。吹扫指的是如通过用真空泵抽空腔室和/或用惰性气体置换反应腔室内的气体而从反应腔室去除气相催化剂和/或气相副产物,如果有的话。在一些实施方案中,通过从包含气相催化剂的反应空间移开衬底来从衬底表面去除气相催化剂。
在一些实施方案中,选择性催化剂沉积的温度可为例如约50至约500℃、或约100至约300℃。在一些实施方案中,沉积温度在约50℃至约400℃之间。在一些实施方案中,沉积温度高于约100℃,并且催化化学品为烷基铝化合物,如TMA。在一些实施方案中,催化化学品为烷基硼化合物,如TEB,并且沉积温度在约50℃至约400℃之间、约100℃至约350℃之间、或约100℃至约300℃之间。在一些实施方案中,催化化学品为烷基硼化合物并且温度高于约100℃。在一些实施方案中,沉积温度高于约300℃并且催化化学品为TEB。
在一些实施方案中,催化剂包含通过使衬底与金属前体和氧反应物接触而选择性地沉积的金属化合物。在一些实施方案中,催化剂包含金属氧化物。在一些实施方案中,金属化合物通过ALD过程选择性地沉积。在一些实施方案中,在一个、两个或更多个沉积循环中使衬底同时或依次与第一金属前体和包含氧的第二反应物接触。在一些实施方案中,沉积过程包括多个沉积循环,其中使衬底交替且依次地与第一金属前体和第二反应物接触。
在一些实施方案中,第一金属前体为疏水性路易斯酸。疏水性金属反应物可包含至少一个疏水性烃配体,如烷基、烯基、环状C3-C8或芳族基团。在一些实施方案中,第一金属前体可为双(甲基环戊二烯基)甲氧基甲基锆。
在一些实施方案中,第一金属前体包含过渡金属。在一些实施方案中,第一前体不包含贵金属,如Ru。
在一些实施方案中,第一金属前体可包含至少一个烷基配体,如C1-C4烷基配体。在一些实施方案中,第一金属前体可包含有机金属或金属有机化合物。在一些实施方案中,第一金属前体可包含至少一个环戊二烯基(Cp)配体。在一些实施方案中,第一金属前体可包含甲脒阴离子或脒阴离子化合物。在一些实施方案中,第一金属前体可包含β-二酮阴离子化合物。在一些实施方案中,第一金属前体可包含烷基氨基化合物,如二烷基氨基化合物。在一些实施方案中,第一金属前体可包含烷基氨基配体,如-NMe2、-NEt2或-NEtMe。
在一些实施方案中,第一金属前体可包含镁。在一些实施方案中,第一金属前体可以是包含镁的有机金属或金属有机化合物。例如,在一些实施方案中,第一金属前体可包含Mg(Cp)2或其衍生物。
在一些实施方案中,第一金属前体可包含镧。在一些实施方案中,第一金属前体可以是包含镧的有机金属化合物。在一些实施方案中,第一金属前体可包含甲脒镧(La(FAMD)3)。
在一些实施方案中,第一金属前体可包含铪。在一些实施方案中,第一金属前体可包含包含铪的有机金属化合物。例如,在一些实施方案中,第一金属前体可包含烷基氨基铪化合物,如四(乙基甲基氨基)铪(TEMAH、Hf(NEtMe)4)或其衍生物。
在一些实施方案中,第一金属前体具有下式:
MgL2 (I)
其中Mg为镁,并且其中每个L可独立地选择为烃基基团。在一些实施方案中,每个L可以是直链、支链、环状烷基或不饱和烃基团,如烯基、炔基、芳族、环戊二烯基、苯基、环辛二烯基或环庚三烯基基团。在一些实施方案中,一个或两个L可以是环戊二烯基基团。在一些实施方案中,一个或两个L可以是二齿配体,如β-二酮阴离子、胍阴离子或脒阴离子。在一些实施方案中,β-二酮阴离子配体可以是乙酰丙酮阴离子或2,2,6,6-四甲基-3,5-庚二酮阴离子配体(THD)。
在一些实施方案中,第一金属前体为环戊二烯基化合物或其衍生物,如烷基取代的环戊二烯基化合物并具有下式:
Mg(R1R2R3R4R5Cp)2 (II)
其中R1基团中的每一个、R2基团中的每一个、R3基团中的每一个、R4基团中的每一个和R5基团中的每一个可独立地选择为氢或者取代或未取代的烷基基团。在一些实施方案中,R1基团中的每一个、R2基团中的每一个、R3基团中的每一个、R4基团中的每一个和R5基团中的每一个可独立地选择为氢或者直链或支链C1-C5烷基基团。在一些实施方案中,R1基团中的每一个、R2基团中的每一个、R3基团中的每一个、R4基团中的每一个和R5基团中的每一个可独立地选择为氢或C1-C3烷基基团,如甲基、乙基、正丙基或异丙基基团。在一些实施方案中,第一前体为Mg(Cp)2
在一些实施方案中,第一金属前体包含一个或多个配体,如环戊二烯基(“Cp”)配体。这些第一前体化合物可选自以下化合物:
(Cp)xLa (III);
(Cp)xLyLa (IV);
(Cp)xWnLa (V);
(CP)xLyWnLa (VI);
La为镧,Cp为环戊二烯基或环辛二烯基基团,使得化学式I-IV中的Cp基团可彼此相同或不同;x表示Cp配体的数目并且其为1至La的氧化态的整数;应指出,环辛二烯通常简写为Cod,但这里通过对环戊二烯基和环辛二烯基使用单个通用缩写Cp来简化表示;
Ly为从其一个或多个原子结合到金属的中性加合物配体并且其中y表示结合的配体的数目;和
W为化合价小于Cp的一些其他配体并且其中n表示配体的数目。在一些实施方案中,W为脒阴离子或甲脒阴离子。在一些实施方案中,W为β-二酮阴离子或其对应的硫或氮化合物、卤化物、酰胺、烷醇盐、羧酸盐或席夫碱。
在化学方程式I-IV中,环戊二烯基和/或环辛二烯基基团可在同一分子中,使得在由可含有选自Si、N、P、Se、S或B的杂原子的取代或未取代的C1-C6链组成的两个Cp基团之间存在桥。
在一些实施方案中,L独立地选自:
(i)烃,
(ii)含有氧的烃,
(iii)含有氮的烃,
(iv)含有硫的烃,
(v)含有磷的烃,
(vi)含有砷的烃,
(vii)含有硒的烃和/或
(viii)含有碲的烃
在一些实施方案中,L为并独立地选自:
(a)胺或多胺,
(b)联吡啶,
(c)根据以下化学图式的配体:
Figure BDA0002993604330000181
其中G为-O-、-S-或-NR1,其中R1独立地选自氢或者取代或未取代、环状、直链或支链的烷基、烯基、芳基、烷基芳基、芳基烷基、烷氧基、硫代、氰基或甲硅烷基基团。R1中的环状或芳族环可含有杂原子。氢或R1-型取代基也可连接到化学方程式V中的碳原子,或
(d)醚或硫醚。
环戊二烯基或环辛二烯基基团,化学方程式I-IV中的Cp具有以下形式:
Cp′RmHa-m (VII)
其中当a为8时m为0-8的整数,当a为5时m为0-5的整数,
Cp′是稠合的或分离的环戊二烯基或环辛二烯基,并且
R为独立选择的含有1-6个碳原子的烃片段,如C1-C6烃。
在一些实施方案中,每个R配体可彼此相同,或者每个R配体可彼此不同。也就是说,每个R配体可独立地选择。在一些实施方案中,R可以是取代或未取代、环状、直链或支链的烷基烯基、芳基、烷基芳基、芳基烷基、烷氧基、硫代、氨基、氰基或甲硅烷基基团。取代基的环状或芳族环可含有杂原子。取代基的实例有甲基、乙基、丙基和异丙基基团。
化学方程式II和IV中所示的中性加合物配体L可独立地选自醚、胺或溶剂分子如四氢呋喃,其用一个原子与金属形成键。用若干原子与金属形成键的合适的中性加合物配体的实例有聚醚和聚胺。
在一些实施方案中,第一金属前体可包含至少一个环戊二烯基配体并可按式VIII书写:
(R1R2R3R4R5Cp)x—MR0 z—(R6)y (VIII)
其中M为选自Mg、Sr、Ba、Sc、Y和镧系的金属;
其中R0基团中的每一个、R1基团中的每一个、R2基团中的每一个、R3基团中的每一个、R4基团中的每一个和R5基团中的每一个可独立地选自:
i.氢;
ii.独立地取代或未取代的直链和支链C1-C6烷基、烯基和炔基基团;
iii.碳环基团,如芳基、苯基、环戊二烯基、烷基芳基和卤代碳环基团;和
iv.杂环基团;
其中R6独立地选自:
i.氢;
ii.独立地取代或未取代的直链和支链C1-C6烷基、烯基和炔基基团;
iii.碳环基团,如芳基、苯基、环戊二烯基、烷基芳基和卤代碳环基团;
iv.杂环基团;和
v.NR1R2;和
其中x和y均1而z 0。
在一些实施方案中,包含环戊二烯基化合物的第一金属前体包含至少一个配体,所述配体经由氮与金属键合,如式IX所描绘:
(R1R2R3R4R5Cp)x—MR0 z—(NR1R2)y (IX)
其中M为选自Mg、Sr、Ba、Sc、Y或镧系的金属;
其中R0基团中的每一个、R1基团中的每一个、R2基团中的每一个、R3基团中的每一个、R4基团中的每一个和R5基团中的每一个独立地选自:
i.氢;
ii.独立地取代或未取代的直链和支链C1-C6烷基、烯基和炔基基团;
iii.碳环基团,如芳基、苯基、环戊二烯基、烷基芳基和卤代碳环基团;和
iv.杂环基团;和
其中x和y均1而z 0。
在式IX中,烷基、烯基和炔基基团可选自具有1至6个碳原子的任何直链或支链烷基、烯基和炔基基团。这样的烷基基团的实例包括甲基;乙基;正丙基和异丙基;正丁基、异丁基和叔丁基;正戊基和异戊基(amyl);正戊基和异戊基(pentyl);正己基和异己基;及2,3-二甲基-2-丁基。在一些实施方案中,使用烷基基团。在其他实施方案中,可使用C1-6烯基和炔基基团,包括具有相应不饱和度的对应基团。
在一些实施方案中,第一金属前体为具有至少一个环戊二烯基配体和至少一个螯合配体例如二齿配体的化合物。在一些实施方案中,该化合物由下式X:(R1R2R3R4R5Cp)x—MR0 z—(NR1NR2R)y描绘:
Figure BDA0002993604330000201
其中M为选自Mg、Sr、Ba、Sc、Y或镧系的金属;
其中R可以是独立地取代或未取代的任何直链和支链C1-C6烷基、烯基或炔基基团,并且R可在烷基、烯基和炔基基团的任何点处与两个桥接氮原子键合;
其中R0基团中的每一个、R1基团中的每一个、R2基团中的每一个、R3基团中的每一个、R4基团中的每一个和R5基团中的每一个可独立地选自:
i.氢;
ii.独立地取代或未取代的直链和支链C1-C6烷基、烯基和炔基基团;
iii.碳环基团,如芳基、苯基、环戊二烯基、烷基芳基和卤代碳环基团;和
iv.杂环基团;和
其中x和y均1而z 0。
在一些其他实施方案中,第一金属前体可由下式XI:(R1R2R3R4R5Cp)x—MR0 z—[(NR1NR2)CNR3]y描绘:
Figure BDA0002993604330000211
其中M为选自Mg、Sr、Ba、Sc、Y或镧系的金属;
其中R0基团中的每一个、R1基团中的每一个、R2基团中的每一个、R3基团中的每一个、R4基团中的每一个和R5基团中的每一个可独立地选自
i.氢;
ii.独立地取代或未取代的直链和支链C1-C6烷基、烯基和炔基基团;
iii.碳环基团,如芳基、苯基、环戊二烯基、烷基芳基和卤代碳环基团;和
iv.杂环基团;和
其中x和y均1而z 0。
在进一步的实施方案中,第一金属前体由下式XII:(R1R2R3R4R5Cp)x—MR0 z—[(NR1NR2)CNR3R4]y描绘:
Figure BDA0002993604330000212
其中M为选自Mg、Sr、Ba、Sc、Y或镧系的金属;
其中R0基团中的每一个、R1基团中的每一个、R2基团中的每一个、R3基团中的每一个、R4基团中的每一个和R5基团中的每一个可独立地选自:
i.氢;
ii.独立地取代或未取代的直链和支链C1-C6烷基、烯基和炔基基团;
iii.碳环基团,如芳基、苯基、环戊二烯基、烷基芳基和卤代碳环基团;和
iv.杂环基团;和
其中x和y均1而z 0。
在一些实施方案中,如式VIII-XII中所述的第一金属前体可包含R0、R1、R2、R3、R4、R5和R6,其中R0基团中的每一个、R1基团中的每一个、R2基团中的每一个、R3基团中的每一个、R4基团中的每一个和R5基团中的每一个及R6基团中的每一个可独立地选自
i.氢;
ii.独立地取代或未取代的直链和支链C1-C6烷基、烯基和炔基基团;
iii.碳环基团,如芳基、苯基、环戊二烯基和烷基芳基;和
iv.杂环基团
任选地,如所述的第一金属前体可包含经修饰的环戊二烯基基团。在一些实施方案中,经修饰的环戊二烯基基团选自Me5Cp、MeCp、EtCp和Me3SiCp。在进一步的实施方案中,第一金属前体可包含阴离子或二阴离子胍阴离子配体如三异丙基胍阴离子配体。
在一些实施方案中,第二反应物包含氧并且在本文中可称为氧前体、氧反应物、含氧前体或含氧反应物。在一些实施方案中,第二反应物包含分子氧(O2)。在一些实施方案中,第二反应物不包含除O2外的包含氧的化合物。在一些实施方案中,第二反应物不包含O3或H2O。在一些实施方案中,第二反应物不包含等离子体,例如氧等离子体。在一些实施方案中,第二反应物与惰性气体如N2、He或Ar一起供给或与之混合。
在一些实施方案中,第二反应物包含分子氧和不到约50%、25%、15%、10%、5%、1%或0.1%的除惰性气体外的杂质。
在一些实施方案中,选择性催化剂沉积过程可原位进行,即与先前的钝化和/或后续的沉积过程、例如氧化硅相对于经甲硅烷基化的表面在非甲硅烷基化表面上的后续选择性沉积在同一反应腔室中进行。然而,在一些实施方案中,选择性催化剂沉积可在与一个或多个后续处理步骤分开的反应腔室中进行,例如在为丛集工具的一部分的一个腔室中进行。
在一些实施方案中,可在选择性催化剂沉积之前或开始之时对衬底、特别是金属表面进行预处理或清洁。
氧化硅相对于介电表面在经催化的金属表面上的选择性沉积
在介电表面的钝化(如果进行)和金属表面上催化剂的选择性沉积(如果进行)之后,可相对于介电表面选择性地在衬底的金属表面上沉积氧化硅。在一些实施方案中,通过使衬底与硅反应物如硅烷醇接触来选择性地在金属表面上沉积氧化硅(参见例如图2D)。在一些实施方案中,使衬底表面与硅反应物和氧反应物如H2O接触。氧化硅的形成由金属表面上催化剂的存在催化,或在不采用催化剂的情况下由金属表面本身催化。
可使用一种或多种硅烷醇作为硅反应物,如烷氧基硅烷醇或烷氧基硅烷二醇。在一些实施方案中,硅反应物可包含一种或多种三(叔-烷氧基)硅烷醇、二(烷氧基)烷基硅烷醇、二(烷氧基)硅烷二醇或双(叔-烷氧基)硅烷二醇。在一些实施方案中,硅烷醇可选自三(叔-丁氧基)硅烷醇(TBS)、三(异丙氧基)硅烷醇(TIS)和三(叔-戊氧基)硅烷醇(TPS)中的一种或多种。硅烷醇是包含与一个或多个羟基(OH)基团结合的硅的化合物。在一些实施方案中,硅烷醇包含不止一个与硅原子直接键合的OH-基团。硅烷醇化合物包括但不限于烷氧基硅烷醇、烷氧基烷基硅烷醇和烷氧基硅烷二醇。在一些实施方案中,硅前体包含TPS。在一些实施方案中,硅源为二(烷氧基)硅烷二醇。
在一些实施方案中,在已在金属表面上沉积催化剂之后仅提供单个硅烷醇脉冲。在一些实施方案中,使用单个硅烷醇脉冲来在衬底上沉积在金属表面的顶表面上测得厚度大于5埃的二氧化硅膜。如上所述,在一些实施方案中,可在一个或多个氧化硅沉积子循环中使衬底与催化剂和硅烷醇接触。可重复子循环直至已选择性地在金属表面上方形成期望厚度的氧化硅膜。在一些实施方案中,单个子循环可以是获得期望厚度的二氧化硅膜所需的全部。在其他实施方案中,所述步骤可被重复2、3、4、5、6、7、8、9、10次或更多次。
在一些实施方案中,在每个沉积超循环中提供不止一个硅烷醇脉冲。例如,可在催化剂脉冲之后跟着两个、三个或更多个硅烷醇脉冲。在一些实施方案中,催化剂脉冲后跟着两个硅烷醇脉冲。每个硅烷醇脉冲可由吹扫步骤分开。在其他实施方案中,在预定的时间延迟之后提供每个硅烷醇脉冲,而没有中间吹扫步骤。
虽然通常描述为从提供催化剂开始,但每个氧化硅沉积子循环可以任一反应物开始。然而,如熟练技术人员将认识到的,如果第一子循环以硅烷醇反应物开始,则可能直到第二沉积超循环才开始沉积。
关于催化剂,表面饱和将确保催化剂占据所有可用的反应位点(例如,受物理尺寸或“空间位阻”限制)并因此确保优异的台阶覆盖。然而,在一些实施方案中,催化剂可以非饱和或欠饱和剂量提供。例如,在深沟槽结构中,形成“套环”很重要,该“套环”是蚀刻停止层,其必须仅沿沟槽的一部分延伸。在此实例中,与沟槽中更下方的表面相比,可使用催化剂的欠饱和脉冲来优先沿套环区域沉积催化剂。因此,仅在催化剂所到达的深度发生二氧化硅沉积并因此二氧化硅沉积的程度被限制于期望的深度。因此,在一些实施方案中,计量催化剂的剂量以提供预定量的催化剂和预定量的二氧化硅沉积。
关于硅烷醇反应物,在一些实施方案中,提供了硅烷醇的饱和脉冲。然而,由于二氧化硅的生长速率部分取决于前体通过生长的膜的扩散,故可例如通过控制前体剂量、吹扫时间和/或温度来控制生长速率。因此,在一些实施方案中,可提供非饱和剂量的硅烷醇。在一些实施方案中,硅烷醇反应物的剂量和/或暴露时间可限制为在给定的反应循环中提供特定厚度和/或特定深度的二氧化硅。
在一些实施方案中,通过选择能够与金属表面反应的催化剂并进行包括一个或多个二氧化硅沉积子循环的沉积过程来相对于介电表面选择性地在衬底的金属表面上形成二氧化硅薄膜,其中每个二氧化硅沉积子循环包括:
向反应腔室中提供包含金属催化剂的第一气相反应物脉冲以在衬底的金属表面上形成不超过约单个分子层的催化剂;
从反应腔室去除过量的催化剂;
向反应腔室提供包含硅烷醇的第二气相反应物脉冲;和
从反应腔室去除过量的第二反应物和反应副产物(如果有的话)。
在一些实施方案中,相对于一个或多个介电表面选择性地在一个或多个金属或金属性表面如铜、钴、氮化钛或钨表面上沉积氧化硅薄膜。
膜的厚度可根据具体情况进行调节。在一些实施方案中,沉积数埃至数纳米的范围内的二氧化硅薄膜。在一些实施方案中,沉积不到约2nm的二氧化硅薄膜。在一些实施方案中,沉积不到约3nm的二氧化硅薄膜。在一些实施方案中,催化剂和硅烷醇中的一者或两者应是剂量不足的以获得不到约2nm或不到约3nm的膜的沉积。可以一个沉积超循环或多个沉积超循环来沉积薄膜。
在开始沉积膜之前,通常将衬底加热到合适的生长温度。在一些实施方案中,二氧化硅薄膜的生长温度低于约500℃、低于约400℃、低于约300℃、低于约200℃、低于约150℃、或甚至低于约125℃。温度通常选择为使得催化剂不会分解。在一些实施方案中,沉积过程可在高于约100℃的温度下进行,例如以TMA作为催化剂。
在一些实施方案中,反应物的脉冲时间可为约0.1至约10秒,并且反应物脉冲之间的吹扫时间也可为约0.1至约10秒。
反应腔室中的压力通常为约0.1毫托至约5托,更优选约0.1毫托至约3托,最优选约0.2毫托至约3托。然而,在一些情况下,压力将高于或低于此范围,这是熟练技术人员容易确定的。
在一个实施方案中,在氧化硅沉积子循环中,在约150℃的温度下相对于经钝化的介电表面在衬底的金属表面上沉积氧化硅如二氧化硅(例如,SiO2)。向反应腔室中脉冲TMA达150ms,随后是3s的吹扫。然后向反应腔室中脉冲TPS达100s,随后是90s的吹扫。
沉积后处理
在金属氧化物的选择性沉积之后,可如上所述使衬底经受沉积后清洁步骤以从氧化物表面去除钝化层(参见,例如图2E)。在一些实施方案中,清洁步骤可包括H2等离子体处理。在一些实施方案中,清洁步骤在约室温至约400℃的温度下进行。在一些实施方案中,可使用约25至约250W的等离子体功率来在流动的H2中生成等离子体,例如,以约10至约500sccm的流速。在一些实施方案中,在沉积金属氧化物层之后的清洁时间可为例如约0.1至约600秒或更多。
在一些实施方案中,相对于一个或多个经钝化的介电表面选择性地在三维结构的金属或金属性表面上沉积氧化硅薄膜。三维结构可包括例如过孔或沟槽。在一些实施方案中,可在沉积氧化硅膜之前选择性地钝化介电表面并在金属表面上沉积铝催化剂。
钝化阻断层
钝化阻断层可促进钝化层相对于钝化阻断层在介电材料上的选择性形成。如上所述,在一些实施方案中,自组装单层(SAM)可用来抑制金属或金属性表面的甲硅烷基化,从而促进介电表面的选择性钝化。在一些实施方案中,使用非SAM的钝化阻断层。术语“阻断”因此仅是标签,并不必意味着钝化层沉积的100%钝化。如本文其他地方所述,即使是不完美的选择性也足以例如在回蚀工艺之后获得完全选择性的结构。
选择性
选择性钝化和/或选择性沉积可以是完全选择性的或部分选择性的。可在部分选择性工艺之后进行沉积后蚀刻,该沉积后蚀刻从一个表面上方去除所有沉积材料而不从第二表面上方去除所有沉积材料,从而形成完全选择性的层。因此,在一些实施方案中,为了获得所需的益处,选择性沉积不必是完全选择性的。
在这里称为表面A的第一表面上相对于称为表面B的第二表面上沉积(或钝化)的选择性可以由[(表面A上的沉积)-(表面B上的沉积)]/(表面A上的沉积)计算的百分数给出。沉积可以利用多种方式中的任一种来测量。例如,沉积可以所沉积材料的测量厚度给出,或者可以沉积的材料的测量量给出。在本文所述的实施方案中,氧化物表面(A)可相对于金属或金属性表面(B)被选择性地钝化。关于钝化,如果钝化是对衬底表面进行处理而不是层的沉积引起的,则钝化的量可以是衬底表面上已与钝化剂反应的可用反应位点的量度。随后,相对于氧化物表面(A)上方的钝化层选择性地在金属或金属性表面(B)上沉积金属氧化物层。
在一些实施方案中,在介电表面(相对于金属或金属性表面)上选择性形成钝化层的选择性大于约10%、大于约50%、大于约75%、大于约85%、大于约90%、大于约93%、大于约95%、大于约98%、大于约99%、或甚至大于约99.5%。
在一些实施方案中,相对于经钝化的介电表面在金属或金属性表面上沉积催化剂的选择性大于约10%、大于约50%、大于约75%、大于约85%、大于约90%、大于约93%、大于约95%、大于约98%、大于约99%或甚至大于约99.5%。
在一些实施方案中,相对于未经钝化的介电表面在金属或金属性表面上沉积催化剂的选择性大于约10%、大于约50%、大于约75%、大于约85%、大于约90%、大于约93%、大于约95%、大于约98%、大于约99%或甚至大于约99.5%。
在一些实施方案中,在经催化的金属或金属性表面(相对于经钝化或未经钝化的介电表面)上沉积氧化硅的选择性大于约10%、大于约50%、大于约75%、大于约85%、大于约90%、大于约93%、大于约95%、大于约98%、大于约99%或甚至大于约99.5%。
在一些实施方案中,沉积仅发生在一个表面上而不发生在另一表面上。
在一些实施方案中,相对于衬底的金属或金属性表面,通过甲硅烷基化对介电表面的钝化是至少约80%选择性的。在一些实施方案中,钝化过程是至少约50%选择性的。在一些实施方案中,钝化过程是至少约10%选择性的。熟练技术人员应理解,通过将从其他表面去除任何甲硅烷基化的沉积后蚀刻,部分选择性工艺可产生氧化物表面的完全选择性钝化。
在一些实施方案中,相对于衬底的经钝化介电表面,催化剂在金属表面上的沉积是至少约80%选择性的。在一些实施方案中,催化剂沉积过程是至少约50%选择性的。在一些实施方案中,催化剂沉积过程是至少约10%选择性的。熟练技术人员应理解,通过将从介电表面去除任何催化剂的沉积后蚀刻,部分选择性工艺可产生金属表面上的完全选择性沉积。
在一些实施方案中,氧化硅相对于衬底的经甲硅烷基化的氧化物表面在衬底的经催化的金属或金属性表面上的沉积是至少约80%选择性的。在一些实施方案中,氧化硅相对于衬底的经甲硅烷基化的氧化物表面在衬底的经催化的金属或金属性表面上的沉积是至少约50%选择性的。在一些实施方案中,氧化硅相对于衬底的经甲硅烷基化的氧化物表面在衬底的经催化的金属或金属性表面上的沉积是至少约10%选择性的。本领域技术人员应理解,可在部分选择性工艺之后进行沉积后蚀刻(或其他处理),这将从经甲硅烷基化的介电表面上方基本上去除所有沉积材料。此外,沉积后处理还可有助于定制选择性地沉积的层的位置和/或轮廓。
氧化硅在金属或金属性表面上的选择性沉积
图2A-2E示意性地示出了相对于第二金属或金属性表面选择性地钝化第一介电表面、然后相对于经钝化的第一氧化物表面在第二金属或金属性表面上选择性沉积氧化硅的一个实施方案。
图2A示意了一种衬底,其具有暴露的实质不同的表面。例如,第一表面可包含介电材料220或由介电材料界定,如基于氧化硅的层或其上形成有天然氧化物的硅表面。第二表面可包含金属210或由金属界定,如铜(Cu)。
图2B示出了在介电表面如通过甲硅烷基化的选择性钝化之后图2A的衬底。例如,可通过将衬底暴露于甲硅烷基化剂如烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)来选择性地在介电表面220上形成钝化层230。
图2C示出了在相对于介电表面220上的钝化层230在金属表面210上选择性沉积铝催化剂240之后图2B的衬底。铝催化剂240可通过将衬底暴露于铝反应物如三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔-丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)而选择性地形成在金属表面210上。尽管用铝催化剂示意,但在其他实施方案中,可如本文所述使用包含其他金属的金属催化剂或其他催化剂。
图2D示出了在相对于介电表面220在经催化的金属表面210上选择性沉积氧化硅250之后图2C的衬底。在一些实施方案中,通过将衬底暴露于硅烷醇反应物如三(叔-戊氧基)硅烷醇来形成氧化硅250。硅烷醇反应物可在经催化的金属表面上的铝原子上分解,从而导致氧化硅在金属表面上的沉积。
如上所述,沉积在介电层上如经钝化的介电层上的任何氧化硅可通过沉积后处理如回蚀工艺去除。此回蚀工艺还可从介电表面去除甲硅烷基化。因为氧化硅选择性地沉积在金属表面上,故钝化表面上留下的任何氧化硅将比在金属表面上形成的氧化硅薄。因此,可控制沉积后处理以去除介电表面上方的所有氧化硅而不从金属表面上方去除所有氧化硅。以此方式重复选择性沉积和回蚀可导致每个沉积和蚀刻循环中金属表面上氧化硅厚度的增加。以此方式重复选择性沉积和回蚀还可导致金属或金属性表面上氧化硅的总体选择性提高,因为每个沉积和蚀刻循环都会留下洁净的钝化层,选择性氧化硅沉积在其上方成核不良。在其他实施方案中,可在随后去除钝化层期间去除介电表面上方的氧化硅。例如,可使用直接蚀刻或剥离方法来在循环的选择性沉积和去除中从钝化层表面去除氧化硅。
图2E示出了在沉积后处理如通过蚀刻工艺从介电表面220去除钝化层230之后图2D的衬底。在一些实施方案中,蚀刻工艺可包括将衬底暴露于等离子体。在一些实施方案中,等离子体可包含氧原子、氧自由基、氧等离子体或它们的组合。在一些实施方案中,等离子体可包含氢原子、氢自由基、氢等离子体或它们的组合。在一些实施方案中,等离子体可包含惰性气体物种,例如Ar或He物种。在一些实施方案中,等离子体可基本上由惰性气体物种组成。在一些情况下,等离子体可以包含其它物质,例如氮原子、氮自由基、氮等离子体或其组合。在一些实施方案中,蚀刻工艺可包括将衬底暴露于包含氧的蚀刻剂,例如O3。在一些实施方案中,衬底可在约30℃至约500℃之间或约100℃至约400℃之间的温度下暴露于蚀刻剂。在一些实施方案中,蚀刻剂可以一个连续脉冲供给或者可以多个脉冲供给。如上所述,可在循环的选择性沉积和去除中使用钝化层去除来以钝化层的完全去除或以钝化层的部分去除从氧化物层上方剥离任何剩余的金属氧化物。
可在前述工艺之前、之后或之间进行额外的处理,如热处理或化学处理。例如,处理可改变表面或去除在工艺的各个阶段暴露的金属、氧化硅、钝化和金属氧化物表面的一部分。在一些实施方案中,可在工艺之前或开始之时对衬底进行预处理或清洁。在一些实施方案中,可如上所述使衬底经受等离子体清洁过程。
尽管已讨论了某些实施方案和实施例,但本领域技术人员应理解,权利要求书的范围延伸到具体公开的实施方案之外,延伸到其他替代的实施方案和/或用途以及其明显的变型和等同物。

Claims (20)

1.一种相对于衬底的介电表面选择性地在所述衬底的金属表面上沉积氧化硅的方法,所述方法按次序包括:
使所述衬底与钝化剂接触;
使所述衬底与金属催化剂接触;以及
使所述衬底与包含硅烷醇的硅反应物接触。
2.根据权利要求1所述的方法,其中所述金属表面包含Al、Cu、Co、Ni、W、Nb、Fe和Mo中的一种或多种。
3.根据权利要求1所述的方法,其中所述介电表面包含氧化硅。
4.根据权利要求1所述的方法,其中使所述衬底与所述钝化剂接触导致相对于所述金属表面选择性地钝化所述介电表面。
5.根据权利要求1所述的方法,其中所述钝化剂为甲硅烷基化剂。
6.根据权利要求5所述的方法,其中所述甲硅烷基化剂包含烷基氨基硅烷。
7.根据权利要求5所述的方法,其中所述甲硅烷基化剂包含烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)。
8.根据权利要求1所述的方法,其中所述金属催化剂包含三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔-丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)。
9.根据权利要求1所述的方法,其中所述硅反应物包含三(叔-丁氧基)硅烷醇(TBS)、三(异丙氧基)硅烷醇(TIS)或三(叔-戊氧基)硅烷醇(TPS)。
10.根据权利要求1所述的方法,所述方法另外包括在使所述衬底与所述钝化剂接触之前在所述金属表面上形成钝化阻断层。
11.根据权利要求10所述的方法,其中所述钝化阻断层包含聚合物或自组装单层(SAM)。
12.根据权利要求1所述的方法,其中氧化硅相对于经钝化的介电表面在经催化的金属表面上的沉积的选择性大于约50%。
13.一种相对于衬底的介电表面选择性地在所述衬底的金属表面上沉积氧化硅的方法,所述方法包括沉积超循环,所述沉积超循环包括:
使所述衬底与甲硅烷基化剂接触;以及
进行一个或多个氧化硅沉积子循环,所述氧化硅沉积子循环包括交替且依次地使所述衬底与金属催化剂和硅烷醇接触。
14.根据权利要求13所述的方法,其中所述甲硅烷基化剂为N-(三甲基甲硅烷基)二甲基胺。
15.根据权利要求13所述的方法,其中所述金属催化剂包含三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔-丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)。
16.根据权利要求13所述的方法,其中所述硅烷为三(叔-戊氧基)硅烷醇。
17.根据权利要求13所述的方法,其中在所述沉积超循环中将所述氧化硅沉积子循环重复两次或更多次。
18.根据权利要求17所述的方法,其中在至少一个氧化硅沉积子循环中使所述衬底与所述硅烷醇接触两次或更多次。
19.根据权利要求13所述的方法,其中将所述沉积超循环重复两次或更多次。
20.一种相对于衬底的介电表面选择性地在所述衬底的金属表面上沉积氧化硅的方法,所述方法包括交替且依次地使所述衬底与包含烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)的甲硅烷基化剂;三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔-丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA);和三(叔-戊氧基)硅烷醇接触。
CN202110323241.XA 2020-03-30 2021-03-26 氧化硅在金属表面上的选择性沉积 Pending CN113463067A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063002135P 2020-03-30 2020-03-30
US63/002,135 2020-03-30

Publications (1)

Publication Number Publication Date
CN113463067A true CN113463067A (zh) 2021-10-01

Family

ID=77857065

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110323241.XA Pending CN113463067A (zh) 2020-03-30 2021-03-26 氧化硅在金属表面上的选择性沉积

Country Status (4)

Country Link
US (2) US11643720B2 (zh)
KR (1) KR20210122692A (zh)
CN (1) CN113463067A (zh)
TW (1) TW202140832A (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10985028B1 (en) * 2019-10-18 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacturing
TW202140833A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
US11542597B2 (en) * 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
JP2024048047A (ja) * 2022-09-27 2024-04-08 東京エレクトロン株式会社 基板処理方法

Family Cites Families (283)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
EP1490529A1 (en) * 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
AU2003290956A1 (en) 2002-11-15 2004-06-15 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
EP1824960A2 (en) 2004-11-22 2007-08-29 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
JP2009539237A (ja) 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20100072021A (ko) 2007-09-14 2010-06-29 시그마-알드리치컴퍼니 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
JP2011503876A (ja) 2007-11-06 2011-01-27 エイチシーエフ パートナーズ リミテッド パートナーシップ 原子層堆積プロセス
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
TWI390756B (zh) 2008-07-16 2013-03-21 Applied Materials Inc 使用摻質層遮罩之混合異接面太陽能電池製造
TW201027766A (en) 2008-08-27 2010-07-16 Applied Materials Inc Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
EP2361445A4 (en) 2008-12-01 2012-07-04 Du Pont ANODE FOR AN ORGANIC ELECTRONIC DEVICE
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
CN102471882A (zh) 2009-07-31 2012-05-23 阿克佐诺贝尔化学国际公司 制备涂覆基材的方法、涂覆的基材及其用途
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
WO2012001848A1 (ja) 2010-07-01 2012-01-05 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
CN103476965B (zh) 2011-02-07 2016-03-23 乔治洛德方法研究和开发液化空气有限公司 由铝和硅前体沉积Al2O3/SiO2叠层的方法
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8753978B2 (en) 2011-06-03 2014-06-17 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9352944B2 (en) 2012-03-19 2016-05-31 Gray Manufacturing Company, Inc. Control and communication system for a wireless vehicle lift system
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
US20150372205A1 (en) 2013-01-31 2015-12-24 Dai Nippon Printing Co., Ltd. Electron beam curable resin composition, reflector resin frame, reflector, semiconductor light-emitting device, and molded article production method
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9640622B2 (en) 2013-06-28 2017-05-02 Intel Corporation Selective epitaxially grown III-V materials based devices
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US9562188B2 (en) 2013-09-20 2017-02-07 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
KR102138719B1 (ko) 2013-09-27 2020-07-28 인텔 코포레이션 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9831306B2 (en) 2013-12-19 2017-11-28 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9895715B2 (en) * 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
KR102287787B1 (ko) 2014-03-27 2021-08-10 인텔 코포레이션 광 지원형 금속 원자층 퇴적(ald) 및 화학 기상 퇴적(cvd)을 위한 전구체 및 프로세스 설계
EP3123497A4 (en) 2014-03-28 2017-11-01 Intel Corporation Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
TWI717260B (zh) 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10464959B2 (en) 2015-06-18 2019-11-05 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
WO2018063815A1 (en) 2016-10-02 2018-04-05 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10847363B2 (en) 2017-11-20 2020-11-24 Tokyo Electron Limited Method of selective deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US11450525B2 (en) * 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces

Also Published As

Publication number Publication date
US11643720B2 (en) 2023-05-09
US20240076775A1 (en) 2024-03-07
KR20210122692A (ko) 2021-10-12
US20210301394A1 (en) 2021-09-30
TW202140832A (zh) 2021-11-01

Similar Documents

Publication Publication Date Title
US11898240B2 (en) Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
US11047040B2 (en) Dual selective deposition
US11643720B2 (en) Selective deposition of silicon oxide on metal surfaces
US11965238B2 (en) Selective deposition of metal oxides on metal surfaces
EP2174942B1 (en) Niobium and vanadium organometallic precursors for thin film deposition
US9587307B2 (en) Enhanced deposition of noble metals
US20230140812A1 (en) Selective thermal deposition method
US20230139917A1 (en) Selective deposition using thermal and plasma-enhanced process
US20210358745A1 (en) Selective passivation and selective deposition
US11289328B2 (en) Deposition and etch processes of chromium-containing thin films for semiconductor manufacturing
US20230140367A1 (en) Selective deposition of material comprising silicon and oxygen using plasma
TWI838513B (zh) 金屬氧化物在金屬表面上之選擇性沉積

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination