WO2010026955A1 - 基板保持部材、基板処理装置、基板処理方法 - Google Patents

基板保持部材、基板処理装置、基板処理方法 Download PDF

Info

Publication number
WO2010026955A1
WO2010026955A1 PCT/JP2009/065233 JP2009065233W WO2010026955A1 WO 2010026955 A1 WO2010026955 A1 WO 2010026955A1 JP 2009065233 W JP2009065233 W JP 2009065233W WO 2010026955 A1 WO2010026955 A1 WO 2010026955A1
Authority
WO
WIPO (PCT)
Prior art keywords
tray
substrate
mask
support portion
outer edge
Prior art date
Application number
PCT/JP2009/065233
Other languages
English (en)
French (fr)
Inventor
公男 小暮
Original Assignee
芝浦メカトロニクス株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 芝浦メカトロニクス株式会社 filed Critical 芝浦メカトロニクス株式会社
Priority to JP2010527782A priority Critical patent/JP5001432B2/ja
Priority to DE200911002156 priority patent/DE112009002156T5/de
Priority to US13/062,740 priority patent/US9099513B2/en
Priority to KR1020117007780A priority patent/KR101533138B1/ko
Priority to CN2009801351534A priority patent/CN102150251B/zh
Publication of WO2010026955A1 publication Critical patent/WO2010026955A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Definitions

  • the present invention relates to a substrate holding member, a substrate processing apparatus, and a substrate processing method particularly suitable for processing a thin substrate.
  • the substrate may be processed while being placed on a tray.
  • a ring chuck is further placed on a substrate dropped into a concave portion of a bottomed tray, and in that state, the tray is electrostatically attracted to the electrostatic chuck to perform processing on the substrate. It is disclosed.
  • the outer edge of the wafer is easily damaged due to contact between the outer edge of the wafer and the tray.
  • the problem here is that if a wafer fragment is placed on the transfer hand or electrostatic chuck, the fragment is sandwiched between the wafer and the wafer, causing damage to the device formation surface of the wafer. If the surface of the electrostatic chuck is made of a flexible material such as polyimide, there is a concern that the debris may bite into the electrostatic chuck electrode and cause a short circuit.
  • the present invention has been made in view of the above problems, and provides a substrate holding member, a substrate processing apparatus, and a substrate processing method capable of avoiding problems caused by fragments of the substrate.
  • a substrate support portion that supports an outer edge portion of the substrate, and a mask support that is provided on the outer peripheral side of the substrate support portion and protruding above the upper surface of the substrate support portion.
  • a ring-shaped tray having a recess, a recess provided between the substrate support and the mask support, and the recess of the tray in a state of being superimposed on the mask support of the tray.
  • a substrate holding member comprising: a ring-shaped mask that covers the substrate support portion.
  • a substrate support portion that supports an outer edge portion of the substrate, and provided on the outer peripheral side of the substrate support portion and above the upper surface of the substrate support portion.
  • a ring-shaped tray having a mask support portion formed thereon, a recess provided between the substrate support portion and the mask support portion, and the tray in a state of being overlapped with the mask support portion of the tray
  • a ring-shaped mask that covers the concave portion and the substrate support portion, an electrostatic adsorption surface that can electrostatically adsorb the surface of the substrate that is exposed from the tray and the mask, and an outer circumference than the electrostatic adsorption surface
  • a rotary stage having a tray mounting portion provided on a lower side of the electrostatic chucking surface, and the substrate is sucked to the electrostatic chucking surface.
  • an outer edge portion of a substrate is supported by a substrate support portion provided on a ring-shaped tray, and is on the outer peripheral side of the substrate support portion and the substrate support portion.
  • a ring-shaped mask is overlaid on a mask support portion of the tray provided so as to protrude above the upper surface of the tray, and the substrate is held in a state where an outer edge portion of the substrate is covered with the tray and the mask,
  • the tray holding the substrate and the mask are moved toward the rotary stage, and the surface exposed from the tray and the mask in a state where the outer edge portion of the substrate is protruded to the tray mounting portion side is While being attracted to the electrostatic attracting surface of the rotary stage, the tray is placed on a tray placing portion provided on the outer peripheral side of the electrostatic attracting surface and below the electrostatic attracting surface.
  • the tray and the mask superimposed on the tray are separated from the outer edge portion of the substrate to cover the outer edge portion, and the substrate is processed while rotating the rotary stage in this state.
  • the tray is placed on the tray placing portion and the tray and the mask superimposed on the tray are separated from the outer edge portion of the substrate to cover the outer edge portion.
  • a substrate holding member capable of avoiding problems caused by substrate fragments.
  • substrate holding member which concerns on embodiment of this invention. 1 is a schematic diagram of a substrate processing apparatus according to an embodiment of the present invention.
  • the principal part expansion schematic diagram which shows the state by which the board
  • the schematic diagram which shows the other specific example of the tray mounting part in the rotation stage shown to FIG.
  • the semiconductor wafer to be processed in the present embodiment is very thin, for example, the thickness is 10 to 100 ⁇ m, more specifically about 50 ⁇ m. In the present embodiment, such a thin semiconductor wafer is carried into and out of the processing chamber while being held by the holding member.
  • FIG. 1 is a schematic cross-sectional view of the holding member 10.
  • FIG. 1 also shows the semiconductor wafer W held by the holding member 10.
  • the holding member 10 is composed of a ring-shaped tray 11 and a ring-shaped mask 21.
  • the tray 11 and the mask 21 are carried into the processing chamber together with the semiconductor wafer W, and are exposed to plasma, high temperature, and various gases during the sputter film formation process, and have sufficient heat resistance and mechanical strength to withstand it.
  • the semiconductor wafer W can be stably held without being deformed or damaged.
  • the material of the tray 11 and the mask 21 can include titanium, a titanium alloy, alumina, and the like.
  • the tray 11 is formed in a circular ring shape, and has an outer diameter larger than the diameter of the semiconductor wafer W and an inner diameter smaller than the diameter of the semiconductor wafer W.
  • a step is provided on the upper surface side where the semiconductor wafer W is held, and the lower surface on the opposite side is a flat surface.
  • a wafer support part (substrate support part) 13 and a mask support part 12 are provided on the upper surface side of the tray 11.
  • the mask support portion 12 is provided on the outer peripheral side larger than the diameter of the semiconductor wafer W in the tray 11, and the wafer support portion 13 is provided on the inner peripheral side of the mask support portion 12.
  • the upper surface of the wafer support portion 13 is formed in a circular ring shape in accordance with the circular shape of the semiconductor wafer W, and the upper surface of the mask support portion 12 is also formed in a circular ring shape.
  • the radial width dimension of the mask support portion 12 is larger than the radial width dimension of the wafer support portion 13, and therefore the area of the upper surface of the mask support portion 12 is larger than the area of the upper surface of the wafer support portion 13.
  • the mask support 12 projects upward from the wafer support 13.
  • “upward” represents the upper side when the flat back side of the tray 11 is set as the lower side. Therefore, there is a height level difference (step) between the upper surface of the mask support 12 and the upper surface of the wafer support 13, and the upper surface of the mask support 12 is higher than the upper surface of the wafer support 13. Is located.
  • a concave portion 14 is provided between the wafer support portion 13 and the mask support portion 12.
  • the concave portion 14 is formed in a groove shape continuous over the entire circumferential direction of the ring-shaped tray 11 so as to follow the curvature of the outer edge (edge) of the semiconductor wafer W.
  • the bottom of the recess 14 is located below the upper surface of the mask support 12 and the upper surface of the wafer support 13.
  • the semiconductor wafer W is supported on the tray 11 by placing the outer edge portion (peripheral edge portion) on the wafer support portion 13 of the tray 11.
  • the diameter of the semiconductor wafer W is, for example, 200 mm, and the portion that is supported by being in contact with the wafer support portion 13 is about 2.5 mm on the outer peripheral side.
  • the inner diameter of the mask support portion 12 is slightly larger than the diameter of the semiconductor wafer W, and the semiconductor wafer W is accommodated inside the inner peripheral surface 15 of the mask support portion 12. The positional deviation in the radial direction of the semiconductor wafer W is restricted.
  • the mask 21 that constitutes the holding member 10 together with the tray 11 described above is formed in a circular ring shape, and has an outer diameter larger than the outer diameter of the tray 11 and an inner diameter smaller than the inner diameter of the tray 11. That is, the radial width dimension of the mask 21 is larger than the radial width dimension of the tray 11, and a part of the lower surface of the mask 21 is placed on the mask support portion 12 of the tray 11 and superimposed on the tray 11.
  • the mask 21 covers all of the tray 11. Both the lower surface and upper surface of the mask 21 are flat surfaces, and the lower surface extends radially inward from the tray 11.
  • a circular ring-shaped rib 22 projecting downward is provided on the outermost peripheral portion of the mask 21, and the tray 11 is accommodated on the inner peripheral side of the rib 22, so that the tray 11 and the mask 21 can be mutually connected in the radial direction. Misalignment is regulated.
  • the deformation of the mask 21 is suppressed by the formation of the circular ring-shaped ribs 22. Since the circular ring-shaped recess 14 is formed in the tray 11, deformation of the tray 11 is suppressed.
  • the main function of the concave portion 14 is to retain the fragments when the outer edge portion of the semiconductor wafer W is damaged, so that it does not wrap around the back side of the semiconductor wafer W.
  • a metal (not limited to a pure metal but includes an alloy) functioning as an electrode on the second main surface opposite to the first main surface on which an element main part such as a transistor is formed in the semiconductor wafer W.
  • a film is formed by sputtering.
  • the outer edge portion of the semiconductor wafer W is placed and supported on the wafer support portion 13 of the tray 11 with the second main surface, which is the film formation surface, facing upward.
  • the semiconductor wafer W is placed on the wafer support 13 of the tray 11 by its own weight.
  • the mask 21 is overlaid on the mask support 12 of the tray 11.
  • the mask 21 is placed on the mask support 12 of the tray 11 by its own weight.
  • the mask 21 covers all of the tray 11 including the wafer support portion 13, the recess 14, and the mask support portion 12, and the semiconductor wafer W is supported on the tray 11.
  • the outer edge of the semiconductor wafer W is covered.
  • the upper surface of the wafer support portion 13 is at a position lower than the upper surface of the mask support portion 12, a slight gap is formed between the film formation surface of the semiconductor wafer W and the lower surface of the mask 21. Does not contact the semiconductor wafer W.
  • the semiconductor wafer W is loaded into the processing chamber while being held by the tray 11 and the mask 21 as shown in FIG. 1, or unloaded from the processing chamber after the processing.
  • a lift mechanism or the like that moves the semiconductor wafer W up and down with respect to the stage in the processing chamber by carrying the thin semiconductor wafer W on the tray 11 having sufficient strength and transporting the tray 11 together with the semiconductor is provided. It is possible to prevent the semiconductor wafer W from being damaged without coming into contact with the wafer W, or to reduce the impact on the semiconductor wafer W to prevent breakage.
  • the mask 21 is overlaid on the tray 11 and the outer edge portion of the semiconductor wafer W is covered with the mask 21, so that the semiconductor wafer W can be prevented from jumping or falling off from the tray 11 during conveyance.
  • the processing apparatus is a multi-chamber processing apparatus having a plurality of processing chambers so as to be capable of forming a plurality of different types of laminated films on a substrate or a specific type of film formation. For example, sputter film formation processing is performed on the substrate in each processing chamber, but the multi-chamber processing apparatus according to the present embodiment includes a substrate attaching / detaching chamber in addition to the processing chamber.
  • a transfer robot 50 shown in FIG. 3 is provided in the substrate attachment / detachment chamber.
  • This transfer robot 50 is a horizontal articulated robot in which an arm 52 is moved in the horizontal direction by a drive mechanism 51.
  • the unprocessed semiconductor wafer W is taken out from a cassette (not shown) onto a finger (hand) 53 attached to the tip of the arm 52 by the transfer robot 50 in the substrate attachment / detachment chamber.
  • the processed semiconductor wafer W is returned from the finger 53 into the cassette.
  • the semiconductor wafer W is merely placed on the finger 53 by its own weight, and is not held by suction or the like. Only the frictional force generated by its own weight in the area where the semiconductor wafer W is in contact with the finger 53 becomes the holding force.
  • the tray 11 and the mask 21 have a sufficiently large weight as compared with the semiconductor wafer W, and the friction resistance is increased by placing the semiconductor wafer W on the finger 53 and carrying the tray 11 and the mask 21 together.
  • the conveyance speed can be increased to reduce the total processing time.
  • FIG. 2 schematically shows one processing chamber in the processing apparatus according to the embodiment of the present invention.
  • the processing chamber 30 is surrounded by a chamber wall 31.
  • a gas introduction system and an exhaust system (not shown) are connected to the inside of the processing chamber 30, and by these controls, it is possible to bring the inside of the processing chamber 30 under a desired reduced pressure with a desired gas.
  • a target 34 and a rotary stage 32 are provided facing each other.
  • the target 34 is held by a backing plate or the like and provided at the upper part in the processing chamber 30, and the rotary stage 32 is provided at the bottom in the processing chamber 30.
  • the rotary stage 32 has an electrostatic chuck mechanism, and an electrode 33 is provided therein, and a dielectric is provided between the electrode 33 and the stage surface (electrostatic attracting surface 32a).
  • a voltage is applied to the internal electrode 33 from a power source (not shown)
  • an electrostatic force is generated between the electrostatic chucking surface 32a and the semiconductor wafer W placed thereon, and the semiconductor wafer W is applied to the electrostatic chucking surface 32a. Adsorbed and fixed.
  • a tray mounting portion 32b is provided at a position that is on the outer peripheral side of the electrostatic attraction surface 32a and is lowered below the electrostatic attraction surface 32a.
  • the tray mounting portion 32b is provided in an annular shape so as to surround the periphery of the electrostatic attraction surface 32a.
  • the processing apparatus of the present embodiment is a multi-chamber processing apparatus having a plurality of processing chambers as described above.
  • the target 34 having a small diameter is used to reduce the number of processing chambers.
  • Two, for example, are used to share the processing chamber.
  • the sputter film formation is performed while the semiconductor wafer W is rotated by the rotary stage 32.
  • the rotary stage 32 is provided so as to be rotatable together with the internal electrode 33 around a central axis indicated by a one-dot chain line in FIG.
  • the semiconductor wafer W is loaded into the processing chamber 30 through the loading / unloading port 36 formed in the chamber wall 31 together with the holding member 10 (tray 11 and mask 21) in the state shown in FIG. After this loading, the loading / unloading port 36 is airtightly closed by a gate or the like (not shown). Thereafter, the inside of the processing chamber 30 is set to a desired gas atmosphere having a desired pressure suitable for the sputtering film forming process.
  • the carrying-in / out of the holding member 10 to / from the processing chamber 30 through the carry-in / out port 36 is performed using a transfer robot or the like.
  • a pin-shaped lift mechanism 37 as shown in FIG. 4 is provided in the processing chamber 30.
  • the lower surface of the tray 11 is supported by the lift mechanism 37.
  • the lift mechanism 37 is provided to be able to move up and down in a guide hole 38 formed below the tray mounting portion 32 b of the rotary stage 32 and in a space above the guide hole 38.
  • the lift mechanism 37 is not limited to the pin shape, and may be a table shape.
  • the holding member 10 holding the semiconductor wafer W is carried into a position above the rotary stage 32, and then held by lowering the lift mechanism 37 that supports the lower surface of the holding member 10.
  • the member 10 is lowered toward the rotary stage 32.
  • the electrostatic attraction surface 32 a is formed in, for example, a circular shape, and the inner diameter of the tray 11 is larger than the diameter of the electrostatic attraction surface 32 a, and the electrostatic attraction surface 32 a can be inserted inside the inner peripheral surface of the tray 11. .
  • the semiconductor wafer W supported by the wafer support portion 13 of the tray 11 also descends.
  • the semiconductor wafer W is exposed from the tray 11.
  • the lower surface is placed on the electrostatic chucking surface 32a and fixed by suction.
  • the outer edge portion of the semiconductor wafer W supported by the wafer support portion 13 of the tray 11 protrudes toward the tray mounting portion 32b on the outer peripheral side of the electrostatic chucking surface 32a.
  • the tray 11 is placed on the tray placement portion 32b of the rotary stage 32. As shown in FIG. 4, in the state where the tray 11 is placed on the tray placement portion 32b, the upper surface of the wafer support portion 13 is positioned below the electrostatic chucking surface 32a and is located on the outer edge portion of the semiconductor wafer W. On the other hand, it is in a separated state without contact.
  • the mask 21 supported by the mask support portion 12 of the tray 11 is also lowered. Since the semiconductor wafer W is placed on the wafer support portion 13 of the tray 11, the inner peripheral portion 21 a of the mask 21 covers the outer edge portion of the semiconductor wafer W. Even if the tray 11 is removed from the support of the semiconductor wafer W and placed on the tray placing portion 32b by appropriately setting the step with the mask supporting portion 12, the inner peripheral side of the mask 21 The portion 21 a can maintain a separated state without contacting the outer edge portion of the semiconductor wafer W.
  • the sputter film forming process is performed on the semiconductor wafer W while the rotary stage 32 is rotated. That is, by applying a voltage from the power supply device 35 shown in FIG. 2 to the target 34, a discharge is generated between the target 34 and the rotary stage 32 to generate plasma, and ions generated thereby are generated by an electric field in the processing space. By accelerating toward the target 34 and colliding with the target 34, particles of the target material are knocked out of the target 34 and deposited on the deposition surface of the semiconductor wafer W.
  • the concave portion 14 is present below the outer edge of the semiconductor wafer W during the conveyance in which the semiconductor wafer W is held by the holding member 10 and during the processing shown in FIG. Even if the outer edge portion is thin and interferes with the tray 11 to produce fine fragments, the fragments can be dropped and retained in the recess 14. That is, no debris is scattered. Thereby, it is possible to avoid that the fragments of the semiconductor wafer W wrap around the lower surface side of the semiconductor wafer W and sandwich the fragments between the finger 53 of the transfer robot 50 and the electrostatic chucking surface 32a. Therefore, it is possible to prevent problems such as damage to the element forming surface of the semiconductor wafer W and short-circuiting due to the fragments biting into the internal electrode 33 of the rotary stage 32.
  • the mask 21 is in a state of covering all of the tray 11 including the concave portion 14 and the wafer support portion 13 during processing, the film adhesion to the tray 11 can be prevented and maintenance can be reduced.
  • the voltage application to the internal electrode 33 shown in FIG. 2 is first stopped while the state shown in FIG. 4 is maintained, and the electrostatic adsorption force to the semiconductor wafer W is released.
  • the inner peripheral portion 21a of the mask 21 covers the outer edge portion of the semiconductor wafer W, it is possible to prevent the semiconductor wafer W from jumping on the rotary stage 32 and falling from the rotary stage 32.
  • the tray 11 is raised from the state shown in FIG. 4 by the lift mechanism 37, and the outer edge of the semiconductor wafer W is placed on the wafer support portion 13 of the tray 11. Is lifted from the electrostatic attraction surface 32a. 2 is opened, and the semiconductor wafer W is carried out of the processing chamber 30 together with the holding member 10 by a transfer mechanism (not shown).
  • the semiconductor wafer W is fixed to the electrostatic chucking surface 32a, but the tray 11 is only placed on the tray mounting portion 32b of the rotary stage 32, and the mask. 21 is only placed on the mask support 12 of the tray 11. Therefore, there is a possibility that the tray 11 and the mask 21 may move relative to the semiconductor wafer W due to the inertial force during the rotation of the rotary stage 32. If the tray 11 is fixed to the rotary stage 32 or the tray 11 and the mask 21 are fixed to each other, such a shift movement can be eliminated. However, the structure tends to be complicated due to the mechanism involving rotation. is there.
  • the tray 11 and the mask 21 are placed on the rotary stage 32 as shown in FIG. Since none of these parts are in contact with the semiconductor wafer W, the influence of the movement of the tray 11 or the mask 21 is prevented from being transmitted to the semiconductor wafer W. If the semiconductor wafer W is thin, even a slight contact with the tray 11 and the mask 21 is likely to cause damage. However, in the present embodiment, since the tray 11 and the mask 21 are separated from the semiconductor wafer W as described above, a semiconductor is used. Damage to the wafer W can be prevented.
  • FIG. 5A shows an example in which a groove 41 that can accommodate the lower surface of the tray 11 is provided. In this case, the movement of the tray 11 in the radial direction can be restricted by the side wall of the groove 41.
  • FIG. 5B shows an example in which fine irregularities 42 are provided on the surface of the tray placement portion.
  • the surface of the tray placement unit may be roughened. In this case, it is possible to increase the frictional force between the surface of the tray placing portion and the lower surface of the tray placed thereon, and the tray 11 can hardly slide.
  • the rear surface of the tray is attracted to the electrostatic attracting surface.
  • the semiconductor wafer W is directly attracted to the electrostatic attracting surface 32a.
  • the tray is not interposed between the stage and the semiconductor wafer, heat transfer from the stage to the wafer is hindered. Therefore, it is possible to improve the controllability of heating or cooling so that the semiconductor wafer is brought to a desired temperature. Further, the degree of adhesion between the wafer and the suction surface can be made uniform without variation over the entire wafer surface, and the temperature distribution in the wafer surface can be made uniform. From the above, wafer processing quality can be improved. Further, when the tray is electrostatically attracted, the tray is limited to an insulator, but in the present embodiment, the material of the tray is not limited to the insulator and is not restricted by the material selection.
  • the substrate to be processed is not limited to a semiconductor wafer, and may be, for example, a mask for pattern transfer in lithography, a disk-shaped recording medium, or the like. Further, the process performed on the substrate is not limited to the sputter film formation, and may be a process such as sputter etching, CDE (chemical dry etching), CVD (chemical vapor deposition), or surface modification.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本願発明の基板保持部材は、基板(W)の外縁部を支持する基板支持部(13)と、基板支持部(13)よりも外周側であって且つ基板支持部(13)の上面よりも上方に突出して設けられたマスク支持部(12)と、基板支持部(13)とマスク支持部(12)との間に設けられた凹部(14)とを有するリング状のトレイ(11)と、トレイ(11)のマスク支持部(12)に重ね合わされた状態でトレイ(11)の凹部(14)及び基板支持部(13)を覆うリング状のマスク(21)と、を有する。

Description

基板保持部材、基板処理装置、基板処理方法
 本発明は、特に厚さが薄い基板の処理に適した、基板保持部材、基板処理装置、基板処理方法に関する。
 薄膜形成、表面改質、ドライエッチング等のような処理を基板に対して真空中で行う基板処理において、基板をトレイに載せたまま処理することがある。例えば、特許文献1では、有底のトレイの凹部に落とし込まれた基板の上にさらにリングチャックが載せられ、その状態でトレイごと静電チャックに静電吸着されて基板に対して処理を行うことが開示されている。
特開2003-59998号公報
 処理対象の基板として特に厚さが非常に薄い半導体ウェーハの場合には、ウェーハ外縁部とトレイとの接触等によりウェーハ外縁部の破損が起きやすい。ここで問題となるのは、ウェーハの破片が搬送ハンドや静電チャック上に載ってしまうと、それらとウェーハとの間で破片が挟まれ、ウェーハにおける素子形成面にダメージがおよぶ、ウェーハの割れを引き起こす、静電チャック表面がポリイミドなどの柔軟素材だと破片が食い込んで静電チャック用の電極に到達してショートしたりする、といった問題が懸念される。
 本発明は上述の問題に鑑みてなされ、基板の破片を原因とする不具合を回避することができる基板保持部材、基板処理装置、基板処理方法を提供する。
 本発明の一態様によれば、基板の外縁部を支持する基板支持部と、前記基板支持部よりも外周側であって且つ前記基板支持部の上面よりも上方に突出して設けられたマスク支持部と、前記基板支持部と前記マスク支持部との間に設けられた凹部と、を有するリング状のトレイと、前記トレイの前記マスク支持部に重ね合わされた状態で、前記トレイの前記凹部及び前記基板支持部を覆うリング状のマスクと、を備えたことを特徴とする基板保持部材が提供される。 
 また、本発明の他の一態様によれば、基板の外縁部を支持する基板支持部と、前記基板支持部よりも外周側であって且つ前記基板支持部の上面よりも上方に突出して設けられたマスク支持部と、前記基板支持部と前記マスク支持部との間に設けられた凹部と、を有するリング状のトレイと、前記トレイの前記マスク支持部に重ね合わされた状態で、前記トレイの前記凹部及び前記基板支持部を覆うリング状のマスクと、前記基板における前記トレイ及び前記マスクから露出している面を静電吸着可能な静電吸着面と、前記静電吸着面よりも外周側であって且つ前記静電吸着面よりも下方に設けられたトレイ載置部と、を有する回転ステージと、を備えた基板処理装置であって、前記基板が前記静電吸着面に吸着された状態で前記基板の外縁部は前記トレイ載置部側に突出し、前記トレイが前記トレイ載置部に載置された状態で、前記トレイ及び前記トレイに重ね合わされた前記マスクは、前記基板の外縁部に対して離間して前記外縁部を覆うことを特徴とする基板処理装置が提供される。 
 また、本発明のさらに他の一態様によれば、リング状のトレイに設けられた基板支持部に基板の外縁部を支持させ、前記基板支持部よりも外周側であって且つ前記基板支持部の上面よりも上方に突出して設けられた前記トレイのマスク支持部にリング状のマスクを重ね合わせて、前記トレイ及び前記マスクで前記基板の外縁部を覆った状態で前記基板を保持し、前記基板を保持した前記トレイ及び前記マスクを回転ステージに向けて移動させ、前記基板における前記外縁部を前記トレイ載置部側に突出させた状態で前記トレイ及び前記マスクから露出している面を前記回転ステージの静電吸着面に吸着させると共に、前記トレイを、前記静電吸着面よりも外周側であって且つ前記静電吸着面よりも下方に設けられたトレイ載置部に載置させることで、前記トレイ及び前記トレイに重ね合わされた前記マスクが前記基板の外縁部に対して離間して前記外縁部を覆う状態にし、この状態で前記回転ステージを回転させつつ前記基板に対する処理を行い、前記基板の処理の後、前記トレイが前記トレイ載置部に載置されて前記トレイ及び前記トレイに重ね合わされた前記マスクが前記基板の外縁部に対して離間して前記外縁部を覆う状態を保ったまま、前記基板に対する静電吸着力の解除を行うことを特徴とする基板処理方法が提供される。
 本発明によれば、基板の破片を原因とする不具合を回避することができる基板保持部材、基板処理装置、基板処理方法が提供される。
本発明の実施形態に係る基板保持部材の模式断面図。 本発明の実施形態に係る基板処理装置の模式図。 搬送ロボットの模式斜視図。 本発明の実施形態に係る基板処理方法において、基板が回転ステージの静電吸着面に静電吸着され、且つトレイが回転ステージのトレイ載置部に載置された状態を示す要部拡大模式図。 図2、4に示す回転ステージにおけるトレイ載置部の他の具体例を示す模式図。
 以下、図面を参照し、本発明の実施形態について説明する。本発明の実施形態では、例えば半導体ウェーハを処理対象の基板とし、その半導体ウェーハに対してスパッタ成膜処理を行う具体例を説明する。
 本実施形態で処理対象とする半導体ウェーハは非常に薄く、例えば厚さは10~100μm、さらに具体的には50μm前後である。本実施形態では、そのような薄い半導体ウェーハを保持部材で保持した状態で処理室に搬出入する。
 図1は、その保持部材10の模式断面図を示す。また、図1には、保持部材10に保持された状態の半導体ウェーハWもあわせて示す。
 保持部材10は、リング状のトレイ11と、同じくリング状のマスク21とから構成される。これらトレイ11とマスク21は、半導体ウェーハWと共に処理室内に搬入され、スパッタ成膜処理時にプラズマ、高温、種々のガスにさらされるが、それに耐え得る十分な耐熱性及び機械的強度を有し、変形や破損などせずに安定して半導体ウェーハWを保持することができる。例えば、トレイ11とマスク21の材料として、チタン、チタン合金、アルミナなどを挙げることができる。
 トレイ11は、円形リング状に形成され、その外径は半導体ウェーハWの直径よりも大きく、内径は半導体ウェーハWの直径よりも小さい。トレイ11において、半導体ウェーハWが保持される上面側には段差が設けられ、その反対側の下面は平坦面となっている。
 トレイ11の上面側には、ウェーハ支持部(基板支持部)13とマスク支持部12が設けられている。マスク支持部12は、トレイ11において半導体ウェーハWの直径よりも大きな外周側に設けられ、このマスク支持部12よりも内周側にウェーハ支持部13が設けられている。
 ウェーハ支持部13の上面は半導体ウェーハWの円形状に合わせて円形リング状に形成され、マスク支持部12の上面も円形リング状に形成されている。また、マスク支持部12の径方向幅寸法はウェーハ支持部13の径方向幅寸法より大きく、したがってマスク支持部12の上面の面積はウェーハ支持部13の上面の面積よりも大きい。
 マスク支持部12はウェーハ支持部13よりも上方に突出している。なお、ここでの「上方」とは、トレイ11における平坦な裏面側を下方とした場合の上方を表す。したがって、マスク支持部12の上面とウェーハ支持部13の上面との間には高さレベルの違い(段差)があり、マスク支持部12の上面の方が、ウェーハ支持部13の上面よりも上方に位置している。
 トレイ11において、ウェーハ支持部13とマスク支持部12との間には、凹部14が設けられている。凹部14は、半導体ウェーハWの外縁(エッジ)の曲率に沿うように、リング状のトレイ11の周方向全体にわたって連続した溝状に形成されている。凹部14の底は、マスク支持部12の上面及びウェーハ支持部13の上面よりも下方に位置する。
 半導体ウェーハWは、その外縁部(周縁部)がトレイ11のウェーハ支持部13の上に載置されることでトレイ11に支持される。半導体ウェーハWの直径は例えば200mmであり、そのうちウェーハ支持部13に接触して支持されるのは外周側の2.5mmほどの部分である。
 マスク支持部12の内径は、半導体ウェーハWの直径よりもわずかに大きく、そのマスク支持部12の内周面15よりも内側に半導体ウェーハWが収められ、マスク支持部12の内周面15によって半導体ウェーハWの径方向の位置ずれが規制される。
 前述したトレイ11と共に保持部材10を構成するマスク21は、円形リング状に形成され、その外径はトレイ11の外径よりも大きく、内径はトレイ11の内径よりも小さい。すなわち、マスク21の径方向幅寸法はトレイ11の径方向幅寸法より大きく、そのマスク21における下面の一部がトレイ11のマスク支持部12に載置されてトレイ11に重ね合わされた状態で、マスク21はトレイ11のすべてを覆い隠す。マスク21の下面及び上面は共に平坦な面となっており、下面はトレイ11よりも径内方側に延びている。
 また、マスク21の最外周部には下方に突出する円形リング状のリブ22が設けられ、このリブ22の内周側にトレイ11が収まることでトレイ11とマスク21との径方向の相互の位置ずれが規制される。また、この円形リング状のリブ22が形成されていることでマスク21の変形が抑制される。トレイ11においては円形リング状の凹部14が形成されていることでトレイ11の変形が抑制される。なお、凹部14の主たる機能は、後述するように、半導体ウェーハWの外縁部に破損が生じた場合にその破片を留め、半導体ウェーハWの裏側にまわりこまないようにすることである。
 本実施形態では、半導体ウェーハWにおけるトランジスタ等の素子要部が形成された第1の主面に対する反対側の第2の主面に、電極として機能する金属(純金属に限らず合金も含む)膜をスパッタ法にて成膜する。半導体ウェーハWは、その被成膜面である第2の主面を上側にした状態で、その外縁部がトレイ11のウェーハ支持部13に載置支持される。半導体ウェーハWはその自重でトレイ11のウェーハ支持部13に載る。
 マスク21は、トレイ11のマスク支持部12の上に重ね合わされる。マスク21はその自重でトレイ11のマスク支持部12の上に載置される。マスク21がマスク支持部12に重ね合わされた状態で、マスク21は、ウェーハ支持部13、凹部14およびマスク支持部12を含むトレイ11のすべてを覆い、トレイ11に半導体ウェーハWが支持されている場合には、その半導体ウェーハWの外縁部を覆う。このとき、ウェーハ支持部13上面は、マスク支持部12上面より低い位置にあることから、半導体ウェーハWにおける被成膜面とマスク21の下面との間にはわずかな隙間が形成され、マスク21は半導体ウェーハWに接触しない。
 半導体ウェーハWは、図1に示すようにトレイ11及びマスク21により保持された状態で処理室内に搬入されたり、処理後には処理室内から搬出される。本実施形態によれば、薄い半導体ウェーハWを、十分な強度を有するトレイ11に載せてそのトレイ11ごと搬送することで、処理室内で半導体ウェーハWをステージに対して昇降させるリフト機構などが半導体ウェーハWに接触せず半導体ウェーハWに傷がつくのを防いだり、半導体ウェーハWにおよぶ衝撃を緩和して破損を防ぐことができる。
 さらに、本実施形態では、マスク21をトレイ11の上に重ねて半導体ウェーハWの外縁部をマスク21で覆うことで、搬送中に半導体ウェーハWがトレイ11から飛び跳ねたり脱落するのを防止できる。
 本実施形態に係る処理装置は、基板に対して異なる種類の複数の積層膜の成膜、あるいは特定種類の成膜に対応できるように、複数の処理室を有するマルチチャンバ型処理装置である。各処理室内では基板に対して例えばスパッタ成膜処理が行われるが、本実施形態に係るマルチチャンバ型処理装置は、処理室以外にも基板着脱室を備えている。この基板着脱室内には、図3に示す搬送ロボット50が設けられている。
 この搬送ロボット50は、駆動機構51により、水平方向にアーム52が動作する水平多関節ロボットである。処理前の半導体ウェーハWは、基板着脱室内で搬送ロボット50により図示しないカセットからアーム52の先端に取り付けられたフィンガー(ハンド)53の上に取り出される。逆に処理後の半導体ウェーハWはフィンガー53からカセット内に戻される。半導体ウェーハWはフィンガー53の上に自重で載っているだけで、吸着等の保持はされていない。半導体ウェーハWがフィンガー53と接している面積に自重分で発生する摩擦力だけが保持力となる。
 薄い半導体ウェーハWは重量が軽いため上記搬送ロボット50のように摩擦抵抗を利用した搬送方法では大きな摩擦抵抗が期待できず、搬送速度を速くすることが困難である。これに対して、トレイ11及びマスク21は半導体ウェーハWに比べて十分大きな重量があり、これらトレイ11及びマスク21ごとフィンガー53の上に半導体ウェーハWを載せて搬送することで摩擦抵抗を高めて搬送速度を速くし、トータルの処理時間の短縮が図れる。
 図2は、本発明の実施形態に係る処理装置におけるある一つの処理室を模式的に示す。
 処理室30はチャンバー壁31によって囲まれている。処理室30内には図示しないガス導入系及び排気系が接続され、これらの制御により、処理室30内を所望のガスによる所望の減圧下にすることが可能である。
 処理室30内には、ターゲット34と回転ステージ32が対向して設けられている。ターゲット34はバッキングプレート等に保持されて処理室30内の上部に設けられ、回転ステージ32は処理室30内の底部に設けられている。
 回転ステージ32は静電チャック機構を有し、内部に電極33が設けられ、その電極33とステージ表面(静電吸着面32a)との間は誘電体となっている。内部電極33に図示しない電源から電圧を印加すると、静電吸着面32aと、この上に載置された半導体ウェーハWとの間に静電気力が発生し、半導体ウェーハWは静電吸着面32aに吸着固定される。
 回転ステージ32において、静電吸着面32aよりも外周側であって且つ静電吸着面32aよりも下方に下がった位置に、トレイ載置部32bが設けられている。トレイ載置部32bは静電吸着面32aの周囲を囲むように環状に設けられている。
 本実施形態の処理装置は、前述したように複数の処理室を有するマルチチャンバ型処理装置であるが、装置全体の小型化を図るため各処理室の数を抑制すべく、直径の小さいターゲット34を例えば2つ使用して処理室の共用を行っている。このため、半導体ウェーハWの被成膜面全面に均一に成膜するため、回転ステージ32により半導体ウェーハWを回転させながらスパッタ成膜を行うようにしている。回転ステージ32は、図2において1点鎖線で示す中心軸のまわりに、内部電極33ごと回転可能に設けられている。
 半導体ウェーハWは図1に示す状態で保持部材10(トレイ11及びマスク21)ごと、チャンバー壁31に形成された搬出入口36を通じて処理室30内に搬入される。この搬入後、搬出入口36は図示しないゲートなどによって気密に閉塞される。この後、処理室30内はスパッタ成膜処理に適した所望の圧力の所望のガス雰囲気にされる。
 搬出入口36を通じた保持部材10の処理室30に対する搬出入は搬送ロボットなどを用いて行われる。また、処理室30内には、図4に示すような例えばピン形状のリフト機構37が設けられている。トレイ11はその下面をリフト機構37に支持される。リフト機構37は、回転ステージ32のトレイ載置部32bの下方に形成されたガイド孔38内およびガイド孔38より上方の空間を昇降可能に設けられている。なお、リフト機構37はピン形状に限らずテーブル形状であってもよい。
 半導体ウェーハWを保持した保持部材10は、図2に示すように、回転ステージ32の上方位置に搬入され、その後、保持部材10の下面を支持しているリフト機構37を下降させることで、保持部材10を回転ステージ32に向けて下降させる。
 静電吸着面32aは例えば円形状に形成され、トレイ11の内径は静電吸着面32aの直径よりも大きく、トレイ11の内周面よりも内側に静電吸着面32aを入り込ませることができる。
 トレイ11の下降と共にトレイ11のウェーハ支持部13に支持された半導体ウェーハWも下降し、トレイ11が静電吸着面32aよりも下方に下降していくと、半導体ウェーハWにおいてトレイ11から露出している下面が静電吸着面32a上に載置され、吸着固定される。半導体ウェーハWにおいてトレイ11のウェーハ支持部13に支持されていた外縁部は、図4に示すように、静電吸着面32aよりも外周側のトレイ載置部32b側にに突出する。
 トレイ11は回転ステージ32のトレイ載置部32b上に載置される。図4に示すように、トレイ11がトレイ載置部32b上に載置された状態で、ウェーハ支持部13の上面は静電吸着面32aよりも下方に位置し、半導体ウェーハWの外縁部に対して接触せず離間した状態となる。
 トレイ11の下降と共にトレイ11のマスク支持部12に支持されたマスク21も下降する。トレイ11のウェーハ支持部13に半導体ウェーハWが載っている状態のときから、マスク21の内周側の部分21aは半導体ウェーハWの外縁部を覆っているが、トレイ11におけるウェーハ支持部13とマスク支持部12との段差を適切に設定しておくことで、トレイ11が半導体ウェーハWの支持から外れてトレイ載置部32bに載置された状態となっても、マスク21の内周側部分21aは半導体ウェーハWの外縁部に接触せず離間した状態を維持できる。
 このような図4に示す状態のまま回転ステージ32が回転されつつ、半導体ウェーハWに対するスパッタ成膜処理が行われる。すなわち、図2に示す電源装置35からターゲット34に電圧を印加することでターゲット34と回転ステージ32との間に放電を起こしてプラズマを生起し、これにより生じたイオンが処理空間内の電界によりターゲット34に向けて加速されてターゲット34に衝突することで、ターゲット材料の粒子がターゲット34からたたき出されて半導体ウェーハWの被成膜面に付着堆積する。
 本実施形態によれば、半導体ウェーハWが保持部材10によって保持された搬送中、および図4に示す処理中を通じて、半導体ウェーハWの外縁部の下方に凹部14が存在するため、半導体ウェーハWが薄く外縁部がトレイ11と干渉し、細かい破片が生じても、その破片を凹部14に落下させてとどめておくことができる。すなわち破片が撒き散らない。これにより、半導体ウェーハWの破片が半導体ウェーハWの下面側にまわりこんで、搬送ロボット50のフィンガー53との間や静電吸着面32aとの間で破片を挟み込んでしまうことを回避できる。したがって、半導体ウェーハWの素子形成面の損傷や、破片が回転ステージ32の内部電極33に食い込むことによるショートなどの不具合を防ぐことができる。
 また、処理中、マスク21は、凹部14及びウェーハ支持部13を含むトレイ11のすべてを覆った状態となっているため、トレイ11への膜付着を防いで、メンテナンスの軽減を図れる。
 スパッタ成膜処理が終了すると、図4に示す状態を維持したまま、まず、図2に示す内部電極33への電圧印加を停止し、半導体ウェーハWに対する静電吸着力を解除する。このとき、マスク21の内周側部分21aが半導体ウェーハWの外縁部を覆っているため、半導体ウェーハWの回転ステージ32上での跳ね上がりや、回転ステージ32からの落下を防ぐことができる。
 半導体ウェーハWの吸着固定が解除された後、図4の状態からリフト機構37の上昇によりトレイ11を上昇させ、トレイ11のウェーハ支持部13上に半導体ウェーハWの外縁部を載せ、半導体ウェーハWを静電吸着面32aから持ち上げる。そして、図2に示す搬出入口36を開けて、図示しない搬送機構により保持部材10ごと半導体ウェーハWを処理室30の外に搬出する。
 回転ステージ32を回転させながらの処理中、半導体ウェーハWは静電吸着面32aに固定されているが、トレイ11は回転ステージ32のトレイ載置部32b上に載っているだけであり、またマスク21もトレイ11のマスク支持部12上に載っているだけである。したがって、回転ステージ32の回転中、慣性力でトレイ11やマスク21は半導体ウェーハWに対して相対的にずれるような動きをしてしまう可能性がある。トレイ11を回転ステージ32に対して固定させたり、トレイ11とマスク21とを相互に固定させればそのようなずれの動きはなくせるが、回転を伴う機構のため複雑な構成になりがちである。
 そこで、本実施形態では、回転ステージ32の回転によってトレイ11やマスク21がずれるような動きは許容しつつも、図4に示すように、トレイ11及びマスク21が回転ステージ32に載った状態で、それらのいずれの部分も半導体ウェーハWに接触しないようにしているため、トレイ11やマスク21がずれる動きの影響が半導体ウェーハWに伝わらないようにしている。半導体ウェーハWが薄いと、トレイ11やマスク21とのちょっとした接触でも破損につながりやすいが、本実施形態では前述したようにトレイ11及びマスク21を半導体ウェーハWに対して離間させていることから半導体ウェーハWの破損を防ぐことができる。また仮に、トレイ11やマスク21が半導体ウェーハWの外縁部に接触して、その外縁部が破損するようなことが生じても、前述したように、トレイ11の凹部14に破片を落下させてとどめておくことができるので、その破片を原因とする不具合を回避できる。
 なお、トレイ載置部32bに、回転ステージ32の回転に伴うトレイ11の滑り移動を規制する規制機構を設けてもよい。例えば、図5(a)に、トレイ11の下面を収容することができる溝41を設けた例を示す。この場合、溝41の側壁によってトレイ11の径方向の移動を規制することができる。また、図5(b)には、トレイ載置部表面に細かな凹凸42を設けた例を示す。あるいは、トレイ載置部表面を粗面化処理してもよい。この場合、トレイ載置部表面と、この上に載置されるトレイ下面との摩擦力を高めて、トレイ11がすべり移動しにくくできる。
 なお、特許文献1では、静電吸着面に対してトレイの裏面が吸着される構成となっているのに対し、本実施形態では、静電吸着面32aに対して半導体ウェーハWが直接吸着される。したがって、本実施形態によれば、例えばステージに内蔵したヒータ等により半導体ウェーハを加熱する場合には、ステージと半導体ウェーハとの間にトレイが介在しないため、ステージからウェーハへの熱伝達が阻害されることなく半導体ウェーハを所望の温度にするべく加熱または冷却の制御性をよくすることができる。また、ウェーハと吸着面との密着度合いがウェーハ全面にわたりばらつくことなく均一にでき、ウェーハ面内の温度分布の均一化も図れる。以上のことから、ウェーハ処理品質を高めることができる。また、トレイを静電吸着するとなるとトレイは絶縁物に限られるが、本実施形態ではトレイの材質は絶縁物に限られず、材料選択の制約を受けない。
 以上、具体例を参照しつつ本発明の実施形態について説明した。しかし、本発明は、それらに限定されるものではなく、本発明の技術的思想に基づいて種々の変形が可能である。
 処理対象の基板としては、半導体ウェーハに限らず、例えば、リソグラフィにおけるパターン転写用のマスク、ディスク状記録媒体などであってもよい。また、基板に対して行う処理もスパッタ成膜に限らず、スパッタエッチング、CDE(chemical dry etching)、CVD(chemical vapor deposition)、表面改質などの処理であってもよい。
 10 保持部材
 11 トレイ
 12 マスク支持部
 13 ウェーハ支持部(基板支持部)
 14 凹部
 21 マスク
 30 処理室
 32 回転ステージ
 32a 静電吸着面
 32b トレイ載置部
 34 ターゲット

Claims (5)

  1.  基板の外縁部を支持する基板支持部と、前記基板支持部よりも外周側であって且つ前記基板支持部の上面よりも上方に突出して設けられたマスク支持部と、前記基板支持部と前記マスク支持部との間に設けられた凹部と、を有するリング状のトレイと、
     前記トレイの前記マスク支持部に重ね合わされた状態で、前記トレイの前記凹部及び前記基板支持部を覆うリング状のマスクと、
     を備えたことを特徴とする基板保持部材。
  2.  前記凹部は、前記リング状のトレイの周方向全体にわたって連続して設けられていることを特徴とする請求項1記載の基板保持部材。
  3.  基板の外縁部を支持する基板支持部と、前記基板支持部よりも外周側であって且つ前記基板支持部の上面よりも上方に突出して設けられたマスク支持部と、前記基板支持部と前記マスク支持部との間に設けられた凹部と、を有するリング状のトレイと、
     前記トレイの前記マスク支持部に重ね合わされた状態で、前記トレイの前記凹部及び前記基板支持部を覆うリング状のマスクと、
     前記基板における前記トレイ及び前記マスクから露出している面を静電吸着可能な静電吸着面と、前記静電吸着面よりも外周側であって且つ前記静電吸着面よりも下方に設けられたトレイ載置部と、を有する回転ステージと、
     を備えた基板処理装置であって、
     前記基板が前記静電吸着面に吸着された状態で前記基板の外縁部は前記トレイ載置部側に突出し、
     前記トレイが前記トレイ載置部に載置された状態で、前記トレイ及び前記トレイに重ね合わされた前記マスクは、前記基板の外縁部に対して離間して前記外縁部を覆うことを特徴とする基板処理装置。
  4.  前記トレイ載置部に、前記回転ステージの回転に伴う前記トレイの滑り移動を規制する規制機構が設けられていることを特徴とする請求項3記載の基板処理装置。
  5.  リング状のトレイに設けられた基板支持部に基板の外縁部を支持させ、前記基板支持部よりも外周側であって且つ前記基板支持部の上面よりも上方に突出して設けられた前記トレイのマスク支持部にリング状のマスクを重ね合わせて、前記トレイ及び前記マスクで前記基板の外縁部を覆った状態で前記基板を保持し、
     前記基板を保持した前記トレイ及び前記マスクを回転ステージに向けて移動させ、前記基板における前記外縁部を前記トレイ載置部側に突出させた状態で前記トレイ及び前記マスクから露出している面を前記回転ステージの静電吸着面に吸着させると共に、前記トレイを、前記静電吸着面よりも外周側であって且つ前記静電吸着面よりも下方に設けられたトレイ載置部に載置させることで、前記トレイ及び前記トレイに重ね合わされた前記マスクが前記基板の外縁部に対して離間して前記外縁部を覆う状態にし、この状態で前記回転ステージを回転させつつ前記基板に対する処理を行い、
     前記基板の処理の後、前記トレイが前記トレイ載置部に載置されて前記トレイ及び前記トレイに重ね合わされた前記マスクが前記基板の外縁部に対して離間して前記外縁部を覆う状態を保ったまま、前記基板に対する静電吸着力の解除を行うことを特徴とする基板処理方法。
PCT/JP2009/065233 2008-09-08 2009-09-01 基板保持部材、基板処理装置、基板処理方法 WO2010026955A1 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2010527782A JP5001432B2 (ja) 2008-09-08 2009-09-01 基板処理装置及び基板処理方法
DE200911002156 DE112009002156T5 (de) 2008-09-08 2009-09-01 Substrathalteelement, Substratverarbeitungsvorrichtung und Substratverarbeitungsverfahren
US13/062,740 US9099513B2 (en) 2008-09-08 2009-09-01 Substrate processing apparatus, and substrate processing method
KR1020117007780A KR101533138B1 (ko) 2008-09-08 2009-09-01 기판 처리 장치 및 기판 처리 방법
CN2009801351534A CN102150251B (zh) 2008-09-08 2009-09-01 基板处理装置及基板处理方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008229484 2008-09-08
JP2008-229484 2008-09-08

Publications (1)

Publication Number Publication Date
WO2010026955A1 true WO2010026955A1 (ja) 2010-03-11

Family

ID=41797123

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2009/065233 WO2010026955A1 (ja) 2008-09-08 2009-09-01 基板保持部材、基板処理装置、基板処理方法

Country Status (7)

Country Link
US (1) US9099513B2 (ja)
JP (1) JP5001432B2 (ja)
KR (1) KR101533138B1 (ja)
CN (1) CN102150251B (ja)
DE (1) DE112009002156T5 (ja)
TW (1) TWI421976B (ja)
WO (1) WO2010026955A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103426790A (zh) * 2012-05-24 2013-12-04 上海宏力半导体制造有限公司 一种防止晶片边缘碎裂的装置
JP2013254902A (ja) * 2012-06-08 2013-12-19 Panasonic Corp トレイ、プラズマ処理装置、プラズマ処理方法、およびカバー部材
JP2016122837A (ja) * 2014-12-12 2016-07-07 ラム リサーチ コーポレーションLam Research Corporation キャリアリング構造及びこれを含むチャンバシステム
CN107210252A (zh) * 2014-11-26 2017-09-26 冯·阿登纳有限公司 基板保持装置、基板运送装置、处理布置和用于处理基板的方法
CN110605674A (zh) * 2019-06-21 2019-12-24 日月光半导体制造股份有限公司 环状件及晶片夹持组件
CN111052317A (zh) * 2017-10-17 2020-04-21 应用材料公司 静电基板支撑件几何形状的抛光
JP2021143411A (ja) * 2020-03-13 2021-09-24 東京エレクトロン株式会社 スパッタ装置
JP2022519254A (ja) * 2019-02-05 2022-03-22 アプライド マテリアルズ インコーポレイテッド 堆積プロセスのためのマスクのチャッキングのための基板支持体

Families Citing this family (295)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9099513B2 (en) * 2008-09-08 2015-08-04 Shibaura Mechatronics Corporation Substrate processing apparatus, and substrate processing method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011094230A2 (en) * 2010-01-27 2011-08-04 Applied Materials, Inc. Life enhancement of ring assembly in semiconductor manufacturing chambers
US8402628B2 (en) * 2010-07-22 2013-03-26 Primestar Solar, Inc. Apparatus, carrier, and method for securing an article for coating processes
CN102543810A (zh) * 2010-12-27 2012-07-04 无锡华润上华科技有限公司 晶圆片承载座
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101173578B1 (ko) * 2012-03-09 2012-08-13 윈텍 주식회사 정전 유도 흡착식 전자부품 검사 테이블
JP2015527692A (ja) * 2012-06-12 2015-09-17 アクセリス テクノロジーズ, インコーポレイテッド ワークピースキャリア
US9064673B2 (en) * 2012-06-12 2015-06-23 Axcelis Technologies, Inc. Workpiece carrier
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10446710B2 (en) * 2012-12-13 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Transfer chamber and method of using a transfer chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9425077B2 (en) * 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN103882375B (zh) * 2014-03-12 2016-03-09 京东方科技集团股份有限公司 一种掩膜板及其制作方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP2016040800A (ja) * 2014-08-12 2016-03-24 アズビル株式会社 プラズマエッチング装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US20170309455A1 (en) * 2016-04-25 2017-10-26 Toyota Jidosha Kabushiki Kaisha Plasma apparatus
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6837911B2 (ja) * 2017-05-17 2021-03-03 株式会社Screenホールディングス 熱処理装置
KR102417931B1 (ko) * 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) * 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
CN108022829A (zh) * 2017-11-30 2018-05-11 武汉华星光电半导体显示技术有限公司 一种基板及其制备方法、***以及显示面板
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
DE102018102766B4 (de) * 2018-02-07 2019-10-31 Uwe Beier Trägervorrichtung für ein flaches Substrat und Anordnung aus einer Handhabungsvorrichtung und einer solchen Trägervorrichtung
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US20190259635A1 (en) * 2018-02-17 2019-08-22 Applied Materials, Inc. Process kit for processing reduced sized substrates
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
CN108374149B (zh) * 2018-03-12 2019-12-03 内蒙古中天宏远再制造股份公司 一种金属表面富含稀土元素超耐磨合金层的生产装置
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020155489A (ja) 2019-03-18 2020-09-24 キオクシア株式会社 半導体製造装置および半導体装置の製造方法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
WO2020211084A1 (en) * 2019-04-19 2020-10-22 Applied Materials, Inc. Methods of forming a metal containing material
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US10964584B2 (en) * 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07335616A (ja) * 1994-06-06 1995-12-22 Hitachi Ltd ウエハ処理装置
JP2003059998A (ja) * 2001-08-13 2003-02-28 Anelva Corp トレイ式マルチチャンバー基板処理装置及びトレイ式基板処理装置
JP2004022571A (ja) * 2002-06-12 2004-01-22 Toyota Motor Corp ウエハ支持治具およびそれを用いた半導体素子製造方法
JP2008047841A (ja) * 2006-08-21 2008-02-28 Advantest Corp 保持冶具

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5985033A (en) * 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
US6063440A (en) * 1997-07-11 2000-05-16 Applied Materials, Inc. Method for aligning a wafer
JP4203206B2 (ja) * 2000-03-24 2008-12-24 株式会社日立国際電気 基板処理装置
JP2003282670A (ja) * 2002-03-27 2003-10-03 Dainippon Screen Mfg Co Ltd 基板保持アーム、基板搬送装置、基板処理装置および基板保持方法
JP4312204B2 (ja) * 2003-11-27 2009-08-12 株式会社日立国際電気 基板処理装置、基板保持具、及び半導体装置の製造方法
KR100673003B1 (ko) * 2005-06-03 2007-01-24 삼성전자주식회사 증착 장치
JP2007234882A (ja) * 2006-03-01 2007-09-13 Dainippon Screen Mfg Co Ltd 基板処理装置および基板取り扱い方法
JP2008084902A (ja) * 2006-09-26 2008-04-10 Hitachi Kokusai Electric Inc 基板処理装置
US9099513B2 (en) * 2008-09-08 2015-08-04 Shibaura Mechatronics Corporation Substrate processing apparatus, and substrate processing method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07335616A (ja) * 1994-06-06 1995-12-22 Hitachi Ltd ウエハ処理装置
JP2003059998A (ja) * 2001-08-13 2003-02-28 Anelva Corp トレイ式マルチチャンバー基板処理装置及びトレイ式基板処理装置
JP2004022571A (ja) * 2002-06-12 2004-01-22 Toyota Motor Corp ウエハ支持治具およびそれを用いた半導体素子製造方法
JP2008047841A (ja) * 2006-08-21 2008-02-28 Advantest Corp 保持冶具

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103426790A (zh) * 2012-05-24 2013-12-04 上海宏力半导体制造有限公司 一种防止晶片边缘碎裂的装置
JP2013254902A (ja) * 2012-06-08 2013-12-19 Panasonic Corp トレイ、プラズマ処理装置、プラズマ処理方法、およびカバー部材
CN107210252B (zh) * 2014-11-26 2021-05-25 冯·阿登纳资产股份有限公司 基板保持装置、基板运送装置、处理布置和用于处理基板的方法
CN107210252A (zh) * 2014-11-26 2017-09-26 冯·阿登纳有限公司 基板保持装置、基板运送装置、处理布置和用于处理基板的方法
JP2016122837A (ja) * 2014-12-12 2016-07-07 ラム リサーチ コーポレーションLam Research Corporation キャリアリング構造及びこれを含むチャンバシステム
CN111052317A (zh) * 2017-10-17 2020-04-21 应用材料公司 静电基板支撑件几何形状的抛光
CN111052317B (zh) * 2017-10-17 2023-10-20 应用材料公司 静电基板支撑件几何形状的抛光
JP2022519254A (ja) * 2019-02-05 2022-03-22 アプライド マテリアルズ インコーポレイテッド 堆積プロセスのためのマスクのチャッキングのための基板支持体
JP7259060B2 (ja) 2019-02-05 2023-04-17 アプライド マテリアルズ インコーポレイテッド 堆積プロセスのためのマスクのチャッキングのための基板支持体
US11967516B2 (en) 2019-02-05 2024-04-23 Applied Materials, Inc. Substrate support for chucking of mask for deposition processes
CN110605674A (zh) * 2019-06-21 2019-12-24 日月光半导体制造股份有限公司 环状件及晶片夹持组件
CN110605674B (zh) * 2019-06-21 2021-12-28 日月光半导体制造股份有限公司 环状件及晶片夹持组件
JP2021143411A (ja) * 2020-03-13 2021-09-24 東京エレクトロン株式会社 スパッタ装置
JP7398988B2 (ja) 2020-03-13 2023-12-15 東京エレクトロン株式会社 スパッタ装置

Also Published As

Publication number Publication date
JP5001432B2 (ja) 2012-08-15
CN102150251A (zh) 2011-08-10
TWI421976B (zh) 2014-01-01
US20110159200A1 (en) 2011-06-30
JPWO2010026955A1 (ja) 2012-02-02
DE112009002156T5 (de) 2012-01-12
TW201021155A (en) 2010-06-01
US9099513B2 (en) 2015-08-04
CN102150251B (zh) 2013-06-19
KR101533138B1 (ko) 2015-07-01
KR20110053383A (ko) 2011-05-20

Similar Documents

Publication Publication Date Title
JP5001432B2 (ja) 基板処理装置及び基板処理方法
TWI707970B (zh) 具有整合遮件庫的預清洗腔室
US11133210B2 (en) Dual temperature heater
JP4703050B2 (ja) 基板のデチャック方法及び装置
US7922440B2 (en) Apparatus and method for centering a substrate in a process chamber
TWI570835B (zh) 用於基板處理室的兩片擋板盤組件
JP6526795B2 (ja) 基板の保持方法
JP5090536B2 (ja) 基板処理方法及び基板処理装置
JP2010126789A (ja) スパッタ成膜装置
TWI829685B (zh) 具有自定心特徵的兩件式快門盤組件
WO2005076343A1 (ja) 半導体処理用の基板保持具及び処理装置
JP2003059998A (ja) トレイ式マルチチャンバー基板処理装置及びトレイ式基板処理装置
CN116798931A (zh) 薄膜沉积装置
JP7398988B2 (ja) スパッタ装置
JP7176361B2 (ja) 基板処理方法及び基板処理装置
TW202204653A (zh) 薄膜沉積設備及薄膜沉積方法
JPH10156781A (ja) ウエハハンドリング装置

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980135153.4

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09811481

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2010527782

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 13062740

Country of ref document: US

ENP Entry into the national phase

Ref document number: 20117007780

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 09811481

Country of ref document: EP

Kind code of ref document: A1