TWI707970B - 具有整合遮件庫的預清洗腔室 - Google Patents

具有整合遮件庫的預清洗腔室 Download PDF

Info

Publication number
TWI707970B
TWI707970B TW108115989A TW108115989A TWI707970B TW I707970 B TWI707970 B TW I707970B TW 108115989 A TW108115989 A TW 108115989A TW 108115989 A TW108115989 A TW 108115989A TW I707970 B TWI707970 B TW I707970B
Authority
TW
Taiwan
Prior art keywords
shutter
processing chamber
shutter assembly
robot
substrate
Prior art date
Application number
TW108115989A
Other languages
English (en)
Other versions
TW202003892A (zh
Inventor
振雄 蔡
安恩克斯納 朱普迪
薩拉斯 巴布
曼裘那薩P 高帕
高濱宏行
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202003892A publication Critical patent/TW202003892A/zh
Application granted granted Critical
Publication of TWI707970B publication Critical patent/TWI707970B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3447Collimators, shutters, apertures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Robotics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本揭示案提供具有整合遮件庫的基板處理腔室。在一些實施例中,預清洗基板處理腔室可包括腔室主體、基板支撐件、遮盤庫及遮盤組件機構,其中腔室主體包括第一側和第二側,第一側經配置附接於主框架基板處理工具,第二側經與第一側相對設置,基板支撐件經配置當基板設置在其上時支撐基板,遮盤庫設置在處理腔室的第二側上,遮盤組件機構包含可旋轉的軸及耦接到軸的機器人遮件臂,其中機器人遮件臂包含遮盤組件支撐部分,遮盤組件支撐部分經配置支撐遮盤組件,且其中遮盤組件機構經配置將該機器人遮件臂在儲存位置與處理位置之間移動,該儲存位置在該遮件庫內,該處理位置在該處理腔室內且在該基板支撐件上方。

Description

具有整合遮件庫的預清洗腔室
本揭示案的實施例一般係關於基板處理腔室的領域,且更具體地係關於具有整合遮件庫的預清洗腔室。
傳統的半導體元件形成通常在一個或多個處理腔室中施行,該處理腔室具有在受控處理環境中處理基板(如半導體晶圓)的能力。為了維持處理均勻性並確保處理腔室的最佳效能,週期性地施行各種調節操作。例如,在物理氣相沉積(PVD)處理腔室中,一種常用的調節操作是「預燒(burn-in)」製程,其中用電漿離子撞擊設置在PVD處理腔室中的靶以在施行基板處理之前,將氧化物或其他污染物自靶中去除。另一個常用的調節操作是「黏貼」製程,其中在沉積於處理腔室表面上的材料上施加覆蓋物,以防止材料從處理腔室表面剝落並在隨後的製程中污染基板。另一個操作是「預清洗」操作。在預清洗腔室中使用預清洗製程來原位去除有機殘留物和原生氧化物以確保清潔表面,從而促進低接觸電阻和優異的附著力。
在所有上述調節/預清洗操作中,遮盤可經由傳送機器人定位在處理腔室中設置的基板支撐件的頂部上,以防止任何材料沉積在基板支撐件上。遮盤通常包括具有足夠的機械剛度的材料,以抵抗由於沉積材料的額外重量引起的變形。例如,遮盤通常包括金屬合金,如不銹鋼或陶瓷(如碳化矽)。
然而,發明人已經觀察到在調節和預清洗製程中,遮盤會加熱。由於盤上的熱梯度和/或沉積,遮盤可能產生來自遮盤的頂表面和底表面之間的熱失配的應力,例如,導致遮盤變形(例如,在端部彎曲)。這種翹曲/變形產生間隙,這導致電漿透過間隙暴露於基板支撐件。基板支撐件上的金屬沉積可能導致基板晶圓發生電弧、基板晶圓黏附和/或斷裂、如果基板支撐件是靜電吸盤則靜電吸附力可能減小等。
另外,遮盤通常被儲存在處理區域之外且在使用期間被緩衝腔室機器人移動到所需的位置。為了使機器人能夠搬運盤,必須使遮盤的重量和厚度最小化。在黏貼和預燒製程期間,這些較輕重量/較低厚度的遮盤變形較多。
已經嘗試了各種解決方案來解決上述問題。例如,已經嘗試使用較低的RF功率、較長的冷卻週期以及向遮盤的背面添加冷卻氣體。然而,發明人已經觀察到這些解決方案中沒有一種足以保護基板支撐件免受不當的材料沉積。
因此,本揭示案提供了改良的兩件式遮盤組件。
本揭示案提供具有整合遮件庫的基板處理腔室。在一些實施例中,預清洗基板處理腔室可包括腔室主體、基板支撐件、遮盤庫及遮盤組件機構,其中腔室主體包括第一側和第二側,第一側經配置附接於主框架基板處理工具,第二側經與第一側相對設置,基板支撐件經配置當基板設置在其上時支撐基板,遮盤庫設置在處理腔室的第二側上,遮盤組件機構包含可旋轉的軸及耦接到軸的機器人遮件臂,其中機器人遮件臂包含遮盤組件支撐部分,遮盤組件支撐部分經配置支撐遮盤組件,且其中遮盤組件機構經配置將該機器人遮件臂在儲存位置與處理位置之間移動,該儲存位置在該遮件庫內,該處理位置在該處理腔室內且在該基板支撐件上方。
以下更詳細地揭露了本揭示案的其他實施例和變化。
本揭示案的實施例一般係關於整合遮件庫和用於移動遮盤組件的遮件臂組件(其用於基板處理腔室,例如半導體製造處理腔室),以及係關於包含此遮盤組件的基板處理腔室。在一些實施例中,本發明的設備包括在預清洗腔室上的整合遮件庫和遮件臂組件。藉由將其放置在處理腔室的背面上,本發明的設備可有利地減少與其他腔室的干擾。此外,本揭示案的實施例可以透通過使用用於預清洗與其他基板處理操作之設置在處理腔室背面的整合遮件庫以及相關的遮盤組件來改善套件壽命、控制缺陷、提高產量並防止交叉污染。
圖1是結合本揭示案的一些實施例使用的示例性處理腔室100的示意圖。在一些實施例中,處理腔室100可以是經組合以形成多腔室處理系統(如叢集工具)的複數個腔室中的一個。或者,處理腔室100可以是獨立的處理腔室。在一些實施例中,處理腔室100可以是沉積腔室,例如物理氣相沉積(PVD)腔室。或者,處理腔室100可以是任何合適的處理腔室,其中遮盤組件可用於在腔室清洗和/或陳化製程期間保護基板支撐件免受損壞。
處理腔室100包括腔室主體102和蓋組件104,蓋組件104界定可抽空的處理空間106。腔室主體102大體包括一個或多個側壁108和底部110。一個或多個側壁108可以是單一圓形側壁或是在處理腔室中具有非圓形配置的多個側壁。側壁大體含有遮盤組件端口112。在一些實施例中,位於處理腔室100外部的遮件庫113可儲放遮盤組件140以及透過處理腔室100中的遮盤組件端口112將遮盤組件140移動到處理腔室100中。殼體116大致覆蓋遮盤組件端口112,以保持處理空間106內的真空的完整性。可在側壁中提供額外的端口,如可密封的進出端口,以提供從處理腔室100進入和離開基板114。泵送端口可設置在腔室主體102的側壁和/或底部中,且耦接到泵送系統,該泵送系統抽空並控制處理空間106內的壓力。
腔室主體102的蓋組件104大致支撐環形屏蔽件118,環形屏蔽件118支撐遮蔽環120。遮蔽環120大致經配置將沉積限制在經由遮蔽環120的中心暴露的基板114的一部分。蓋組件104大致包括靶122和磁控管124。
靶122提供在沉積製程期間沉積在基板114上的材料,而磁控管124在處理期間增強靶材料的均勻消耗。靶122和基板支撐126藉由電源128彼此相對偏壓。惰性氣體(如氬)從氣源130供應到處理空間106。氣體在基板114和靶122之間形成電漿。電漿內的離子朝向靶122加速並使材料自靶122去除(dislodged)。被去除的靶材料被吸引向基板114並在其上沉積材料膜。
基板支撐件126大致設置在腔室主體102的底部110上,並在處理期間支撐基板114。遮盤組件機構132大致設置在基板支撐件126附近。遮盤組件機構132大致包括機器人遮件臂134與致動器136,機器人遮件臂134支撐遮盤組件140,致動器136由軸138耦接至機器人遮件臂134以控制機器人遮件臂134的位置。機器人遮件臂134可在圖1所示的縮回或清除位置與第二位置之間移動,第二位置將遮盤組件140直接放置在基板支撐件126上方以及與基板支撐件126實質同心。致動器136可以是適於使軸138旋轉一定角度的任何裝置,該角度使機器人遮件臂134在清除位置和第二位置之間移動。
在一些實施例中,遮盤組件140包括上盤構件142和下載體構件144。儘管在此描述為兩件式組件,但是遮盤組件可包括額外的部件。另外,儘管在此描述為盤,但是遮盤組件及其部件可具有任何合適的幾何形狀,以用於保護特定處理腔室內的基板支撐件。在一些實施例中,上盤構件142本身可以是靶且用於黏貼製程。下載體構件144圍繞上盤構件142靶形成電絕緣阻隔件。這允許使用上盤構件142作為靶的黏貼製程,同時防止對基板支撐件的電弧作用。下載體構件144和上盤構件142相對於彼此可移動地設置或耦接,使得下載體構件144和上盤構件142可相對於各自移動,例如,以允許獨立的部件熱膨脹和收縮。在一些實施例中,上盤構件142可僅靜置在下載體構件144上。
在一些實施例中,如圖5中所示的第一中央自置中特徵500形成遮盤組件。在一些實施例中,對準插塞502設置在機器人遮件臂134中形成的空腔506中,且切合到載體構件144的底表面形成中的空腔中,以使整個遮盤組件140在機器人遮件臂134上自對準。對準插塞502可以是如圖所示的錐形,或者可具有其他幾何形狀以利於對準。在一些實施例中,對準插塞502包括開口504,該開口504穿過該對準插塞的中心形成以用於減輕重量或減小當其移動時的慣性。在一些實施例中,對準插塞502可形成為機器人遮件臂134的部分,或者形成為如圖5所示的單獨部件。在一些實施例中,對準插塞502由與機器人遮件臂134相同的材料製成,或者可由電絕緣材料製成或塗覆電絕緣材料。如圖5所示,中央自對準(self-alignment)組件500對準上盤構件142、載體構件144和機器人遮件臂134,其包括每個對準特徵之間的間隙,以允許例如因熱膨脹與收縮引起的上盤構件142徑向移動或相對於下載體構件144變形,以及下載體構件144相對於機器人閘門臂134移動。
如圖2所示,遮件庫113設置在處理腔室101的背面上,這有利地減少了與其他腔室204的干擾。這允許處理腔室101附接於主框架202而不會干擾腔室204或裝載/卸載模組206。在典型的PVD腔室中,由於遮盤組件140的重量,遮件庫設置在處理腔室101的側面,這需要重型和更大的機器人遮件臂。藉由使用較輕重量的機器人遮件臂134和遮盤組件140,遮件庫113可定位在處理腔室的後部。
圖3繪示遮件庫、機器人遮件臂134和處理腔室100的俯視橫截面視圖。如圖3所示,機器人遮件臂134包括遮盤組件支撐部分302,遮盤組件支撐部分302支撐遮盤組件140。遮盤組件支撐部分302包括一個或多個減重孔304。遮盤組件支撐部分302亦可包括空腔506和/或(作為上述中央自對準組件500的一部分的)對準插塞502,以利於上盤構件142、載體構件144和機器人遮件臂134的對準,如圖4所示。在一些實施例中,機器人遮件臂134亦包括一個或多個臂孔310,其用於減輕重量和/或對準目的。機器人遮件臂134包括複數個安裝孔/螺栓306,以用於將機器人遮件臂134安裝於軸138。機器人遮件臂134繞中心軸線軸308樞轉。
在一些實施例中,機器人遮件臂134的形狀使得其設計成減輕重量,以及因此減小運動期間的慣性。例如,如圖3所示,機器人遮件臂134不僅包括減重孔304/310,且進一步包括用於支撐具有彎曲之遮盤組件140的最小表面積。在一些實施例中,遮盤組件支撐部分302的表面積係在遮盤組件140的底表面的表面積的約15%至約50%之間。在一些實施例中,遮盤組件支撐部分302的表面積係在遮盤組件140的底表面的表面積的約15%至約35%之間。
圖3繪示在遮件庫113內的儲存位置中的機器人遮件臂134。機器人遮件臂134繞中心軸308旋轉,以使其攜帶之機器人遮件臂134和遮盤組件140移動到基板114上方,使得遮盤組件140覆蓋基板114。
雖然前面所述係針對本揭示案的實施例,但在不背離本揭示案的基本範圍下,可設計本揭示案的其他與進一步的實施例,且本揭示案的範圍由以下專利申請範圍所界定。
本揭示案之實施例已簡要概述於前,並在以下有更詳盡之討論,可以藉由參考所附圖式中繪示之本揭示的示例性實施例以作瞭解。然而,值得注意的是,所附圖式僅繪示了本揭示案的典型實施例,而由於本揭示案可允許其他等效之實施例,因此所附圖式並不會視為本揭示案範圍之限制。
圖1是適合根據本揭示案的一些實施例使用的示例性處理腔室的示意圖。
圖2是根據本揭示案的一些實施例的用於基板處理的主框架工具的俯視圖,其中複數個基板處理腔室耦接到該主框架。
圖3是根據本揭示案的一些實施例的遮件庫和處理腔室的俯視截面圖。
圖4繪示根據本揭示案的一些實施例的機器人臂機構上的示例性遮盤組件的橫截面透視圖。
圖5繪示根據本揭示案的一些實施例的遮盤組件的自置中特徵的橫截面。
為便於理解,在可能的情況下,使用相同的數字編號代表圖示中相同的元件。為求清楚,圖式未依比例繪示且可能被簡化。可以預期的是一個實施例中的元件與特徵可有利地用於其他實施例中而無需贅述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
100‧‧‧處理腔室
102‧‧‧腔室主體
104‧‧‧蓋組件
106‧‧‧處理空間
108‧‧‧側壁
110‧‧‧底部
112‧‧‧遮盤組件端口
113‧‧‧遮件庫
114‧‧‧基板
116‧‧‧殼體
118‧‧‧環形屏蔽件
120‧‧‧遮蔽環
122‧‧‧靶
124‧‧‧磁控管
126‧‧‧基板支撐件
128‧‧‧電源
130‧‧‧氣源
132‧‧‧遮盤組件機構
134‧‧‧機器人遮件臂
136‧‧‧致動器
138‧‧‧軸
140‧‧‧遮盤組件
142‧‧‧上盤組件
144‧‧‧下載體構件
202‧‧‧主框架
204‧‧‧腔室
206‧‧‧裝載/卸載模組
302‧‧‧遮盤組件支撐件
304‧‧‧減重孔
306‧‧‧安裝孔/螺栓
308‧‧‧中心軸線軸
310‧‧‧臂孔
502‧‧‧對準插塞
504‧‧‧開口

Claims (19)

  1. 一種預清洗基板處理腔室,包括:一腔室主體,其中該腔室主體包含一第一側和一背面,該第一側經配置附接於主框架基板處理工具,該背面與第一側相對設置;一基板支撐件,當一基板設置在該基板支撐件上時,該基板支撐件經配置支撐該基板;一遮盤庫,該遮盤庫設置在該處理腔室的該背面上;及一遮盤組件機構,該遮盤組件機構包含:一可旋轉的軸;及一機器人遮件臂,該機器人遮件臂耦接到該軸,其中該機器人遮件臂包含一遮盤組件支撐部分,當一遮盤組件設置在該遮盤組件支撐部分上時,該遮盤組件支撐部分經配置支撐該遮盤組件,以及其中該遮盤組件機構經配置將該機器人遮件臂在一儲存位置與一處理位置之間移動,該儲存位置在該遮件庫內,該處理位置在該處理腔室內且在該基板支撐件上方,其中該預清洗基板處理腔室是經配置與其他腔室組合以形成一多腔室叢集工具的複數個腔室中的一個腔室,且其中當藉由將該遮盤庫放置在該處理腔室的該背面上而組合時,設置在該腔室主體 的該背面上的該遮盤庫的位置減少與該複數個腔室中的其他腔室的干擾。
  2. 如請求項1所述之預清洗基板處理腔室,其中該預清洗基板處理腔室經配置施行預清洗和/或陳化製程。
  3. 如請求項1所述之預清洗基板處理腔室,其中該預清洗基板處理腔室包括一個或多個側壁,且其中該一個或多個側壁中的一個包括一遮盤組件端口。
  4. 如請求項3所述之預清洗基板處理腔室,其中該遮件庫附接於該處理腔室的該背面的一外表面。
  5. 如請求項4所述之預清洗基板處理腔室,其中該遮盤組件機構經配置經由該遮盤組件端口在該遮件庫內的該儲存位置與該處理腔室內的該處理位置之間移動該機器人遮件臂與該遮盤組件。
  6. 如請求項3所述之預清洗基板處理腔室,其中該遮盤組件端口由一殼體覆蓋,該殼體經配置使該預清洗基板處理腔室的一處理空間內保持一真空。
  7. 如請求項1所述之預清洗基板處理腔室,其中該遮盤組件機構進一步包括一致動器,該致動器耦接到該機器人遮件,該致動器經配置控制該機器人遮件臂的該位置。
  8. 如請求項1至7中任一項所述之預清洗基板 處理腔室,其中該機器人遮件臂包括一遮盤組件支撐部分,當該遮盤組件設置於該遮盤組件支撐部分上時,該遮盤組件支撐部分經配置支撐該遮盤組件。
  9. 如請求項8所述之預清洗基板處理腔室,其中該遮盤組件支撐部分包括一個或多個減重孔。
  10. 如請求項8所述之預清洗基板處理腔室,其中該遮盤組件支撐部分的一頂表面的一表面積係在該遮盤組件的一底表面的一表面積的約15%至約35%之間。
  11. 如請求項8所述之預清洗基板處理腔室,其中遮盤組件支撐部分包括一空腔與一對準插塞,該空腔形成在該機器人遮件臂中,該對準插塞設置在該空腔中且經配置對準該遮盤組件和該機器人遮件臂。
  12. 一種基板處理腔室,包括:一腔室主體,其中該腔室主體;一基板支撐件,當一基板設置在該基板支撐件上時,該基板支撐件經配置支撐該基板;一遮盤庫,該遮盤庫附接於該處理腔室的一外表面;一遮盤組件;及一遮盤組件機構,該遮盤組件機構包含:一可旋轉的軸; 一機器人遮件臂,該機器人遮件臂耦接到該軸,其中該機器人遮件臂包含一遮盤組件支撐部分,該遮盤組件支撐部分經配置支撐一遮盤組件,以及其中該遮盤組件機構經配置將該機器人遮件臂在一儲存位置與一處理位置之間移動,該儲存位置在該遮件庫內,該處理位置在該處理腔室內且在該基板支撐件上方;及一中央自對準組件,該中央自對準組件包含一第一空腔與一對準特徵,該第一空腔形成在該遮盤組件的一底表面中,該對準特徵設置在該機器人遮件臂的一頂表面上,該對準特徵設置在該遮盤組件的一底表面中形成的該第一空腔中。
  13. 如請求項12所述之基板處理腔室,其中該機器人遮件臂包括一遮盤組件支撐部分,該遮盤組件支撐部分支撐該遮盤組件,且其中該對準特徵形成在該遮盤組件支撐部分的該頂表面中。
  14. 如請求項13所述之基板處理腔室,其中該對準特徵由一第二空腔和一對準插塞所組成,該第二空腔形成在該機器人遮件臂中,該對準插塞具有一第一端與一第二端,該第一端設置在該遮盤組件的該第一空腔中,該第二端設置在該機器人遮件臂中形成的該第二空腔中。
  15. 如請求項14所述之基板處理腔室,其中該對準插塞的第一端是錐形的。
  16. 如請求項14所述之基板處理腔室,其中該對準插塞包括一開口,該開口穿過該對準插塞的該中心形成以用於減輕重量以及減小移動時的慣性。
  17. 如請求項14所述之基板處理腔室,其中該對準插塞由與該機器人遮件臂相同的材料製成。
  18. 如請求項14所述之基板處理腔室,其中該對準插塞由一電絕緣材料製成或塗覆一電絕緣材料。
  19. 一種預清洗基板處理腔室,包括:一腔室主體,其中該腔室主體包含一第一側和一第二側,該第一側經配置附接於主框架基板處理工具,該第二側與第一側相對設置;一基板支撐件,當一基板設置在該基板支撐件上時,該基板支撐件經配置支撐該基板;一遮盤庫,該遮盤庫設置在該處理腔室的該第二側;一遮盤組件,該遮盤組件包含:一上盤構件,該上盤構件具有一頂表面和一底表面,其中一中央對準凹槽形成在該底表面的一中心中;及一下載體構件,該下載體構件具有含一上支撐表 面的一固體(solid)基部,其中該上支撐表面包含一第一中央自置中特徵,該第一中央自置中特徵設置在該上盤構件的該底表面的該中心中形成的該凹槽中,及其中該上盤構件由該下載體構件支撐;一遮盤組件機構,該遮盤組件機構包含:一可旋轉的軸;及一機器人遮件臂,該機器人遮件臂耦接到該軸,其中該機器人遮件臂包含一遮盤組件支撐部分,該遮盤組件支撐部分經配置支撐設置在其上的該遮盤組件,以及其中該遮盤組件機構經配置將該機器人遮件臂在一儲存位置與一處理位置之間移動,該儲存位置在該遮件庫內,該處理位置在該處理腔室內且在該基板支撐件上方;及一中央自對準組件,該中央自對準組件包含一第一空腔、一第二空腔與一對準插塞,該第一空腔形成在該下載體構件的該底表面中,該第二空腔形成在該機器人遮件臂的一頂表面,該對準插塞具有一第一端與一第二端,該第一端設置在該下載體構件的該第一空腔中,該第二端設置在該機器人遮件臂中形成的該第二空腔中。
TW108115989A 2018-05-12 2019-05-09 具有整合遮件庫的預清洗腔室 TWI707970B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862670749P 2018-05-12 2018-05-12
US62/670,749 2018-05-12
US16/405,070 2019-05-07
US16/405,070 US11251028B2 (en) 2018-05-12 2019-05-07 Pre-clean chamber with integrated shutter garage

Publications (2)

Publication Number Publication Date
TW202003892A TW202003892A (zh) 2020-01-16
TWI707970B true TWI707970B (zh) 2020-10-21

Family

ID=68464882

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108115989A TWI707970B (zh) 2018-05-12 2019-05-09 具有整合遮件庫的預清洗腔室

Country Status (5)

Country Link
US (1) US11251028B2 (zh)
KR (1) KR102500219B1 (zh)
CN (1) CN112088227B (zh)
TW (1) TWI707970B (zh)
WO (1) WO2019221972A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021118249A (ja) * 2020-01-24 2021-08-10 東京エレクトロン株式会社 プラズマ処理装置
JP7454959B2 (ja) * 2020-03-03 2024-03-25 東京エレクトロン株式会社 基板搬送システムおよび大気搬送モジュール
US11817331B2 (en) * 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11545347B2 (en) 2020-11-05 2023-01-03 Applied Materials, Inc. Internally divisible process chamber using a shutter disk assembly
TWI792182B (zh) * 2021-02-08 2023-02-11 台灣積體電路製造股份有限公司 校正方法及半導體製造設備
CN114908329B (zh) * 2021-02-08 2024-03-08 台湾积体电路制造股份有限公司 校正方法及半导体制造设备
US11823964B2 (en) * 2021-04-16 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition system and method
TWI815135B (zh) * 2021-06-29 2023-09-11 天虹科技股份有限公司 開合式遮蔽構件及具有開合式遮蔽構件的薄膜沉積機台
TW202314949A (zh) * 2021-07-27 2023-04-01 瑞士商艾維太克股份有限公司 處理擋板裝置
TWI788035B (zh) * 2021-09-30 2022-12-21 天虹科技股份有限公司 用以感測遮蔽機構開合的薄膜沉積機台
GB202119151D0 (en) 2021-12-31 2022-02-16 Spts Technologies Ltd Method of operating a pvd apparatus

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140271081A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Shutter blade and robot blade with cte compensation

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5358615A (en) 1993-10-04 1994-10-25 Motorola, Inc. Process for forming a sputter deposited metal film
US6045670A (en) 1997-01-08 2000-04-04 Applied Materials, Inc. Back sputtering shield
US6098637A (en) * 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US7008517B2 (en) 2002-02-20 2006-03-07 Applied Materials, Inc. Shutter disk and blade for physical vapor deposition chamber
US6669829B2 (en) 2002-02-20 2003-12-30 Applied Materials, Inc. Shutter disk and blade alignment sensor
US20040245098A1 (en) 2003-06-04 2004-12-09 Rodger Eckerson Method of fabricating a shield
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
KR20070121838A (ko) * 2005-04-14 2007-12-27 탱고 시스템즈 인코포레이티드 스퍼터링 시스템
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
CN101410931B (zh) 2006-03-28 2011-02-16 贝卡尔特股份有限公司 涂覆设备
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US9224582B2 (en) 2007-11-29 2015-12-29 Applied Materials, Inc. Apparatus and method for depositing electrically conductive pasting material
KR101405346B1 (ko) 2008-01-04 2014-06-12 삼성디스플레이 주식회사 기판 지지대, 이를 포함하는 기판 처리 장치 및 기판 정렬방법
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8807075B2 (en) 2008-09-22 2014-08-19 Applied Materials, Inc. Shutter disk having a tuned coefficient of thermal expansion
WO2010116560A1 (ja) 2009-03-30 2010-10-14 キヤノンアネルバ株式会社 半導体装置の製造方法及びスパッタ装置
TWI431668B (zh) 2009-06-24 2014-03-21 Ulvac Inc 真空成膜裝置及真空成膜裝置之擋板位置檢測方法
DE202010015933U1 (de) 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
CN104040691B (zh) 2011-12-27 2016-09-07 佳能安内华股份有限公司 基板热处理装置
JP5998654B2 (ja) 2012-05-31 2016-09-28 東京エレクトロン株式会社 真空処理装置、真空処理方法及び記憶媒体
US9252002B2 (en) * 2012-07-17 2016-02-02 Applied Materials, Inc. Two piece shutter disk assembly for a substrate process chamber
JP6163064B2 (ja) 2013-09-18 2017-07-12 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7404268B2 (ja) * 2018-04-18 2023-12-25 アプライド マテリアルズ インコーポレイテッド 自己センタリング特徴を有するツーピースシャッタディスクアセンブリ

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140271081A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Shutter blade and robot blade with cte compensation

Also Published As

Publication number Publication date
TW202003892A (zh) 2020-01-16
CN112088227A (zh) 2020-12-15
KR20200141537A (ko) 2020-12-18
WO2019221972A1 (en) 2019-11-21
US11251028B2 (en) 2022-02-15
US20190348264A1 (en) 2019-11-14
CN112088227B (zh) 2022-09-30
KR102500219B1 (ko) 2023-02-14

Similar Documents

Publication Publication Date Title
TWI707970B (zh) 具有整合遮件庫的預清洗腔室
US11049761B2 (en) Shutter disk for physical vapor deposition chamber
JP5001432B2 (ja) 基板処理装置及び基板処理方法
US9252002B2 (en) Two piece shutter disk assembly for a substrate process chamber
JP5166291B2 (ja) ノッチ付き堆積リング
TWI829685B (zh) 具有自定心特徵的兩件式快門盤組件
US8807075B2 (en) Shutter disk having a tuned coefficient of thermal expansion
TWI777243B (zh) 薄膜沉積腔、多功能遮蔽盤以及多功能遮蔽盤的使用方法
US20190301007A1 (en) Thermally optimized rings
JPWO2011007753A1 (ja) 基板処理装置
JP2023535735A (ja) ペースティングプロセス中の保護ディスクを用いた基板ホルダ交換
JP2023527342A (ja) 高温真空分離処理ミニ環境
US20230290656A1 (en) Apparatus for transferring member to be disposed in substrate processing chamber, substrate processing system, and method for transferring member