TWI770096B - 接合結構 - Google Patents

接合結構 Download PDF

Info

Publication number
TWI770096B
TWI770096B TW106144839A TW106144839A TWI770096B TW I770096 B TWI770096 B TW I770096B TW 106144839 A TW106144839 A TW 106144839A TW 106144839 A TW106144839 A TW 106144839A TW I770096 B TWI770096 B TW I770096B
Authority
TW
Taiwan
Prior art keywords
conductive
interface feature
conductive interface
feature
interface
Prior art date
Application number
TW106144839A
Other languages
English (en)
Other versions
TW201838125A (zh
Inventor
亮 王
拉杰詡 卡特卡
賈維爾A 迪拉克魯茲
阿卡谷R 西塔朗母
Original Assignee
美商英帆薩斯邦德科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英帆薩斯邦德科技有限公司 filed Critical 美商英帆薩斯邦德科技有限公司
Publication of TW201838125A publication Critical patent/TW201838125A/zh
Application granted granted Critical
Publication of TWI770096B publication Critical patent/TWI770096B/zh

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00269Bonding of solid lids or wafers to the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00277Processes for packaging MEMS devices for maintaining a controlled atmosphere inside of the cavity containing the MEMS
    • B81C1/00293Processes for packaging MEMS devices for maintaining a controlled atmosphere inside of the cavity containing the MEMS maintaining a controlled atmosphere with processes not provided for in B81C1/00285
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/10Containers; Seals characterised by the material or arrangement of seals between parts, e.g. between cap and base of the container or between leads and walls of the container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/01Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS
    • B81B2207/012Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS the micromechanical device and the control or processing electronics being separate parts in the same package
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/09Packages
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0172Seals
    • B81C2203/019Seals characterised by the material or arrangement of seals between parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/03Bonding two components
    • B81C2203/033Thermal bonding
    • B81C2203/035Soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05551Shape comprising apertures or cavities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05555Shape in top view being circular or elliptic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0605Shape
    • H01L2224/06051Bonding areas having different shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0613Square or rectangular array
    • H01L2224/06134Square or rectangular array covering only portions of the surface to be connected
    • H01L2224/06135Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0615Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/06154Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry covering only portions of the surface to be connected
    • H01L2224/06155Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0616Random array, i.e. array with no symmetry
    • H01L2224/06164Random array, i.e. array with no symmetry covering only portions of the surface to be connected
    • H01L2224/06165Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/065Material
    • H01L2224/06505Bonding areas having different materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08121Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the connected bonding areas being not aligned with respect to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/08237Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bonding area connecting to a bonding area disposed in a recess of the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/2901Shape
    • H01L2224/29016Shape in side view
    • H01L2224/29018Shape in side view comprising protrusions or indentations
    • H01L2224/29019Shape in side view comprising protrusions or indentations at the bonding interface of the layer connector, i.e. on the surface of the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80047Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by mechanical means, e.g. severing, pressing, stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/80948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/11Printed elements for providing electric connections to or between printed circuits
    • H05K1/111Pads for surface mounting, e.g. lay-out

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Micromachines (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明提供一種接合結構,其可包括具有一第一導電性界面特徵之一第一元件及具有一第二導電性界面特徵之一第二元件。一積體裝置可耦接至該第一元件或該第二元件或由該第一元件或該第二元件所形成。該第一導電性界面特徵可直接接合至該第二導電性界面特徵以界定一界面結構。該界面結構可經安置而以一至少部分環狀之輪廓圍繞該積體裝置,以連接該第一元件與該第二元件。

Description

接合結構
技術領域大體上係關於接合結構,且詳言之,係關於提供兩個元件(例如,兩個半導體元件)之間的經改良密封性的接合結構。
在半導體裝置製造及封裝中,一些積體裝置經密封以與外部環境隔絕,以便例如減少污染或防止對積體裝置損害。舉例而言,一些微機電系統(microelectromechanical system;MEMS)裝置包括由利用諸如焊料之黏合劑附接至基板的頂蓋界定之空腔。然而,一些黏合劑可為氣體可滲透的,以使得氣體可隨時間推移而穿過黏合劑進入空腔中。濕氣或諸如氫氣或氧氣之某些氣體可損害敏感性積體裝置。諸如焊料之其他黏合劑產生其自身的長期可靠性問題。因此,保持對用於積體裝置之經改良密封的持續需求。
本發明提供一種接合結構,其可包括具有一第一導電性界面特徵之一第一元件及具有一第二導電性界面特徵之一第二元件。一積體裝置可耦接至該第一元件或該第二元件或由該第一元件或該第二元件所形成。該第一導電性界面特徵可直接接合至該第二導電性界面特徵以界定一界面結構。該界面結構可經安置而以一至少部分環狀之輪廓圍繞該積體裝置,以連接該第一元件與該第二元件。
1:接合結構
2:第二半導體元件
3:第一半導體元件
4:積體裝置
5:空腔
6:壁
7:內表面
8:外表面/外壁
9:外部表面
10:界面結構/接合結構
10A:界面特徵
10B:界面特徵
11:接合層
12:導電性界面特徵
12':導電性界面特徵
12A:導電性界面特徵
12B:導電性界面特徵
13:裂紋阻止器
13A:較寬區段
13B:較窄區段
14:非導電性界面特徵
14':非導電性界面特徵
15:窄部分
16:寬部分
17:區塊
17':區塊
18:寬區塊
19:窄導電性區段
20:電互連件
35:導電性接合/導電性接合區域
36:導電跡線
37:電子組件
37A:電子組件
37B:電子組件
38:電子組件
38A:電子組件
38B:電子組件
38C:電子組件
39:非導電性間隙
80:電子系統
82:裝置封裝
112a:導電性區段
112b:導電性區段
112c:導電性區段
112d:導電性區段
112e:導電性區段
112f:導電性區段
114a:內區域
114b:外區域
g:第一距離
G:間隙
h:第二距離
t:第一寬度
t 0 :界面寬度
t 1 :第一寬度
t 2 :第二寬度
t c :導體寬度
t i1 :非導體寬度
t i2 :非導體寬度
w:第二寬度
x:橫向偏移
y:方向
圖1A為根據各種具體實例之接合結構的示意性側視截面圖。
圖1B至圖1K為沿著接合結構之接合界面界定的界面結構之各種具體實例的部分示意性截面平面圖。
圖2A為圖1A至圖1B中所示之接合結構之界面結構的示意性截面平面圖。
圖2B為具有延伸穿過接合界面之一或多個電互連件之界面結構的示意性截面平面圖。
圖2C為圖1C之界面結構的示意性截面平面圖。
圖2D為具有圍繞空腔安置以界定實際上環狀之輪廓的複數個導電性界面特徵之界面結構的示意性截面平面圖,其中每一導電性界面特徵包含大部分環狀之輪廓。
圖2E為具有圍繞空腔安置以界定實際上環狀之輪廓的複數個導電性界面特徵之界面結構的示意性截面平面圖,其中該複數個導電性特徵包含由間隙間隔的複數個區段。
圖2F為根據一些具體實例之接合結構的示意性側視截面圖。
圖2G為根據各種具體實例之接合結構的示意性側視截面圖。
圖2H及圖2I為包含導電性界面特徵之界面結構的示意性平面圖,如自平面圖所見,該等導電性界面特徵包括導電性圓點之陣列或其他分散形狀。
圖3為接合結構之一部分的示意性側視截面圖,該部分包括與界面結構之導電性界面特徵連接的裂紋阻止器。
圖4A至圖4C為接合結構的示意性平面圖,當對應界面特徵接合在一起時,該等接合結構增加對未對準之容限。
圖5A至圖5D為界面結構的示意性平面圖,當每一半導體元件上之對應界面特徵接合在一起時,該界面結構增加對未對準之容限。
圖6A至圖6B為根據另一具體實例之界面結構的示意性平面圖,當每一半導 體元件上之對應界面特徵接合在一起時,該界面結構增加對未對準之容限。
圖7A為導電性界面特徵的示意性平面圖,其中非導電性界面特徵之複數個內區域安置於由相交的導電性界面特徵界定之交叉網格結構內。
圖7B為藉由接合兩個界面特徵形成之接合界面結構的示意性平面圖。
圖7C為圖7B之接合界面結構的示意性平面圖,其中複數個電互連件安置於非導電性界面特徵之內區域內。
圖8為根據各種具體實例的併有一或多個接合結構之電子系統的示意圖。
本文中所揭示之各種具體實例係關於以實際上密封半導體元件之積體裝置以與外部環境隔絕的方式連接兩個元件(元件可包含半導體元件)的界面結構。舉例而言,在一些具體實例中,接合結構可包含沿著界面結構彼此接合的複數個半導體元件。積體裝置可耦接至半導體元件或由半導體元件形成。舉例而言,在一些具體實例中,接合結構可包含微機電系統(MEMS)裝置,其中頂蓋(第一半導體元件)接合至載體(第二半導體元件)。MEMS元件(積體裝置)可安置於至少部分地由頂蓋及載體界定之空腔中。
在一些配置中,界面結構可包含圍繞積體裝置安置的一或多個導電性界面特徵及一或多個非導電性界面特徵,以連接第一半導體元件及第二半導體元件且界定實際上環狀或實際上閉合之輪廓。在一些具體實例中,界面結構可包含第一導電性界面特徵、第二導電性界面特徵及安置於第一導電性界面特徵與第二導電性界面特徵之間的固態非導電性界面特徵。在一些具體實例中,每一半導體元件可包含相關聯之導電性界面特徵,且導電性界面特徵可彼此直接接合以連接兩個半導體元件。
圖1A為根據各種具體實例之接合結構1的示意性側視截面圖。圖2A為圖1A至圖1B中所示之接合結構1之界面結構10的示意性截面平面圖。接合 結構1可包括沿著界面結構10接合至第二半導體元件2之第一半導體元件3。如本文中所解釋,無需介入黏合劑,第一半導體元件3及第二半導體元件2之對應接合層11可彼此直接接合。如下文所解釋,界面結構10可包括嵌入於周圍非導電性界面特徵14中之導電性界面特徵12。如本文中所解釋,每一元件3、2之接合層11可包括可接合以界定密封之導電性界面特徵及非導電性界面特徵。如圖1A中所示,界面特徵12、14可垂直地延伸至半導體元件中(例如,至接合層11中),以使得界面特徵12、14可在一方向上自一個半導體元件朝向另一半導體元件延伸,例如,相對於接合結構垂直地延伸。第一半導體元件及第二半導體元件可界定空腔5,積體裝置4至少部分地安置於該空腔中。在所說明具體實例中,第一半導體元件3可包含一頂蓋,該頂蓋經塑形以界定空腔,或安置於第二半導體元件2中之空腔上方。舉例而言,半導體元件3可包含壁6,該壁圍繞積體裝置4安置且將空腔5與外部環境隔開。在各種具體實例中,壁6及頂蓋可包含半導體材料,諸如矽。在其他具體實例中,壁6及頂蓋可包含聚合物、陶瓷、玻璃或其他合適材料。空腔5可包含空氣空腔,或可用合適的填充物材料填充。雖然第一元件2及第二元件3在本文中經描述為半導體元件,但在其他具體實例中,第一元件2及第二元件3可包含任何其他合適類型之元件,元件可包含或不包含半導體材料。舉例而言,元件2、3可包含各種類型之光學裝置,在一些具體實例中,光學裝置可不包含半導體材料。
第二半導體元件2可包含具有外部表面9之載體,第一半導體元件3接合至該外部表面。在一些具體實例中,載體可包含基板,諸如半導體基板(例如,具導電性互連件之矽內插件)、印刷電路板(printed circuit board;PCB)、陶瓷基板、玻璃基板或任何其他合適載體。在此等具體實例中,載體可在積體裝置4與較大的封裝結構或電子系統(圖中未示)之間傳送信號。在一些具體實例中,載體可包含積體裝置晶粒,諸如經構以處理由積體裝置4轉導之信號的處 理器晶粒。在所說明具體實例中,積體裝置4包含MEMS元件,諸如MEMS開關、加速度計、迴轉儀等。積體裝置4可耦接至第一半導體元件3或第二半導體元件2或由該第一半導體元件或該第二半導體元件形成。
在一些組態中,將積體裝置晶粒4與外部環境隔離或隔開(例如防止曝露於氣體及/或污染物)至關重要。舉例而言,對於某些積體裝置,曝露於濕氣或氣體(諸如氫氣或氧氣)可損害積體裝置4或其他組件。因此,提供實際上或實質上密封(例如,氣密密封或接近氣密密封)空腔5及積體裝置4以與氣體隔絕的界面結構10至關重要。如圖1A及圖2A中所示,界面結構10可經配置以防止氣體自結構1的外表面8穿過界面結構10達到結構1的內表面7。
所揭示具體實例可利用具有低氣體滲透率之材料且可配置該等材料以減少或消除氣體進入至空腔5中。舉例而言,某些氣體(諸如氫氣)至金屬之滲透率可顯著低於氣體至其他材料(諸如介電材料或聚合物)之滲透率。舉例而言,氫氣可在外表面8處或附近解離成組成分子。解離原子可擴散穿過壁6或界面結構10且在內表面7處或附近重組。氫氣至金屬之擴散率可大致與壓力之平方根成比例。諸如稀有氣體之其他氣體完全不會滲透金屬。藉由比較,氣體可較快地(例如,與壓力成比例)通過聚合物或玻璃(氧化矽)材料,此係因為氣體分子無需在外壁8處解離成原子即可通過。
因此,本文中所揭示之具體實例可有利地使用界定圍繞積體裝置4之實際上環狀或閉合圖案(參見圖2A至圖2E)的金屬來密封接合結構之內部區域(例如,空腔5及/或積體裝置4)以與外部環境及有害氣體隔絕。有利地,在一些具體實例中,金屬圖案可包含積體裝置4周圍的完全閉合之迴路,其可相對於其他配置改良密封性。在一些具體實例中,金屬圖案可包含圍繞裝置4的不完全環狀圖案(例如,大部分或部分環狀),以使得金屬中可存在一或多個間隔。由於氣體至金屬(諸如銅)之滲透率小於氣體至介電質或非導電材料(諸如氧 化矽、氮化矽等)之滲透率,因此界面結構10可針對接合結構1之內部區域提供經改良密封。
然而,在一些具體實例中,可能不希望利用僅包括金屬或寬度相當大的金屬線之界面結構10。若界面結構10包括寬金屬線或圖案,則金屬在化學機械拋光(chemical mechanical polishing;CMP)或其他處理步驟期間可經歷明顯凹陷。金屬線之凹陷可不利地影響將第一半導體元件3之金屬線接合至第二半導體元件2的能力,特別在使用直接金屬至金屬接合技術時。因此,在各種具體實例中,界面結構10可包括一或多個導電性界面特徵12,該一或多個導電性界面特徵嵌入有一或多個非導電性界面特徵14或以其他方式鄰近於該一或多個非導電性界面特徵。該等導電性界面特徵可提供有效障壁,從而防止或減少氣體滲透至空腔5及/或至積體裝置4中。此外,該等導電性界面特徵可足夠薄地形成且可散置或嵌入有非導電性界面特徵,從而減小或消除凹陷之不利影響。
在本文中所揭示之一些具體實例中,界面結構10可由第一半導體元件上之第一界面特徵及第二半導體元件上之第二界面特徵界定。該等第一界面特徵(包括導電性及非導電性特徵)可接合至對應第二界面特徵以界定界面結構10。在一些具體實例中,界面結構10可包含分開地接合至第一半導體元件3及第二半導體元件2之單獨結構。舉例而言,在一些具體實例中,可提供壁6以作為單獨開放式框架,大體上平坦之半導體元件3係面對該框架設置。第二界面結構(圖中未示)可包含一介入結構,其無需介入黏合劑即直接接合在該開放式框架與半導體元件3之間,由此形成與圖1A中所示之空腔類似的圍封空腔5。界面結構10可提供第一半導體元件3與第二半導體元件2之間的機械及/或電連接。在一些具體實例中,界面結構10可僅提供元件3、2之間的機械連接,其可用來密封空腔5及/或積體裝置4以與外部環境隔絕。在其他具體實例中,界面結構10亦可提供元件3、2之間的電連接,以用於例如接地及/或用於傳輸電信號。 如在下文關於圖4A至圖7C所更詳細地解釋,該等導電性界面特徵可彼此直接接合,而無需介入黏合劑且無需施加壓力或電壓。舉例而言,可準備第一及第二界面特徵之接合表面(例如,接合層11)。該等接合表面可經研磨或平坦化,經活化,且用合適物種終止。舉例而言,在各種具體實例中,該等接合表面可經研磨至小於1nm,例如小於0.5nm之均方根(root-mean-square;rms)表面粗糙度。該等經研磨接合表面可藉由輕微蝕刻或電漿終止(termination)來活化。在各種具體實例中,該等接合表面可用氮氣來終止,例如,藉助於使用含氮溶液進行蝕刻或藉由使用利用氮氣之電漿蝕刻。如本文中所解釋,該等接合表面可開始接觸以無需施加壓力即形成直接接合。在一些具體實例中,半導體元件3、2可經加熱以加強接合,例如,導電性特徵之間的接合。直接接合方法之額外細節可至少在美國專利第9,385,024號、第9,391,143號及第9,431,368號中發現,該等美國專利之全部內容係以全文引用之方式且出於所有目的而併入本文中。在一些具體實例中,元件3、2兩者之導電性界面特徵及元件3、2兩者之非導電性界面特徵係同時地彼此直接接合。
應瞭解,雖然所說明具體實例係針對MEMS接合結構,但任何合適類型之積體裝置或結構可結合所揭示具體實例使用。舉例而言,在一些具體實例中,第一半導體元件及第二半導體元件可包含積體裝置晶粒,例如,處理器晶粒及/或記憶體晶粒。另外,雖然所揭示具體實例包括空腔5,但在其他配置中,空腔可不存在。舉例而言,本文中所揭示之具體實例可與任何合適的積體裝置或積體裝置晶粒一起使用,積體裝置或積體裝置晶粒中,可能需要密封主動組件以與外部環境及氣體隔絕。此外,所揭示具體實例可用以實現其他目標。舉例而言,在一些配置中,所揭示界面結構10可用以提供電磁屏蔽物以減少或阻止非所需電磁輻射進入結構1,及/或用以阻止各種類型的信號洩漏。當然,空腔可用任何合適流體來填充,任何合適流體諸如可改良結構1之熱、電或機械特 性的液體、氣體或其他合適物質。
圖1B至圖1K為界面結構10之各種具體實例的示意性部分截面平面圖。將理解,所說明圖案可在諸如圖1A之空腔5的受保護區域周圍完全環狀或不完全環狀(例如,大部分環狀)地延伸,以界定實際上環狀或實際上閉合之輪廓。如本文中所使用,實際上環狀之結構可包括圓形環狀結構,以及界定實際上閉合輪廓(例如,正方形或其他多邊形)的非圓形環狀結構。如圖1B至圖1K中所示,界面結構10可包含一個或複數個導電性界面特徵12及一個或複數個非導電性界面特徵14。如圖1A中所示,導電性特徵12及非導電性特徵14可垂直地延伸穿過第一半導體元件3及/或第二半導體元件2之部分,例如,垂直地穿過接合層11之部分。舉例而言,導電性特徵12及非導電性特徵14可垂直地延伸穿過第一半導體元件3及/或第二半導體元件2(例如,在非平行或垂直於半導體元件3、2之主表面的方向上)達到至少0.05微米、至少0.1微米、至少0.5微米或至少1微米之垂直距離。舉例而言,導電性特徵12及非導電性特徵14可垂直地延伸穿過第一半導體元件3及/或第二半導體元件2達到在0.05微米至5微米範圍內、在0.05微米至4微米範圍內、在0.05微米至2微米範圍內或在0.1微米至5微米範圍內之垂直距離。藉由使導電性特徵12及非導電性特徵14延伸穿過第一半導體元件3及/或第二半導體元件2之部分,導電性特徵12及非導電性特徵14可提供在半導體元件3、2與界面結構10之間無間隙的密封。設置於半導體元件3、2上之導電性特徵12及非導電性特徵14可提供用於接合兩個半導體元件的大體上平坦之表面。
導電性界面特徵12可包含任何合適導體,諸如金屬。舉例而言,導電性界面特徵12可包含諸如空氣、氫氣、氮氣、水、濕氣等之流體/氣體不可充分滲透的銅、鋁或任何其他合適金屬。非導電性界面特徵14可包含任何合適的非導電材料,諸如介電質或半導體材料。舉例而言,在一些具體實例中,非 導電性界面特徵14可包含氧化矽。有利地,導電性界面特徵12及非導電性界面特徵14兩者之使用可提供經改良密封性以防止氣體自外部環境進入至空腔5及/或至裝置4中。如上文所解釋,諸如金屬之導體可通常提供對許多氣體之經改良密封性。然而,與導體、金屬或半導體相比,某些氣體較不容易滲透一些非導電材料(例如,介電質)。在結構上混合導電性特徵12與非導電性特徵14可提供堅固密封以防止許多不同類型之氣體及其他流體進入空腔及/或影響裝置4。
在圖1B之具體實例中,提供了僅一個導電性界面特徵12,其可為完全環狀的。導電性界面特徵12可嵌入於一或多個非導電性界面特徵14中以界定一實際上環狀或實際上閉合之輪廓。舉例而言,在一些具體實例中,導電性界面特徵12可嵌入於塊狀非導電材料中。在其他具體實例中,多層非導電材料可設置於導電性界面特徵12之對置側上。如圖2A中所示,導電性界面特徵12可以完全環狀圖案在空腔5及/或積體裝置4周圍延伸。在圖2A中,舉例而言,導電性界面特徵12以完全環形或閉合形狀圍繞空腔5及/或裝置4延伸,以使得非導電性特徵14之非導電材料不越過導電性界面特徵12或與之相交。然而(例如,參見下文的圖2D及圖2E之描述),在其他具體實例中,在導電性界面特徵12之部分之間可存在一或多個間隙,但不具有至空腔5之直接路徑。在一些具體實例中,導電性界面特徵12之個別元件可為不完全環狀的。舉例而言,導電性界面特徵12之個別元件可為大部分環狀的,例如,圍繞空腔5及/或積體裝置4延伸至少180°、至少270°、至少350°或至少355°(例如,360°),同時合作以界定實際上環狀或閉合之界面結構10。此外,如上文所解釋,導電性界面特徵12可垂直地延伸至且可嵌入於壁6之部分及/或第二半導體元件2之對應部分中。
包括圖1B至圖1K之實例圖案中之任一者的圖1A之結構可例如藉由半導體製造技術形成,半導體製造技術諸如藉由在基板上形成金屬線,其藉由在基板上沈積、圖案化及蝕刻以及沈積氧化物,或藉由鑲嵌處理。理想地, 待接合之金屬線係與周圍非導電材料齊平,或自非導電材料略微(例如,0.5nm至20nm)凹陷或突出地形成。金屬線之環狀或大部分環狀圖案可使用半導體處理而形成於半導體元件3、2兩者上,半導體處理例如將半導體元件彼此直接接合且產生抵抗氣體擴散之有效金屬密封。
界面結構10可具有在1微米至1mm範圍內之界面寬度t 0 。導電性界面特徵12可具有在0.1微米至50微米範圍內之導體寬度t c 。非導電性界面特徵14可具有在0.1微米至1mm範圍內之非導體寬度t i 。如上文所解釋,圖1B中所揭示之界面結構10可有利地提供有效密封以阻止氣體進入空腔5及/或與裝置4相互作用。此外,本文中所揭示之界面結構10可比其他類型之接合或界面薄,此可有利地減小整體封裝佔據面積。
轉而參看圖1C,界面結構10可包括複數個導電性界面特徵12及安置於鄰近導電性界面特徵12之間的介入之固態(例如,非氣態)非導電性界面特徵14。圖2C為圖1C中所示之界面結構10的示意性平面圖。如同圖1B之實施,界面結構12可圍繞積體裝置4安置且可包含以實際上環狀或閉合之輪廓(例如,各種配置中之完全或不完全環形)配置的導電性特徵12,以連接第一半導體元件3與第二半導體元件2。在圖1C及圖2C中,導電性特徵12包含至少一個完全或絕對環形。在其他具體實例中,該等導電性特徵可具有不同形狀,但可經配置以界定實際上環狀或閉合之輪廓。多個導電性特徵12之使用可提供多層高不透性材料,從而減少氣體流入至空腔5中。與較寬特徵相比,利用由非導電性特徵14隔開的多個薄導電性特徵12可減小由為達成給定程度之整體不滲透性之拋光引起的凹陷之影響。因此,在各種具體實例中,多個導電性特徵12可配置在彼此周圍,例如大部分或完全地圍繞裝置4及/或空腔5同心地配置,以提供有效氣體密封。
轉至圖1D,在一些具體實例中,導電性界面特徵12可包含以實 際上環狀或閉合之圖案圍繞空腔5及/或裝置4安置的複數個環狀導體12A,及連接鄰近環狀導體12A的複數個交叉導體12B。有利地,環狀導體12A及交叉導體12B之使用可為利用直接接合之實施(在下文加以解釋)提供增加的接觸面積,且可提供由於導電材料之有益滲透性質的經改良氣體密封。如同圖1B至圖1C之具體實例,在圖1D中,導電性界面特徵12可定界閉合迴路,以使得非導電性特徵14不與導電性特徵12相交或越過導電性特徵。
圖1E至圖1G說明具有扭折的環狀輪廓之導電性界面特徵12,其中複數個導電性區段112a至112c端對端地連接且相對於鄰近區段成角度。如同圖1B至圖1D之具體實例,特徵12可以實際上環狀或閉合之圖案,例如以完全環形,圍繞空腔5及/或裝置4安置。圖1E至圖1G中所說明之扭折輪廓可包含在橫向方向上彼此間隔的第一區段112a及第二區段112c。第一區段112a及第二區段112c可由介入之橫向區段112b連接。第一區段112a及第二區段112c可沿著大體平行於空腔5及/或積體裝置4周圍的至少部分環狀之路徑的方向而定向。橫向區段112c可為橫切或非平行於第一區段112a及第二區段112c而定向。在一些具體實例中,非導電性界面特徵14可能不越過導電性特徵12。
與直線或非扭折之特徵12相比較,導電性界面特徵12之扭折環狀輪廓可有助於直接接合,其具有增加的對未對準之容限,同時保持窄線關於拋光後之凹陷之影響的益處。扭折輪廓可包括任何數目個導電性界面特徵12。舉例而言,圖1E說明具有單一導電性界面特徵12之扭折輪廓。圖1F說明由介入之非導電性界面特徵14橫向地間隔的複數個導電性界面特徵12。如同圖1D,在圖1G中,間隔的環狀導體12A可由交叉導體12B連接。熟習此項技術者將理解,其他圖案可為合適的。
圖1H至圖1K說明具有不規則或Z字形之環狀輪廓的導電性界面特徵12,其中複數個導電性區段112a至112f藉助於一或多個彎曲區域11端對端地 連接且相對於鄰近區段成角度。如圖1H至圖1K中所示,區段112a至112f可以不規則圖案配置,其中區段112a至112f以不同定向成角度及/或具有不同長度。在其他配置中,區段112a至112f可以規則圖案沿著環狀輪廓以相同或週期性之角度配置。在另外其他配置中,導電性特徵12可為彎曲或另外非線性的。相對於直線區段,此等特徵亦可使對未對準之容限增加,同時仍使用更易受凹陷影響且因此較早用於直接金屬至金屬接合中的相對窄的線。
圖2B為具有延伸穿過界面結構10之一或多個電互連件之界面結構10的示意性截面平面圖。如同圖2A,導電性特徵12可圍繞空腔5及/或積體裝置4安置於界面結構10內以界定實際上環狀或閉合之輪廓,例如,完全環狀輪廓。導電性特徵12可包含長度大於寬度(例如,長度為寬度的至少五倍,或為寬度的至少十倍)之細長特徵。然而,不同於圖2A中所示之界面結構10,圖2B之界面結構10包括垂直地延伸穿過一或多個非導電性界面特徵14的一個或複數個電互連件20。電互連件20可與接合結構1之積體裝置4及/或其他組件電通信,從而在結構1之各種組件之間傳送信號。在一些具體實例中,電互連件20可自第一半導體元件3延伸至第二半導體元件2。如圖2B中所示,電互連件20可與導電性界面特徵12在內部間隔且電分離,導電性界面特徵本身亦可用來電連接第一半導體元件3及第二半導體元件2中之電路。在其他具體實例中,電互連件20可在外部與導電性界面特徵12間隔。在另其他具體實例中,如下文所解釋,電互連件20可延伸穿過安置於複數個導電性界面特徵12之間的介入之非導電性界面特徵14。
電互連件20可經由界面結構10提供半導體元件3、2之間的電通信。在非平行或橫切於界面結構10之方向上設置互連件20可因此使界面結構10能夠充當兩個半導體元件3、2之間的機械及電連接。互連件20可包含任何合適的導體,諸如銅、金等。在各種配置中,互連件20可包含導電跡線或矽穿孔。 此外,如上文所提及,在習知互連件20存在或不存在的情況下,界面特徵12亦可充當環狀或大部分環狀之電互連件。
圖2D為具有圍繞空腔5安置以界定實際上環狀或閉合之輪廓的複數個導電性界面特徵12A、12B之界面結構10的示意性截面平面圖,其中每一導電性界面特徵12A、12B包含不完全環狀之特徵,例如,延伸大於180°的大部分環狀之特徵。舉例而言,如圖2D中所示,每一導電性界面特徵12A、12B可包含U形結構,其中特徵12B在內部相對於特徵12A相隔非導電性間隙39而安置。因此,在圖2D中,每一導電性界面特徵12A、12B可包含大部分環狀之輪廓,但在兩個界面特徵12A、12B之間有間隙39,以使得界面特徵12A、12B中之任一者未必界定閉合迴路。圖2D中所示之結構10在減少氣體滲透至空腔5及/或裝置4中方面仍然有效,此係因為導電性界面特徵12A、12B之圖案組合而形成圍繞空腔5的實際上環狀或實際上閉合之結構。某種氣體可滲透穿過間隙39,但該氣體在其可達到空腔5及/或接觸裝置4之前會具有穿過非導電材料之極長路徑,從而克服氣體在非導電材料14中相對於導電性界面特徵12A、12B之導電材料的較高擴散率。應瞭解,雖然本文中展示了兩個特徵12A、12B,但可使用任何合適數目個特徵12。
圖2E為具有圍繞空腔5安置以界定實際上環狀或閉合之輪廓的複數個導電性界面特徵12之界面結構10的示意性截面平面圖,其中該複數個導電性特徵12包含由非導電性間隙39間隔的複數個區段。界定圖2E中所示之每一導電性界面特徵12之該等區段包含線性區段,但在其他具體實例中,該等區段可為彎曲的。在圖2E中,一些或所有導電性界面特徵12自身可不界定大部分環狀圖案。然而,由導電性界面特徵12之所說明配置界定之圖案連在一起可界定實際上環狀或閉合之圖案。因此,即使特定導電性界面特徵12可能並非環狀,但多個導電性界面特徵12之配置可界定實際上環狀或閉合之圖案以密封接合結 構之內部區域,從而防止氣體自外部環境進入內部區域,如圖2E中所示。
圖2A至圖2E之具體實例可相應地包含界面結構10,其包括共同界定實際上環狀或閉合之擴散障壁的導電性界面特徵12及非導電性界面特徵14。舉例而言,特定導電性界面特徵12可包含完全環形或不完全環形(例如,大部分環狀),其與其他導電性及非導電性界面特徵配置,從而界定實際上環狀之圖案或擴散障壁。在一些具體實例中,導電性界面特徵可包含諸如直線或彎曲區段之其他形狀,該等形狀圍繞空腔5及/或裝置4配置,從而界定實際上環狀之圖案或擴散障壁。此外,圖2D及圖2E之具體實例可有利地提供多個導電性區段,其可各自充當單獨電連接件,例如,單獨信號線連接件、接地線連接件及電源線連接件。彼等區段在一起可提供實際上環狀之導電圖案以充當擴散障礙。本文中所描述的實際上環狀之圖案可有利地提供氣體達到結構1之敏感性組件要行進的較長距離,此可減小結構1之滲透性。
圖2F為根據一些具體實例之接合結構1的示意性側視截面圖。圖2F類似於圖1A,以外除外:在圖2F中,第一半導體元件3可包含由半導體元件3之各種部分形成或與半導體元件3之各種部分耦接的一個或複數個電子組件38。舉例而言,如所說明,半導體元件3可包含複數個電子組件38A至38C。電子組件38A至38C可包含任何合適類型之電子組件。電子組件38可包含任何合適類型之裝置,諸如積體電路(例如,一或多個電晶體)或其類似物。在一些具體實例中,電子組件38可藉助於互連件(參見圖2B)及/或藉由導電性界面特徵12而與裝置4、第二半導體元件2及/或其他組件通信。舉例而言,電子組件38可藉助於穿過半導體元件3之一或多個導電跡線36與第二半導體元件2通信。電子組件38及跡線36可藉由諸如沈積、微影、蝕刻等之半導體處理技術來界定,且可與半導體元件3整合。舉例而言,該等跡線可藉由習知後道工序互連金屬化貫穿多個金屬層級而形成。此外,如圖2F中所示,本文中所揭示之具體實例中之任 一者可包括由第二半導體元件2形成(例如,利用半導體處理技術)或與該第二半導體元件耦接的一個或複數個電子組件37。電子組件37可包含諸如積體電路或其類似物的任何合適類型之裝置,且可與裝置4、第一半導體元件3及/或其他組件通信。舉例而言,在一些具體實例中,一或多個電子組件37A可界定在半導體元件2內(例如,埋藏在半導體元件2內或暴露於表面9)。在一些具體實例中,一或多個電子組件37B可界定於半導體元件2之表面9處或上。
圖2G為根據各種具體實例之接合結構1的示意性側視截面圖。圖2G類似於圖1A及圖2F,以外除外:在圖2G中,可不存在界定於第一半導體元件3與第二半導體元件2之間的空腔。實際上,在圖2G之具體實例中,第一半導體元件3及半導體元件2可彼此接合,而無介入之空腔。在所說明具體實例中,如同本文中所描述之具體實例,半導體元件3、2可藉助於界面結構10彼此接合,該界面結構界定圍繞元件3、2之內部的實際上環狀之圖案或輪廓。如本文中所解釋,半導體元件3、2可至少沿著界面結構10彼此直接接合以界定實際上環狀之輪廓,導電性及非導電性界面特徵界定於該輪廓中。界面結構10的實際上環狀之輪廓可包含本文中所揭示的圖案中之任一者。即使圖2G之接合結構1中可不存在空腔,但界面結構10可界定有效密封,從而保護結構1之內部中的敏感性電子電路或組件37不受包括例如其他的外部環境影響。應瞭解,本文中所揭示之具體實例中之任一者可結合不包括空腔的接合結構使用。
此外,如圖2G中所說明,第一半導體元件3可包含形成於元件3之表面或靠近該表面形成及/或形成於元件3之主體內的一或多個電子組件38。第二半導體元件2可包含形成於元件2之表面或靠近該表面形成及/或形成於第二半導體元件2之主體內的一或多個電子組件37。電子組件37、38可包含任何合適類型之元件,諸如包括電晶體之電子電路等。組件37、38可可以任何合適配置遍及整個元件3、2而安置。在圖2G之具體實例中,第一元件3及第二元件2可包含 裝置晶粒之任何組合,諸如處理器晶粒、記憶體晶粒、感測器晶粒等的任何組合。在所說明具體實例中,界面結構10可圍繞接合結構1之周邊安置,從而密封接合結構1之內部以與外部環境隔絕。在各種具體實例中,因此,接合結構1之內部,例如,由界面結構10界定的實際上環狀之圖案內的區域,可以或不可接合直接。在所說明具體實例中,一些組件37、38可安置於接合結構1之內部區域內,例如,安置於由界面結構10界定的實際上閉合之輪廓內。第一半導體元件3之第一互連件及第二半導體元件2之第二互連件可在接合結構1之內部區域內彼此直接接合,以連接各別元件3、2中之組件37、38。另外,額外組件可安置於由界面結構10界定的內部區域外。此等額外組件(諸如積體裝置晶粒)亦可在內部區域彼此直接接合。
圖2H及圖2I為界面結構10的示意性平面圖,該界面結構包含包括導電性圓點之陣列的導電性界面特徵12,如自平面圖所見。在圖2H中,導電性界面特徵12包含一圈圍繞空腔5(或大體上接合結構之內部)的緊密間隔之圓點。在圖2I中,導電性界面特徵12包含多圈緊密間隔之圓點,其中外圈之特徵相對於內圈之特徵橫向地偏移,從而改良界面結構10之密封性。雖然圖2I中展示了兩圈特徵12,但應瞭解,導電性特徵12可包含圓點之網狀結構或彼此間隔的分散形狀,從而界定實際上環狀之圖案。導電性界面特徵12及非導電性界面特徵14可合作以界定連接兩個半導體元件的實際上環狀或實際上閉合之圖案。應瞭解,雖然圖2H至圖2I中所示之圓點係說明為圓形的(例如,圓形或橢圓形),但在其他具體實例中,該等圓點可包含任何合適的分散形狀,諸如多邊形。此外,如本文中所解釋,在一些具體實例中,導電性界面特徵12(例如,圓點)可僅充當兩個半導體元件3、2之間的接合機構。然而,在其他具體實例中,一些或所有導電性界面特徵12可充當電互連件(諸如互連件20之末端或連接至互連件之襯墊)以提供半導體元件3、2之間的電通信。應瞭解,圖2H及圖2I之特徵可 與本文中所揭示之各種其他具體實例組合。
圖3為接合結構1之一部分的示意性側視截面圖,該部分包括與界面結構10之導電性界面特徵12連接的裂紋阻止器13。裂紋阻止器13包括交替的較寬區段13A及較窄區段13B,此係因為裂紋阻止器穿過晶粒內之後道工序互連結構垂直地連接,且因此可阻止或減小裂紋在半導體元件中之一者(例如,第二元件2)中的傳播。藉由將低K介電質引入至功能裝置晶粒之後道工序(back-end of the line;BEOL)互連層中,介電質之抗裂性可實質上減小且可與矽之抗裂性相當或顯著小於矽之抗裂性。因此,在由晶片封裝相互作用引起之壓力下,防止晶粒邊緣處的開裂及低K介電層之分層可具有挑戰性。有利地,晶片邊緣處之開裂可藉由在低K介電質中在周邊周圍併入經圖案化金屬界面結構(例如,裂紋阻止器13)來減小,該等結構藉由增大晶片邊緣附近之抗裂性而充當裂紋阻止件。
圖4A至圖4C為接合結構10的示意性平面圖,當來自半導體元件3、2中之每一者的對應界面特徵接合在一起時,該等接合結構增加對未對準之容限。在一些具體實例中,圖4A至圖4C之接合結構10可經配置以在來自鄰近半導體元件之對應導電性界面特徵12、12'未對準時提供有效氣體密封。如本文中所解釋,在各種具體實例中,界面結構10可由安置於第一半導體元件3上之第一界面特徵及安置於第二半導體元件2上之第二界面特徵界定。舉例而言,如圖4A至圖4C中所示,第一導電性界面特徵12及第一非導電性界面特徵14可安置於第一半導體元件3上。第二導電性界面特徵12'及第二非導電性界面特徵14'可安置於第二半導體元件2上。第一及第二界面特徵可包含上文關於圖1A至圖2B所描述之材料。舉例而言,在各種具體實例中,第一及第二導電性界面特徵12、12'可包含銅。在各種具體實例中,第一及第二非導電性界面特徵14、14'可包含氧化矽。
如同圖1A至圖2B之接合結構1,在一些具體實例中,圖4A至圖 4C之界面結構10可在空腔5及/或積體裝置4周圍延伸以界定實際上環狀之圖案,例如,該等導電性特徵可定界界定實際上環狀之圖案的完全環形空或不完全環形。以實際上環狀之圖案安置界面結構10可有利地密封空腔5及/或積體裝置4以防止氣體進入接合結構1。然而,在其他具體實例中,圖4A至圖4C之界面結構10可用作不同於氣體密封或除氣體密封以外的應用之界面。舉例而言,圖4A至圖4C之界面結構10可用於任何應用中以顧及當導電性特徵彼此接合時的未對準。在一些具體實例中,圖4A至圖4C之界面結構10可提供半導體元件之間的一或多個直接電及/或機械連接。在各種具體實例中,圖4A至圖4C之界面結構10可以或可能不會以環狀圖案圍繞積體裝置4安置。在一些具體實例中,例如,界面結構10可安置於半導體元件之對應外表面上的複數個分散位置處,諸如針對下文關於圖7C所描述之互連件20。在此等具體實例中,界面結構10可充當半導體元件之間的電互連。第一及第二界面特徵可以多種方式彼此接合。在一些具體實例中,無需介入黏合劑且無需施加壓力及/或溫度,第一及第二界面特徵可彼此直接接合。
在將直接接合用於界面結構10之具體實例中,可準備第一及第二界面特徵之接合表面。舉例而言,無需介入黏合劑且無需施加壓力或電壓,第一導電性界面特徵12及第一非導電性界面特徵14之接合表面可直接接合至第二導電性界面特徵12'及第二非導電性界面特徵14'之對應接合表面。該等接合表面可經研磨或平坦化,經活化,且用合適物種終止。該等接合表面可開始接觸以無需施加壓力即形成直接接合。在一些具體實例中,半導體元件3、2可經加熱以加強接合,例如,導電性特徵之間的接合。結合所揭示具體實例中之每一者使用的直接接合製程之額外細節可見於美國專利第7,126,212號、第8,153,505號、第7,622,324號、第7,602,070號、第8,163,373號、第8,389,378號及第8,735,219號中,且可見於美國專利申請案第14/835,379號、第62/278,354號、第62/303,930 號及第15/137,930號中,該等申請案中之每一者的內容特此以全文引用之方式且出於所有目的而併入本文中。
在圖4A之結構10中,導電性界面特徵12、12'相對較薄,以使得可避免來自拋光之凹陷且促進直接金屬至金屬接合。若各別界面特徵係橫向地未對準,然而,特徵12、12'之間的導電性黏合劑35相對較小。圖4A中所示之導電性接合35可包含隔離的接觸區域,此可提供不充分氣體密封(及/或不充分電連接)。
因此,如圖4B至圖4C中所示,導電性界面特徵12、12'可足夠寬地形成,從而確保電連接件之恰當電導率且亦提供較好的擴散障壁。圖4B至圖4C之厚導電性特徵12、12'可有利地實現較大導電性接合35,且亦改良界面結構10之氣體密封能力(及/或電連接件)。在圖4B中,例如,可使導電性特徵12、12'之厚度比接合程序之最大未對準容限厚。因此,若接合程序具有未對準容限T,則導電性界面特徵12、12'之橫向厚度可大於或等於T。在各種直接接合程序中,例如,未對準容限T可在0.1微米至25微米範圍內。尺寸標定導電性特徵12、12'之厚度以等於或超過接合程序之最大未對準容限T可確保導電性接合35形成閉合結構。
在圖4C之具體實例中,導電性界面特徵12、12'之厚度可選擇為大於為介入之非導電性界面特徵14、14'所提供的空間。因此,在圖4C中,導電性特徵12可比非導電性14、14'厚。以此方式尺寸標定導電性特徵12可確保導電性特徵12、12'沿著連續界面配合。因此,圖4B至圖4C之相對較厚導電性特徵12、12'可在即使存在未對準的接合期間提供導電性界面特徵12、12'之間的有效連接,且連續界面可提供環狀或大部分環狀之擴散障壁。
圖5A至圖5D為界面結構10的示意性平面圖,界面結構增加當每一半導體元件3、2上之對應界面特徵10A、10B接合在一起時的對未對準之容限, 同時提供有效金屬擴散障壁。如上文關於圖4A至圖4C所解釋,顧及接合(例如,直接接合)兩個對應界面特徵10A、10B時的未對準可為至關重要的。界面特徵10A、10B可分別安置於第一半導體元件3及第二半導體元件2的外部表面上。界面特徵10A、10B可包含一或多個導電性界面特徵12、12',該一或多個導電性界面特徵亦可嵌入於一或多個非導電性界面特徵14、14'中或與之耦接。在一些具體實例中,無需介入黏合劑,導電性界面特徵12、12'可結合在一起且直接接合。在一些具體實例中,非導電性界面特徵14、14'亦可彼此直接接合。在其他具體實例中,黏合劑可用以接合元件。導電性特徵12、12'可界定沿著特徵12、12'彼此重疊之區域的導電性接合35。
為了增加對未對準之容限,導電性界面特徵12、12'可包含交替地配置且與複數個窄部分15連接的複數個寬部分16。舉例而言,如圖5A中所示,每一寬部分16可連接於兩個窄部分15之間,且每一窄部分15可連接於兩個寬部分16之間。窄部分15可具有在0.1微米至25微米範圍內之第一寬度t。寬部分可具有小於t且在0.5微米至50微米範圍內之第二寬度w。此外,如圖5A中所示,寬部分16可以第一距離g彼此間隔,介入之非導電性界面特徵14可安置於第一距離中。寬部分16及窄部分15可端對端地連接,窄部分15可具有與第一距離g相同的長度。第一距離g可在0.1微米至50微米範圍內。薄部分可以第二距離h彼此間隔,第二距離亦可包含寬部分16之長度。第二距離h可在0.2微米至50微米範圍內。此外,寬部分16之最外邊緣可相對於窄部分15之最外邊緣偏移橫向偏移x,如下文所解釋,該橫向偏移可對應於接合程序在x方向上的最大對準容限。橫向偏移x可在0.1微米至25微米範圍內。
有利地,可提供寬區段16以改良接合結構1之氣體密封能力,如上文所解釋。可提供窄區段15以減小可由於拋光出現之凹陷的影響,由此促進直接的導體至導體接合。圖5B說明接合之後的界面結構10,其中幾乎不存在各 別界面特徵10A、10B之未對準。如圖5B中所示,導電性特徵12、12'在y方向上以半間距偏移彼此完全重疊,如圖5A中所示,以使得接合導電性區域以大型導電性接合35提供閉合路徑。如圖5B中所示,在幾乎不存在未對準之情況下,導電性特徵12、12'在導電性接合35處,亦即平行於橫向偏移x,橫向地完全重疊,此係因為寬部分16之最外邊緣之橫向偏移可選擇為對應於接合程序的最大對準容限。舉例而言,關於特定接合程序之橫向未對準容限x,第一寬度t及第二寬度w可選擇為滿足關係x
Figure 106144839-A0305-02-0023-2
(w-t)/2。關於接合期間之縱向未對準容限y,針對特定接合程序,第一距離g及第二距離h可選擇為滿足關係y
Figure 106144839-A0305-02-0023-3
(h-g)/2。滿足此等關係確保不同半導體元件3、2之導電性特徵12、12'之間的連續重疊或接合線。
圖5C說明當界面特徵10A、10B以未對準容限x橫向地未對準且以未對準容限y縱向地未對準時的接合界面結構10。如圖5C中所示,即使當界面特徵10A、10B針對特定接合程序以xy未對準時,所得接合界面結構10包含導電性接合35處的導電性界面特徵12、12'之間的明顯且連續之重疊,此可提供實際上環狀之擴散障壁,例如,完全環狀或大部分環狀之擴散障壁。
圖5D說明當界面特徵10A、10B以未對準容限x加上第一寬度t橫向地未對準時的接合界面結構10,其中縱向未對準小於(h-g)/2。如圖5D中所示,當縱向未對準小於(h-g)/2(例如,平行於y)時,圖5D之接合界面結構10可適應甚至大於接合程序之未對準容限x的橫向未對準,此係因為當縱向未對準小於(h-g)/2時,窄部分15之額外寬度可貢獻導電性接合35處之額外接合區域。雖然重疊接合區域與圖5C中相比橫向上寬度較小,但金屬至金屬接合界面保持連續且提供優於例如氧化物之擴散障壁。
圖6A至圖6B為根據另一具體實例之界面結構10的示意性平面圖,當每一半導體元件3、2上之對應界面特徵10A、10B接合在一起時,該界面結構增加對未對準之容限。在圖6A至圖6B之具體實例中,非導電性界面特徵14、 14'可包含複數個內區域114a及複數個外區域114b。內區域114a可完全由導電性界面特徵12、12'包圍(在水平平面中)。在所說明具體實例中,複數個導電性界面特徵12、12'可包含許多區塊17、17',該等區塊包圍(例如,完全包圍)非導電性界面區域14、14'之內區域114a而安置。非導電性界面區域14、14'的外區域114b可安置於鄰近外區塊17、17'之間的間隙中。
在一些具體實例中,區塊17、17'之第一寬度t 1 可大於內區域114a及/或外區域114b之第二寬度t 2 。舉例而言,在一些具體實例中,區塊17、17'之第一寬度t 1 可在0.2微米至25微米範圍內。內區域114a及/或外區域114b之第二寬度t 2 可在0.1微米至20微米範圍內。尺寸標定區塊17、17'大於區域114a、114b可使得導電性特徵12、12'能夠具有明顯重疊之導電性接合35,如圖6B之接合界面結構10中所示。
圖7A為導電性界面特徵10A的示意性平面圖,其中非導電性界面特徵14之複數個內區域114a安置於晶格內(由晶格包圍)。舉例而言,圖7A中所示之界面特徵10A包含由相交的導電性界面特徵12界定之交叉網格結構。圖7B為藉由接合兩個界面特徵10A、10B形成之接合界面結構10的示意性平面圖。如圖7A中所示,導電性特徵12可包括藉由窄導電性區段19互連的複數個寬區塊18。寬區塊18可提供經改良之氣體密封能力,且可提供窄導電性區段19以避免由拋光程序引起之凹陷的負面影響,由此促進直接金屬至金屬接合。在圖7A中,區塊18及區段19配置成網格,其中導電性特徵12彼此垂直地安置。然而,在其他具體實例中,特徵12可相對於彼此非垂直地配置。
在圖7A至圖7B中,區塊18可具有第一寬度t 1 ,其大於安置於鄰近區塊18之間的間隙G之第二寬度t 2 。舉例而言,在一些具體實例中,第一寬度t 1 可在0.2微米至50微米範圍內。第二寬度t 2 可在0.1微米至25微米範圍內。如圖7B中所示,以此方式隔開區塊18可有利地實現沿著導電性接合35在導電性特徵12 之間的較大重疊區域,且產生多個鄰近的金屬接合線,此可對密封接合結構1以與氣體隔絕有益。
雖然圖7A至圖7B中所示之晶格包含相交導電線之網格,但在其他具體實例中,晶格可包含彎曲、週期性或不規則形狀。舉例而言,在一些具體實例中,晶格可包含互連多邊形之蜂巢結構。在一些具體實例中,晶格可包含複數個三角形、人字形圖案或具重複形狀之任何其他合適晶格。
圖7C為圖7B之接合界面結構10的示意性平面圖,其中複數個電互連件20安置於非導電性界面特徵14之內區域114a內。如上文關於圖2B所解釋,將額外導電性電互連件20併入至界面結構10中可為有利的。如此處理使得接合結構1能夠提供半導體元件3、2之間的大量信號線、電力線及/或接地線之氣體密封及電通信。在圖7C之具體實例中,舉例而言,導電性界面特徵12及非導電性界面特徵14可提供半導體元件3、2之間的機械連接,其充當對進入結構之氣體的有效障壁。導電性特徵12可包含長度大於寬度的細長特徵。電互連件20可安置於內區域114a內且可與導電性特徵12電隔離。互連件可穿過非導電性特徵14自第一半導體元件3垂直地延伸至第二半導體元件2,以提供半導體元件3、2之間的電通信。將理解,藉由兩個導電性特徵12之重疊及接合產生的實際上環狀之圖案,例如,完全或大部分環狀之圖案,亦可充當兩個半導體元件3、2之間的額外或唯一電連接。
因此,在圖4B至圖7C之具體實例中,第一半導體元件3可包含第一圖案,該第一圖案具有由第一半導體元件3之外部表面上的導電線形成的重複形狀。該第一圖案可包含以一第一間距與第二導電性界面特徵12間隔的第一導電性界面特徵12,且第一非導電性界面特徵14安置於第一導電性界面特徵及第二導電性界面特徵12之間。第一導電性界面特徵12可具有大於該第一間距的一第一寬度。第二半導體元件2可具有第二圖案,該第二圖案具有由第二半導體元 件2之一外部表面上之導電線形成的重複形狀。該第二圖案可包含以一第二間距與第四導電性界面特徵12間隔的第三導電性界面特徵12,且第二非導電性界面特徵14安置於第三導電性界面特徵及第四導電性界面特徵12之間。第三導電性界面特徵12可具有大於該第二間距的一第二寬度。第一及第二導電性界面特徵12可接合至第三及第四導電性界面特徵12以界定界面結構10。即使該第一圖案及該第二圖案可相對於彼此橫向地偏移,但接合之第一圖案及第二圖案仍然可定界沿著界面結構10之一連續的導電性接合區域35。
圖8為根據各種具體實例的併有一或多個接合結構1之電子系統80的示意圖。系統80可包含任何合適類型之電子裝置,諸如行動電子裝置(例如,智慧型電話、平板計算裝置、膝上型電腦等)、桌上型電腦、汽車或其組件、立體聲系統、醫療裝置、攝影機或任何其他合適類型的系統。在一些具體實例中,電子系統80可包含微處理器、圖形處理器、電子記錄裝置或數位記憶體。系統80可包括一或多個裝置封裝82,其例如藉助於一或多個主板而機械地且電連接至系統80。每一封裝82可包含一或多個接合結構1。圖8中所示之系統80可包含本文中所展示及描述的接合結構1及相關聯界面結構10中之任一者。
在一個具體實例中,揭示一種接合結構。該接合結構可包括具有一第一界面特徵之一第一元件,及具有一第二界面特徵之一第二元件。該接合結構可包括一積體裝置,該積體裝置耦接至該第一元件或該第二元件或由該第一元件或該第二元件形成。該第一界面特徵可直接接合至該第二導電性界面特徵以界定一界面結構。該界面結構可安置於該積體裝置周圍以界定一實際上閉合之輪廓以連接該第一元件與該第二元件。該實際上閉合之輪廓可實質上密封該接合結構之一內部區域以防止氣體自外部環境擴散至該內部區域中。
在另一具體實例中,一接合結構包含一第一元件及一第二元件。該接合結構可包括一積體裝置,該積體裝置耦接至該第一元件或該第二元件或 形成於該第一元件或該第二元件內。一界面結構可安置於該第一元件與該第二元件之間。該界面結構可包含在一方向上自該第一元件延伸至該第二元件的一第一導電性界面特徵、在一方向上自該第一元件延伸至該第二元件的一第二導電性界面特徵及橫向地安置於該第一導電性界面特徵與該第二導電性界面特徵之間的一固態非導電性界面特徵。該界面結構可圍繞該積體裝置安置以界定一實際上閉合之輪廓以連接該第一元件與該第二元件。
在另一具體實例中,一接合結構包含一第一元件及一第二元件。一積體裝置可耦接至該第一元件或該第二元件或由該第一元件或該第二元件形成。一界面結構可安置於該第一元件與該第二元件之間,該界面結構在一方向上自該第一元件延伸至該第二元件。該界面結構可包括:一第一細長的導電性界面特徵,其在一方向上自該第一元件延伸至該第二元件;及一第二細長的導電性界面特徵,其在一方向上自該第一元件延伸至該第二元件。該第一細長的導電性界面特徵及該第二細長的導電性界面特徵可由在一方向上自該第一元件延伸至該第二元件的一介入之非導電性界面特徵間隔。該第一細長的導電性界面特徵及該第二細長的導電性界面特徵中之每一者可具有大於一寬度的一長度。一電互連件可與該積體裝置電通信,該電互連件自該第一元件延伸至該第二元件。該電互連件可延伸穿過處於該第一導電性界面特徵與該第二導電性界面特徵之間的該介入之非導電性界面特徵。
在另一具體實例中,一接合結構包含具有一第一圖案之一第一元件,該第一圖案具有由該第一元件之一外部表面上之導電線形成的重複形狀。該第一圖案可包含以一第一間距與一第二導電性界面特徵間隔的一第一導電性界面特徵,一第一非導電性界面特徵安置於該第一導電性界面特徵與該第二導電性界面特徵之間。該第一導電性界面特徵可具有大於該第一間距的一第一寬度。該接合結構可包含具有一第二圖案之一第二元件,該第二圖案具有由該第 二元件之一外部表面上之導電線形成的重複形狀。該第二圖案可包含以一第二間距與一第四導電性界面特徵間隔的一第三導電性界面特徵。一第二非導電性界面特徵可安置於該第三導電性界面特徵與該第四導電性界面特徵之間,該第三導電性界面特徵具有大於該第二間距的一第二寬度。該第一導電性界面特徵及該第二導電性界面特徵可接合至該第三導電性界面特徵及該第四導電性界面特徵以界定一界面結構。該第一圖案及該第二圖案可相對於彼此橫向地偏移,但定界沿著該界面結構之一連續的導電性接合區域。
在另一具體實例中,揭示一種接合結構。該接合結構可包括一第一元件及一第二元件。一積體裝置可耦接至該第一元件或該第二元件或由該第一元件或該第二元件形成。一界面結構可安置於該第一元件與該第二元件之間。該界面結構可包含橫向地圍封該積體裝置之一第一導電性界面特徵。該導電性界面特徵可在該第一元件與該第二元件之間連續地延伸,以形成該兩個元件之間的一電、機械或熱連接中之至少一者。一非導電性界面特徵可在該第一元件與該第二元件之間連續地延伸。
出於概述所揭示具體實例及所達成的優於先前技術之優點之目的,已在本文中對某些目標及優點加以描述。當然,應瞭解,並不需要根據任何特定具體實例達成所有此等目標或優點。因此,舉例而言,熟習此項技術者將認識到,可以如本文中所教示或建議來達成或最佳化一個優點或一組優點而不一定達成本文中可能教示或建議的其他目標或優點的方式來實施或進行所揭示實施。
所有此等具體實例意欲在本發明之範圍內。對於熟習此項技術者而言,此等及其他具體實例將自具體實例之參看附圖的以下詳細描述變得顯而易見,技術方案不限於所揭示之任何特定具體實例。雖然本文中已揭示此特定具體例及實例,但熟習此項技術者應理解,所揭示實施延伸超出特別揭示的具 體實例而至其他替代性具體實例及/或用途及明顯修改及其等效者。另外,雖然已經展示且詳細地描述若干變化,但基於本發明,其他修改對於熟習此項技術者而言將顯而易見。亦預期,可進行具體實例之特定特徵及態樣的各種組合或子組合且其仍在範圍內。應理解,所揭示具體實例之各種特徵及態樣可彼此組合或彼此取代,以便形成所揭示實施之變化模式。因此,希望本文中所揭示的標的之範圍不應受上文所描述的特定揭示之具體實例限制,而應僅由所附申請專利範圍之正確閱讀來判定。
1:接合結構
2:第二半導體元件
3:第一半導體元件
4:積體裝置
5:空腔
9:外部表面
10:界面結構/接合結構
11:接合層
12:導電性界面特徵
14:非導電性界面特徵
36:導電跡線
37:電子組件
37A:電子組件
37B:電子組件
38:電子組件
38A:電子組件
38B:電子組件
38C:電子組件

Claims (40)

  1. 一種接合結構,其包含:第一元件;第二元件,該第二元件沿著接合界面接合到該第一元件,該接合界面包括細長的導電性接合界面特徵和鄰近該細長的導電性接合界面特徵的非導電性接合界面特徵;及積體裝置,其耦接至該第一元件或該第二元件或由該第一元件或該第二元件形成,其中該細長的導電性接合界面特徵包括沿著該細長的導電性接合界面特徵的長度交替地配置的第一導電性部分和第二導電性部分,該第一導電性部分具有相應的第一寬度,該第二導電性部分具有相應的第二寬度,且該第二寬度小於該第一寬度;且其中該非導電性接合界面特徵係至少部分地由彼此接合的該第一元件的第一非導電性界面特徵和該第二元件的第二非導電性界面特徵所限定。
  2. 如申請專利範圍第1項之接合結構,其中該第一元件包括第一細長的導電性界面特徵和鄰近該第一細長的導電性界面特徵的該第一非導電性界面特徵,且其中該第二元件包括第二細長的導電性界面特徵和鄰近該第二細長的導電性界面特徵的該第二非導電性界面特徵,該第一細長的導電性界面特徵和該第二細長的導電性界面特徵為至少部分地接合,以限定了該細長的導電性接合界面特徵。
  3. 如申請專利範圍第2項之接合結構,其中無需介入黏合劑,該第一細長的導電性界面特徵直接接合到該第二細長的導電性界面特徵。
  4. 如申請專利範圍第3項之接合結構,其中無需介入黏合劑,該第一非導電性界面特徵直接接合到該第二非導電性界面特徵。
  5. 如申請專利範圍第1項之接合結構,其中該細長的導電性接合界面特徵安置於該積體裝置周圍以界定一實際上閉合之輪廓,以實質上密封該接合結構的內部區域,以防止氣體擴散至該內部區域中。
  6. 如申請專利範圍第5項之接合結構,其中該細長的導電性接合界面特徵安置於該積體裝置周圍以界定一完全閉合的輪廓。
  7. 如申請專利範圍第1項之接合結構,其中該第一元件和該第二元件至少部分地界定該積體裝置安置所在的一空腔。
  8. 如申請專利範圍第1項之接合結構,其中該第一元件包含第一積體裝置晶粒,且該第二元件包含第二積體裝置晶粒。
  9. 一種接合結構,其包含:具有第一導電性界面特徵與第一非導電性界面特徵的第一元件;具有第二導電性界面特徵與第二非導電性界面特徵的第二元件;及積體裝置,其耦接至該第一元件或該第二元件或由該第一元件或該第二元件形成;其中該第一非導電性界面特徵直接接合至該第二非導電性界面特徵,且其中該第一導電性界面特徵直接接合至該第二導電性界面特徵以界定一界面結構,該界面結構安置於該積體裝置周圍以界定一實際上閉合之輪廓,以實質上密封該接合結構的內部區域,以防止氣體擴散至該內部區域中,該第一導電性界面特徵包含沿其長度具有變化的寬度的細長的導電性特徵。
  10. 如申請專利範圍第9項之接合結構,其中,該第一元件還包含鄰近該第一導電性界面特徵的第一非導電性界面特徵,且該第二元件還包含鄰近該第二導電性界面特徵的該第二非導電性界面特徵,該第一非導電性界面特徵直接接合到該第二非導電性界面特徵。
  11. 如申請專利範圍第9項之接合結構,其中該細長的導電性特徵包 括具有相應的第一寬度的第一部分和具有相應的第二寬度的第二部分,其中,該第一寬度在0.5微米至50微米之間,且該第二寬度在0.1微米至25微米之間。
  12. 如申請專利範圍第9項之接合結構,其中該界面結構係安置於該積體裝置周圍以界定一完全閉合的輪廓。
  13. 如申請專利範圍第9項之接合結構,其中該第一元件和該第二元件至少部分地界定該積體裝置安置所在的一空腔。
  14. 一種接合結構,其包含:具有第一導電性界面特徵與第一非導電性界面特徵的第一元件,該第一非導電性界面特徵鄰近該第一導電性界面特徵;具有第二導電性界面特徵與第二非導電性界面特徵的第二元件,該第二非導電性界面特徵鄰近該第二導電性界面特徵;及積體裝置,其耦接至該第一元件或該第二元件或由該第一元件或該第二元件形成;其中該第一導電性界面特徵直接接合至該第二導電性界面特徵且該第一非導電性界面特徵直接接合至該第二非導電性界面特徵以界定一界面結構,該界面結構安置於該積體裝置周圍以界定一實際上閉合之輪廓以連接該第一元件與該第二元件。
  15. 如申請專利範圍第14項之接合結構,其中該第一導電性界面特徵包含沿其長度具有變化的寬度的第一細長的導電性特徵。
  16. 如申請專利範圍第15項之接合結構,其中該第二導電性界面特徵包含沿其長度具有變化的寬度的第二細長的導電性特徵。
  17. 如申請專利範圍第14項之接合結構,其中直接接合的該第一導電性界面特徵與該第二導電性界面特徵合作以界定一完全閉合的輪廓,以實質上密封該接合結構的內部區域,以防止氣體擴散至該內部區域中。
  18. 如申請專利範圍第14項之接合結構,其中該第一元件和該第二元件至少部分地界定該積體裝置安置所在的一空腔。
  19. 如申請專利範圍第14項之接合結構,其中該第一元件包含積體裝置晶粒,且該第二元件包含載體。
  20. 如申請專利範圍第14項之接合結構,其進一步包含電互連件,該電互連件延伸穿過該第一非導電性界面特徵和該第二非導電性界面特徵,以提供該第一元件與該第二元件之間的電通信。
  21. 一種接合結構,其包含:具有一第一界面特徵之一第一元件;具有一第二界面特徵之一第二元件;及一積體裝置,其耦接至該第一元件或該第二元件或由該第一元件或該第二元件所形成,其中無需介入黏合劑,該第一界面特徵直接接合至該第二界面特徵以界定一界面結構,該界面結構包括非導電性接合界面特徵,且該界面結構安置於該積體裝置周圍以界定一實際上閉合之輪廓以連接該第一元件與該第二元件,該實際上閉合之輪廓實質上密封該接合結構的內部區域,以防止氣體擴散至該內部區域中;且其中該非導電性接合界面特徵係至少部分地由彼此接合的該第一元件的第一非導電性界面特徵和該第二元件的第二非導電性界面特徵所限定。
  22. 如申請專利範圍第21項之接合結構,其中該第一界面特徵包含第一導電性界面特徵及該第二界面特徵包含第二導電性界面特徵。
  23. 如申請專利範圍第21項之接合結構,其中該實際上閉合之輪廓為完全封閉的形狀。
  24. 如申請專利範圍第21項之接合結構,其中該第一元件包括頂蓋且該第二元件包括載體,該頂蓋接合到該載體以界定一空腔,且該積體裝置係 被安置於該空腔中。
  25. 如申請專利範圍第21項之接合結構,其中該第一元件包含第一積體裝置晶粒,且該第二元件包含第二積體裝置晶粒。
  26. 如申請專利範圍第21項之接合結構,其中該第一界面特徵包括多個導電性界面特徵,該等導電性界面特徵藉由一或多個介入之非導電性界面特徵而彼此間隔開。
  27. 如申請專利範圍第26項之接合結構,其中該等導電性界面特徵包含共同界定一封閉區域的多個不完全或完全連接的導體。
  28. 如申請專利範圍第26項之接合結構,其中該一或多個介入之非導電性界面特徵包含氧化矽。
  29. 如申請專利範圍第21項之接合結構,其中該第一元件進一步包括與該第一界面特徵橫向地間隔開第一間距的第三界面特徵,以及被設置在該第一界面特徵和該第三界面特徵之間的該第一非導電性界面特徵,其中該第一界面特徵具有第一寬度,且該第一寬度大於該第一間距。
  30. 一種接合結構,其包含:第一元件;第二元件;積體裝置,其耦接至該第一元件或該第二元件或形成於該第一元件或該第二元件內;及界面結構,其被設置在該第一元件和該第二元件之間,該界面結構包括在從該第一元件到該第二元件的方向上延伸的第一導電性界面特徵,在從該第一元件到該第二元件的方向上延伸的第二導電性界面特徵,以及被橫向地設置在該第一導電性界面特徵和該第二導電性界面特徵之間的固態非導電性界面特徵; 該界面結構安置於該積體裝置周圍以界定一實際上閉合之輪廓,從而連接該第一元件與該第二元件。
  31. 如申請專利範圍第30項之接合結構,其中該第一導電性界面特徵包括在該第一元件上的第一導電性部分和在該第二元件上的第二導電性部分,該第一導電性部分被接合到該第二導電性部分。
  32. 如申請專利範圍第31項之接合結構,其中該第一導電性部分直接接合到該第二導電性部分而無需介入黏合劑。
  33. 如申請專利範圍第30項之接合結構,其中該實際上閉合之輪廓包含完全環形。
  34. 如申請專利範圍第30項之接合結構,其中該第一元件包括頂蓋且該第二元件包括載體,該頂蓋接合到該載體以界定一空腔,且該積體裝置係被安置於該空腔中。
  35. 如申請專利範圍第30項之接合結構,其進一步包含連接該第一導電性界面特徵和該第二導電性界面特徵的交叉(crosswise)導體。
  36. 如申請專利範圍第30項之接合結構,其進一步包含延伸穿過該固態非導電性界面特徵的電互連件,以提供該第一元件和該第二元件之間的電通信。
  37. 一種接合結構,其包含:第一元件;第二元件;積體裝置,其耦接至該第一元件或該第二元件或由該第一元件或該第二元件所形成;接合界面結構,其被設置在該第一元件和該第二元件之間,該接合界面結構包括: 橫向地包圍該積體裝置的第一導電性界面特徵,該第一導電性界面特徵在該第一元件和該第二元件之間連續地延伸,以形成該第一元件和該第二元件之間的一電、機械或熱連接中之至少一者;圍繞該第一導電性界面特徵安置的非導電性界面特徵,該非導電性界面特徵與該第一元件和該第二元件接觸並在該第一元件和該第二元件之間連續地延伸。
  38. 如申請專利範圍第37項之接合結構,其中該界面結構在該積體裝置周圍形成一氣密密封。
  39. 如申請專利範圍第37項之接合結構,其中該第一元件和該第二元件界定一空腔,且該界面結構氣密地密封該空腔。
  40. 如申請專利範圍第37項之接合結構,其中該積體裝置為感測裝置。
TW106144839A 2016-12-21 2017-12-20 接合結構 TWI770096B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/387,385 2016-12-21
US15/387,385 US10002844B1 (en) 2016-12-21 2016-12-21 Bonded structures

Publications (2)

Publication Number Publication Date
TW201838125A TW201838125A (zh) 2018-10-16
TWI770096B true TWI770096B (zh) 2022-07-11

Family

ID=62554750

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106144839A TWI770096B (zh) 2016-12-21 2017-12-20 接合結構

Country Status (6)

Country Link
US (5) US10002844B1 (zh)
EP (1) EP3558863A4 (zh)
KR (1) KR102297361B1 (zh)
CN (1) CN110167872B (zh)
TW (1) TWI770096B (zh)
WO (1) WO2018119154A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI803630B (zh) * 2018-11-09 2023-06-01 南韓商三星電子股份有限公司 半導體封裝與用於其的電磁干擾屏蔽結構

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US11176450B2 (en) 2017-08-03 2021-11-16 Xcelsis Corporation Three dimensional circuit implementing machine trained network
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
DE102018122261B4 (de) 2017-09-27 2024-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrationsverfahren zum waferebenenpackaging und mikroelektromechanisches system-, mems-, bauelement
US10294098B2 (en) * 2017-09-27 2019-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a MEMS device by first hybrid bonding a CMOS wafer to a MEMS wafer
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10424528B2 (en) * 2018-02-07 2019-09-24 Toyota Motor Engineering & Manufacturing North America, Inc. Layered cooling structure including insulative layer and multiple metallization layers
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
CN110155934A (zh) * 2019-04-22 2019-08-23 武汉衍熙微器件有限公司 一种mems器件及其制作方法
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
KR20220120631A (ko) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 결합형 구조체를 위한 전기적 리던던시
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
WO2023122732A1 (en) * 2021-12-23 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Direct bonding on package substrates

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060097335A1 (en) * 2004-11-08 2006-05-11 Deok-Hoon Kim Electronic package for image sensor, and the packaging method thereof
US20120112335A1 (en) * 2009-04-30 2012-05-10 Silex Microsystems Ab Novel bonding process and bonded structures

Family Cites Families (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JPH07193294A (ja) 1993-11-01 1995-07-28 Matsushita Electric Ind Co Ltd 電子部品およびその製造方法
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
JPH10112517A (ja) 1996-10-03 1998-04-28 Ngk Spark Plug Co Ltd 電子部品収納用パッケージ
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
US6872984B1 (en) 1998-07-29 2005-03-29 Silicon Light Machines Corporation Method of sealing a hermetic lid to a semiconductor die at an angle
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
JP2001148436A (ja) 1999-11-22 2001-05-29 Ngk Spark Plug Co Ltd セラミックパッケージ及びセラミックパッケージの製造方法
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6900549B2 (en) 2001-01-17 2005-05-31 Micron Technology, Inc. Semiconductor assembly without adhesive fillets
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US20020179921A1 (en) 2001-06-02 2002-12-05 Cohn Michael B. Compliant hermetic package
US6818464B2 (en) 2001-10-17 2004-11-16 Hymite A/S Double-sided etching technique for providing a semiconductor structure with through-holes, and a feed-through metalization process for sealing the through-holes
JP2003204074A (ja) 2001-10-29 2003-07-18 Sharp Corp 太陽電池用封止膜、およびこれを用いた太陽電池パネルの製造方法
US20030113947A1 (en) 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6876062B2 (en) 2002-06-27 2005-04-05 Taiwan Semiconductor Manufacturing Co., Ltd Seal ring and die corner stress relief pattern design to protect against moisture and metallic impurities
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US6822326B2 (en) 2002-09-25 2004-11-23 Ziptronix Wafer bonding hermetic encapsulation
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP4502173B2 (ja) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US20040259325A1 (en) 2003-06-19 2004-12-23 Qing Gan Wafer level chip scale hermetic package
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US7165896B2 (en) 2004-02-12 2007-01-23 Hymite A/S Light transmitting modules with optical power monitoring
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
US7952189B2 (en) 2004-05-27 2011-05-31 Chang-Feng Wan Hermetic packaging and method of manufacture and use therefore
US7183622B2 (en) 2004-06-30 2007-02-27 Intel Corporation Module integrating MEMS and passive components
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
EP2428486B1 (en) * 2004-11-04 2021-04-14 Microchips Biotech, Inc. Compression and cold weld sealing methods and devices
US7358106B2 (en) 2005-03-03 2008-04-15 Stellar Micro Devices Hermetic MEMS package and method of manufacture
US7442570B2 (en) 2005-03-18 2008-10-28 Invensence Inc. Method of fabrication of a AL/GE bonding in a wafer packaging environment and a product produced therefrom
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
JP2007019107A (ja) 2005-07-05 2007-01-25 Shinko Electric Ind Co Ltd 半導体装置および半導体装置の製造方法
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7582969B2 (en) * 2005-08-26 2009-09-01 Innovative Micro Technology Hermetic interconnect structure and method of manufacture
US20070045795A1 (en) * 2005-08-31 2007-03-01 Mcbean Ronald V MEMS package and method of forming the same
WO2007061062A1 (ja) * 2005-11-25 2007-05-31 Matsushita Electric Works, Ltd. ウェハレベルパッケージ構造体の製造方法
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7288458B2 (en) 2005-12-14 2007-10-30 Freescale Semiconductor, Inc. SOI active layer with different surface orientation
US20070188054A1 (en) 2006-02-13 2007-08-16 Honeywell International Inc. Surface acoustic wave packages and methods of forming same
US20080002460A1 (en) 2006-03-01 2008-01-03 Tessera, Inc. Structure and method of making lidded chips
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
DE102006016260B4 (de) 2006-04-06 2024-07-18 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vielfach-Bauelement mit mehreren aktive Strukturen enthaltenden Bauteilen (MEMS) zum späteren Vereinzeln, flächiges Substrat oder flächig ausgebildete Kappenstruktur, in der Mikrosystemtechnik einsetzbares Bauteil mit aktiven Strukturen, Einzelsubstrat oder Kappenstruktur mit aktiven Strukturen und Verfahren zum Herstellen eines Vielfach-Bauelements
US7462931B2 (en) 2006-05-15 2008-12-09 Innovative Micro Technology Indented structure for encapsulated devices and method of manufacture
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US7430359B2 (en) 2006-10-02 2008-09-30 Miradia, Inc. Micromechanical system containing a microfluidic lubricant channel
US20080124835A1 (en) 2006-11-03 2008-05-29 International Business Machines Corporation Hermetic seal and reliable bonding structures for 3d applications
JP4983219B2 (ja) 2006-11-22 2012-07-25 株式会社村田製作所 部品内蔵基板
KR100833508B1 (ko) * 2006-12-07 2008-05-29 한국전자통신연구원 멤즈 패키지 및 그 방법
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
JP4792143B2 (ja) 2007-02-22 2011-10-12 株式会社デンソー 半導体装置およびその製造方法
US8513791B2 (en) 2007-05-18 2013-08-20 International Business Machines Corporation Compact multi-port CAM cell implemented in 3D vertical integration
US7737513B2 (en) 2007-05-30 2010-06-15 Tessera, Inc. Chip assembly including package element and integrated circuit chip
KR20090056044A (ko) 2007-11-29 2009-06-03 삼성전자주식회사 반도체 소자 패키지 및 이를 제조하는 방법
JP2009238905A (ja) 2008-03-26 2009-10-15 Nippon Telegr & Teleph Corp <Ntt> 半導体素子の実装構造および半導体素子の実装方法
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
WO2010013728A1 (ja) * 2008-07-31 2010-02-04 日本電気株式会社 半導体装置及びその製造方法
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US8129828B2 (en) 2008-09-29 2012-03-06 Ngk Spark Plug Co., Ltd. Wiring substrate with reinforcement
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
US8089144B2 (en) 2008-12-17 2012-01-03 Denso Corporation Semiconductor device and method for manufacturing the same
US8058143B2 (en) * 2009-01-21 2011-11-15 Freescale Semiconductor, Inc. Substrate bonding with metal germanium silicon material
US8269671B2 (en) 2009-01-27 2012-09-18 International Business Machines Corporation Simple radio frequency integrated circuit (RFIC) packages with integrated antennas
US8278749B2 (en) 2009-01-30 2012-10-02 Infineon Technologies Ag Integrated antennas in wafer level package
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
CN101554988B (zh) 2009-04-30 2011-03-30 华中科技大学 一种微机电***的圆片级真空封装方法
US20100288525A1 (en) * 2009-05-12 2010-11-18 Alcatel-Lucent Usa, Incorporated Electronic package and method of manufacture
EP2259018B1 (en) 2009-05-29 2017-06-28 Infineon Technologies AG Gap control for die or layer bonding using intermediate layers of a micro-electromechanical system
FR2947481B1 (fr) 2009-07-03 2011-08-26 Commissariat Energie Atomique Procede de collage cuivre-cuivre simplifie
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
FR2953679B1 (fr) 2009-12-04 2012-06-01 Thales Sa Boitier electronique hermetique et procede d'assemblage hermetique d'un boitier
JP5115618B2 (ja) 2009-12-17 2013-01-09 株式会社デンソー 半導体装置
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
JP5568786B2 (ja) 2009-12-24 2014-08-13 新光電気工業株式会社 半導体パッケージの製造方法及び半導体パッケージ
JP4900498B2 (ja) 2010-04-26 2012-03-21 セイコーエプソン株式会社 電子部品
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US8330559B2 (en) 2010-09-10 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level packaging
US8411444B2 (en) 2010-09-15 2013-04-02 International Business Machines Corporation Thermal interface material application for integrated circuit cooling
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US9386688B2 (en) 2010-11-12 2016-07-05 Freescale Semiconductor, Inc. Integrated antenna package
US8569090B2 (en) 2010-12-03 2013-10-29 Babak Taheri Wafer level structures and methods for fabricating and packaging MEMS
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8847337B2 (en) 2011-02-25 2014-09-30 Evigia Systems, Inc. Processes and mounting fixtures for fabricating electromechanical devices and devices formed therewith
US8395229B2 (en) 2011-03-11 2013-03-12 Institut National D'optique MEMS-based getter microdevice
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
EP2514713B1 (en) 2011-04-20 2013-10-02 Tronics Microsystems S.A. A micro-electromechanical system (MEMS) device
KR102378636B1 (ko) 2011-05-24 2022-03-25 소니그룹주식회사 반도체 장치
SG10201505586UA (en) 2011-06-17 2015-08-28 Semiconductor Energy Lab Semiconductor device and method for manufacturing the same
US9540230B2 (en) 2011-06-27 2017-01-10 Invensense, Inc. Methods for CMOS-MEMS integrated devices with multiple sealed cavities maintained at various pressures
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
TWI426572B (zh) 2011-10-20 2014-02-11 Ind Tech Res Inst 微機電感測裝置及其製造方法
CA3115288A1 (en) 2011-11-03 2013-05-10 Fastcap Systems Corporation Production logging instrument
US9139423B2 (en) 2012-01-19 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Micro electro mechanical system structures
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9139420B2 (en) 2012-04-18 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device structure and methods of forming same
JP2013243333A (ja) 2012-04-24 2013-12-05 Tadatomo Suga チップオンウエハ接合方法及び接合装置並びにチップとウエハとを含む構造体
DE102012206732A1 (de) 2012-04-24 2013-10-24 Robert Bosch Gmbh Verfahren zum Herstellen eines hybrid integrierten Bauteils
FR2990314B1 (fr) 2012-05-03 2014-06-06 Commissariat Energie Atomique Dispositif microelectronique de transmission sans fil
DK3225604T3 (da) 2012-05-18 2019-06-17 Panasonic Ip Man Co Ltd Fremgangsmåde til fremstilling af flerlagsruder
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US9048283B2 (en) * 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8530997B1 (en) 2012-07-31 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Double seal ring
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20140130595A1 (en) 2012-11-12 2014-05-15 Memsic, Inc. Monolithic sensor package
US9511994B2 (en) 2012-11-28 2016-12-06 Invensense, Inc. Aluminum nitride (AlN) devices with infrared absorption structural layer
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
US8916448B2 (en) 2013-01-09 2014-12-23 International Business Machines Corporation Metal to metal bonding for stacked (3D) integrated circuits
US8564076B1 (en) 2013-01-30 2013-10-22 Invensense, Inc. Internal electrical contact for enclosed MEMS devices
US9452920B2 (en) 2013-01-30 2016-09-27 Invensense, Inc. Microelectromechanical system device with internal direct electric coupling
TWI570864B (zh) * 2013-02-01 2017-02-11 英帆薩斯公司 具有焊線通孔的微電子封裝、其之製造方法以及用於其之硬化層
US9136254B2 (en) 2013-02-01 2015-09-15 Invensas Corporation Microelectronic package having wire bond vias and stiffening layer
US9287188B2 (en) 2013-02-05 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a seal ring structure
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US20140225206A1 (en) 2013-02-11 2014-08-14 Yizhen Lin Pressure level adjustment in a cavity of a semiconductor die
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9469527B2 (en) 2013-03-14 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS pressure sensor and microphone devices having through-vias and methods of forming same
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US9119313B2 (en) 2013-04-25 2015-08-25 Intel Corporation Package substrate with high density interconnect design to capture conductive features on embedded die
JP6020341B2 (ja) 2013-05-09 2016-11-02 株式会社デンソー 容量式物理量センサおよびその製造方法
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9136233B2 (en) 2013-06-06 2015-09-15 STMicroelctronis (Crolles 2) SAS Process for fabricating a three-dimensional integrated structure with improved heat dissipation, and corresponding three-dimensional integrated structure
EP2813465B1 (en) 2013-06-12 2020-01-15 Tronic's Microsystems MEMS device with getter layer
CN104249991B (zh) 2013-06-26 2016-08-10 中芯国际集成电路制造(上海)有限公司 Mems器件及其制作方法
WO2015042700A1 (en) 2013-09-24 2015-04-02 Motion Engine Inc. Mems components and method of wafer-level manufacturing thereof
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
WO2015047330A1 (en) 2013-09-27 2015-04-02 Intel Corporation Die package with superposer substrate for passive components
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9035451B2 (en) 2013-09-30 2015-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer level sealing methods with different vacuum levels for MEMS sensors
US9617150B2 (en) 2013-10-09 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Micro-electro mechanical system (MEMS) device having a blocking layer formed between closed chamber and a dielectric layer of a CMOS substrate
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
KR20150058940A (ko) 2013-11-21 2015-05-29 삼성전자주식회사 히트 스프레더를 갖는 반도체 패키지
JP2015100886A (ja) 2013-11-26 2015-06-04 セイコーエプソン株式会社 電子デバイスおよび電子機器
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9773742B2 (en) 2013-12-18 2017-09-26 Intel Corporation Embedded millimeter-wave phased array module
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9878901B2 (en) 2014-04-04 2018-01-30 Analog Devices, Inc. Fabrication of tungsten MEMS structures
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
FR3023974B1 (fr) 2014-07-18 2016-07-22 Ulis Procede de fabrication d'un dispositif comprenant un boitier hermetique sous vide et un getter
US9620464B2 (en) 2014-08-13 2017-04-11 International Business Machines Corporation Wireless communications package with integrated antennas and air cavity
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
US9331043B1 (en) 2015-01-30 2016-05-03 Invensas Corporation Localized sealing of interconnect structures in small gaps
JP5931246B1 (ja) 2015-04-03 2016-06-08 田中貴金属工業株式会社 パッケージの製造方法及び該方法により製造されるパッケージ
US9738516B2 (en) 2015-04-29 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure to reduce backside silicon damage
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9650241B2 (en) 2015-09-17 2017-05-16 Invensense, Inc. Method for providing a MEMS device with a plurality of sealed enclosures having uneven standoff structures and MEMS device thereof
WO2017100256A1 (en) 2015-12-08 2017-06-15 Skyworks Solutions, Inc. Transient liquid phase material bonding and sealing structures and methods of forming same
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9972603B2 (en) 2015-12-29 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal-ring structure for stacking integrated circuits
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10273141B2 (en) 2016-04-26 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Rough layer for better anti-stiction deposition
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10062656B2 (en) * 2016-08-15 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Composite bond structure in stacked semiconductor structure
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US11176450B2 (en) 2017-08-03 2021-11-16 Xcelsis Corporation Three dimensional circuit implementing machine trained network
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US9834435B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) * 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
WO2018125673A2 (en) 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10312201B1 (en) 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11235969B2 (en) 2018-10-30 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS-MEMS integration with through-chip via process
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
KR20220120631A (ko) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 결합형 구조체를 위한 전기적 리던던시
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
KR20230097121A (ko) 2020-10-29 2023-06-30 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 접합 방법 및 구조체
WO2022094579A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
JP2024501016A (ja) 2020-12-28 2024-01-10 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 基板貫通ビアを有する構造体及びそれを形成する方法
WO2022147430A1 (en) 2020-12-28 2022-07-07 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
KR20230126736A (ko) 2020-12-30 2023-08-30 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 전도성 특징부를 갖는 구조 및 그 형성방법
US20220208723A1 (en) 2020-12-30 2022-06-30 Invensas Bonding Technologies, Inc. Directly bonded structures
US20220285303A1 (en) 2021-03-03 2022-09-08 Invensas Bonding Technologies, Inc. Contact structures for direct bonding
JP2024515032A (ja) 2021-03-31 2024-04-04 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 担体の直接接合及び剥離
JP2024515033A (ja) 2021-03-31 2024-04-04 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 担体の直接ボンディング及び剥離
EP4315411A1 (en) 2021-03-31 2024-02-07 Adeia Semiconductor Bonding Technologies Inc. Direct bonding methods and structures
KR20240028356A (ko) 2021-06-30 2024-03-05 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 결합층에서 라우팅 구조체를 갖는 소자

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060097335A1 (en) * 2004-11-08 2006-05-11 Deok-Hoon Kim Electronic package for image sensor, and the packaging method thereof
US20120112335A1 (en) * 2009-04-30 2012-05-10 Silex Microsystems Ab Novel bonding process and bonded structures

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI803630B (zh) * 2018-11-09 2023-06-01 南韓商三星電子股份有限公司 半導體封裝與用於其的電磁干擾屏蔽結構

Also Published As

Publication number Publication date
EP3558863A4 (en) 2020-12-16
US10879207B2 (en) 2020-12-29
CN110167872A (zh) 2019-08-23
US10546832B2 (en) 2020-01-28
KR102297361B1 (ko) 2021-09-01
US11670615B2 (en) 2023-06-06
US10002844B1 (en) 2018-06-19
WO2018119154A1 (en) 2018-06-28
EP3558863A1 (en) 2019-10-30
TW201838125A (zh) 2018-10-16
US20230361072A1 (en) 2023-11-09
US20200126945A1 (en) 2020-04-23
US20180337157A1 (en) 2018-11-22
US20210202428A1 (en) 2021-07-01
US20180174995A1 (en) 2018-06-21
CN110167872B (zh) 2021-05-25
KR20190090043A (ko) 2019-07-31

Similar Documents

Publication Publication Date Title
TWI770096B (zh) 接合結構
TWI738947B (zh) 接合結構與形成接合結構的方法
TWI826009B (zh) 用於接合元件的結構
US20200395321A1 (en) Sealed bonded structures and methods for forming the same
US10727218B2 (en) Seal ring structures and methods of forming same
JP4777899B2 (ja) 半導体装置
US11342322B2 (en) Seal ring structures and methods of forming same