TWI513374B - 受到溫度控制之熱邊緣環組件 - Google Patents

受到溫度控制之熱邊緣環組件 Download PDF

Info

Publication number
TWI513374B
TWI513374B TW098127373A TW98127373A TWI513374B TW I513374 B TWI513374 B TW I513374B TW 098127373 A TW098127373 A TW 098127373A TW 98127373 A TW98127373 A TW 98127373A TW I513374 B TWI513374 B TW I513374B
Authority
TW
Taiwan
Prior art keywords
ring
hot edge
edge ring
temperature
substrate
Prior art date
Application number
TW098127373A
Other languages
English (en)
Other versions
TW201012306A (en
Inventor
Rajinder Dhindsa
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201012306A publication Critical patent/TW201012306A/zh
Application granted granted Critical
Publication of TWI513374B publication Critical patent/TWI513374B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

受到溫度控制之熱邊緣環組件
本發明係關於電漿處理設備。
電漿處理設備藉由包含蝕刻、物理氣相沉積(PVD)、化學氣相沉積(CVD)、離子植入、及光阻移除的技術,而用以處理基板。電漿處理中所用的一種電漿處理設備類型包含含有上及下電極的反應腔室。該等電極之間建立了電場,以將處理氣體激發成電漿狀態,而在該反應腔室中處理基板。
依據一實施例,受到溫度控制之熱邊緣環組件(其適於圍繞著電漿反應腔室中所支撐的半導體基板)包括具有環狀支撐表面的基板支座,該環狀支撐表面圍繞著基板支座表面。射頻(RF)耦合環覆蓋該環狀支撐表面。一下墊片係介於該環狀支撐表面與該RF耦合環之間。該下墊片為導熱及導電的。一熱邊緣環覆蓋該RF耦合環。該基板支座適合支撐著基板,俾使該基板的外緣懸於該熱邊緣環之上。一上熱導介質係介於該熱邊緣環與該RF耦合環之間。
依據另一實施例,受到溫度控制之熱邊緣環組件(其適於圍繞著電漿反應腔室中所支撐的半導體基板)包括帶有環狀支撐表面的基板支座,該環狀支撐表面圍繞著基板支座表面。將射頻(RF)耦合環機械地夾持於該環狀支撐表面,且絕熱介質係介於該環狀支撐表面與該RF耦合環之間。將熱邊緣環機械地夾持於該RF耦合環,且熱導介質係介於該熱邊緣環與該RF耦合環之間。
依據另一實施例,受到溫度控制之熱邊緣環組件(其適於圍繞著電漿反應腔室中所支撐的半導體基板)包括帶有環狀支撐表面的基板支座,該環狀支撐表面圍繞著基板支座表面。將射頻(RF)耦合環機械地夾持於該環狀支撐表面,且下熱導介質係介於該環狀支撐表面與該RF耦合環之間。將熱邊緣環機械地夾持於該RF耦合環,且上熱導介質係介於該熱邊緣環與該RF耦合環之間。該基板支座適合圍繞著基板,俾使該基板的外緣懸於該熱邊緣環之上。
積體電路裝置的製造包含電漿蝕刻腔室的使用,其能夠蝕刻由光阻遮罩中之開口所定義的蝕刻選擇層。該處理腔室用以接收處理氣體(即蝕刻化學品),同時對該處理室之一或多個電極施加射頻(RF)功率。也針對特定處理而控制該處理室內側的壓力。一經對該等電極施加所需的RF功率,便激發該腔室中的處理氣體俾產生電漿。因而產生電漿以執行半導體基板(如晶圓)之選擇層的所需蝕刻。然而,關於晶圓之電漿處理的挑戰之一,包含因為電漿不均勻(即在一定的時間期間,處理效能的變化)引起的製程偏移。
為了控制半導體基板(如晶圓)上的蝕刻速率均勻度,特別是,為了使該晶圓中央處的蝕刻速率與該晶圓邊緣處的蝕刻速率匹配,晶圓邊界條件最好係設計成在關於晶圓邊緣的化學品曝露、處理壓力、及RF場強度方面能確保橫跨整個晶圓的連續性。如已知的,可藉位於靜電夾持電極下方的供電電極而對進行電漿處理的晶圓施加RF偏壓。然而,因為自該供電電極經該靜電夾持電極及晶圓至電漿的RF阻抗路徑,會異於自該供電電極之外部至電漿的RF阻抗路徑,故發生在該晶圓之邊緣的不均勻電漿密度會導致不均勻的晶圓處理。
為了減輕此等不均勻,熱邊緣環及RF耦合環已建置成繞著晶圓的配件。可藉由在進行電漿處理之晶圓的中央及邊緣處提供相似的RF阻抗路徑,而實現改善的電漿均勻度。可藉由選擇該RF耦合環的材料而操縱RF阻抗路徑。位在上方的熱邊緣環係消耗性零件,其保護該RF耦合環免受電漿腐蝕。
可選擇該邊緣環的材料以在晶圓的中央及邊緣處提供更均勻的RF阻抗路徑,俾在整片晶圓上提供更均勻的電漿密度。然而,一經曝露於如RF電漿的熱源,該邊緣環便不能充分地冷卻,這會導致本身溫度的持續上升。當接連著處理多個晶圓時,此溫度上升會導致晶圓邊緣處之蝕刻速率的製程偏移(即處理不均勻)。於電漿處理期間,無法控制該熱邊緣環及RF耦合環的溫度會成為問題,導致晶圓邊緣末端處(即直徑300 mm之矽晶圓的外圍5至7 mm)的蝕刻速率增加、聚合物沉積或「首片晶圓效應」。
首片晶圓效應係指間接受到首片處理晶圓之加熱所致之後續晶圓的二次加熱。特別是,一經首片晶圓處理的完成,已加熱處理的晶圓及處理室側壁便會朝上電極輻射熱量。該上電極接著對該腔室中後續已處理的晶圓間接提供二次加熱機制。因此,由於在高縱橫比接觸介層孔的蝕刻期間,晶圓溫度變化會影響關鍵尺寸(CD),故相較系統處理的後續晶圓,該系統處理的首片晶圓可能顯現大於所需CD的變化。因為腔室中溫度的穩定,相較該首片處理的晶圓,後續處理的晶圓可具有不同及/或較小的CD變化。因此,由於在多個晶圓處理期間,邊緣環的溫度持續增加會導致製程偏移,故在處理下一片晶圓之前,希望有一熱邊緣環組件,其得以改善邊緣環的冷卻或邊緣環的溫度控制,從而降低蝕刻速率偏移。
圖1A說明處理半導體基板(如矽晶圓)之電漿處理設備之噴淋頭電極組件10的示範實施例。噴淋頭電極組件10包含噴淋頭電極,該噴淋頭電極包括上電極12、固定於上電極12的支承構件14、及熱控制板16。包含下電極及靜電夾持電極(例如靜電夾頭)的基板支座18(圖1中只顯示其一部分)係位在該電漿處理設備之真空處理室中的上電極12之下。將經歷電漿處理的基板20靜電地夾持在基板支座18之基板支座表面22上。
在此說明實施例中,該噴淋頭電極的上電極12包含內電極構件24,及選用的外電極構件26。內電極構件24最好係圓柱板(例如由矽組成的平板)。內電極構件24可具有小於、等於、或大於待處理晶圓的直徑,如假設該平板由矽組成,內電極構件24則大至12英吋(300 mm)或更大。在較佳實施例中,噴淋頭電極組件10大至足以處理大基板,如具有300 mm或更大直徑的半導體晶圓。對於300 mm晶圓,上電極12在直徑上至少為300 mm。然而,該噴淋頭電極組件可按一定尺寸製作,以處理其它晶圓尺寸或具有非圓形組態的基板。
在此說明實施例中,內電極構件24係較基板20寬。對於300 mm晶圓,設置外電極構件26而將上電極12的直徑作沿伸,自約15英吋至約17英吋。外電極構件26可為連續構件(例如連續的多晶矽環),或分段的構件(例如,包含2-6個以環狀組態配置的分離段,如矽所組成的片段)。在包含多段外電極構件26之上電極12的實施例中,該等片段最好具有相互重疊的邊緣,以防止底下結合材料曝露於電漿中。
內電極構件24最好包含多個延伸穿過其中,且與支承構件14中所形成之多個氣體通道30相通的氣體通道28,用於將處理氣體注入上電極12及基板支座18之間的空間內。支承構件14包含多個充氣部(plenums)32,而將處理氣體個別分布至內電極構件24及支承構件14中的氣體通道28及30。
矽係用於內電極構件24及外電極構件26之電漿曝露面的較佳材料。高純度、單晶的矽於電漿處理期間可使基板的污染最小化,亦可在電漿處理期間平穩地磨損,從而使微粒最少化。例如,可用於上電極12的的電漿曝露表面的替代材料包含SiC或AlN。
在說明實施例中,支承構件14包含支承板34及延伸環繞支承板34的支承環36。在此實施例中,內電極構件24與支承板34共同延伸,且外電極構件26與周圍的支承環36共同延伸。然而,支承板34可延伸超出內電極構件24,俾使單一支承板可用以支撐內電極構件24及外電極構件26。內電極構件24及外電極構件26最好藉由結合材料及/或機械緊固器而附著於支承構件14。
支承板34及支承環36最好由與電漿處理室中之處理半導體基板用的處理氣體化學相容,且具有導電性及導熱性的材料所製。可用以製造支承構件14的示範合適材料包含鋁、鋁合金、石墨及SiC。
上電極12可用合適的導熱及導電彈性體結合材料而附著於支承板34及支承環36,該彈性體結合材料可適應熱應力,且在上電極12與支承板34及支承環36之間傳輸熱及電能。例如,在共同擁有之美國專利第6,073,577號中,描述使電極組件之表面結合在一起之彈性體的使用,其整體內容合併於此以供參考。
在處理大型基板(如300 mm晶圓)的電容式耦合RF電漿腔室中,除了接地電極之外,也可使用第二接地部。例如,基板支座18可包含被供應一或多種之頻率之RF能量的下電極,且可經由噴淋頭電極12(其係接地的上電極)供應處理氣體至該腔室的內部。第二接地部(其位於基板支座18中的下電極外側)可包含電氣接地部分,其大致在包含待處理之基板20但由熱邊緣環38隔開的平面中延伸。熱邊緣環38可為於電漿產生期間變熱的導電或半導體材料。
圖1B為圖1A中環繞熱邊緣環38之區域A的放大視圖。為了控制基板20上的蝕刻速率均勻度,及使基板中央處的蝕刻速率與該基板邊緣處的蝕刻速率匹配,基板邊界條件最好設計成在關於基板邊緣的化學品曝露、處理壓力、及RF場強度方面能確保橫跨整個基板的連續性。為了使基板汙染最小化,熱邊緣環38係由與基板本身相容的材料所製。在一例中,熱邊緣環材料可包含矽、石墨、碳化矽或相似物。在另一例中,熱邊緣環材料可包含石英。
熱邊緣環38覆蓋RF耦合環40,RF耦合環40係被置於基板支座18的外圓周上,繞著基板支座表面22的環狀支撐表面42上。基板支座18適於支撐基板20,俾使該基板的外邊緣懸於熱邊緣環38之上。可使用在基板支座內部之冷卻通道(圖1A未顯示)中循環的冷卻液而主動地冷卻基板支座18。選擇RF耦合環40的材料用以使基板20之邊緣處的RF場強度逐漸變弱,而增進蝕刻速率均勻度。例如,RF耦合環40可由陶瓷(如石英、氧化鋁、氮化鋁)或導電材料(例如鋁、矽、碳化矽)所製。由介電材料組成的熱邊緣環遮蓋部44環繞著熱邊緣環38。熱邊緣環遮蓋部44覆蓋聚焦環46,聚焦環46將電漿限制在基板20上方的區域,且可由石英所組成。
熱邊緣環遮蓋部44覆蓋聚焦環46,聚焦環46將電漿限制在基板20上方的區域,且熱邊緣環遮蓋部44可由石英所組成。接地環遮蓋部48更環繞著熱邊緣環遮蓋部44。熱邊緣環遮蓋部44保護接地延伸部免受電漿侵蝕。例如,熱邊緣環遮蓋部44及接地環遮蓋部48可由石英或氧化釔所組成。接地延伸部49可由鋁所組成。
於基板20的電漿處理期間,熱邊緣環38、RF耦合環40及基板支座18曝露於真空環境(即小於50 mTorr)。因此,真空係形成在熱邊緣環38與RF耦合環40之間的界面B處;及RF耦合環40及基板支座18之間的界面C處。當熱邊緣環38的溫度在曝露於RF功率期間增加時,因為在合適的界面處存在真空,故自熱邊緣環38至RF耦合環40及基板支座18經熱傳導的熱傳係最小化。因此,在基板20的電漿處理期間,需要能夠控制熱邊緣環38之溫度。
圖2A說明受溫度控制之熱邊緣環組件200的一實施例。基板支座218包含位於基板支座218的外圓周上且環繞基板支座表面222的環狀支撐表面242。RF耦合環240覆蓋環狀支撐表面242,在環狀支撐表面242及RF耦合環240之間具有下熱導介質250。熱邊緣環238覆蓋RF耦合環240,在熱邊緣環238及RF耦合環240之間具有上熱導介質260。基板支座218適於支撐基板220,俾使基板220的外邊緣懸於熱邊緣環238之上。
在一實施例中,下熱導介質250包含下墊片252,而上熱導介質260包含上墊片262。下墊片252及上墊片262為熱導性及電導性墊片。在較佳實施例中,下墊片252及上墊片262係由金屬或聚合物材料之層板所組成:矽酮為基的薄片(例如由日本東京GELTECH提供的λGELCOH-4000)、鋁(或鋁合金)及填充矽酮橡膠的層板(例如由明尼蘇達州Chanhassen之The Bergquist Company所製造的Q-PADII,)、或聚亞醯胺材料及填充矽酮橡膠的層板(例如由明尼蘇達州Chanhassen之The Bergquist Company所製造的SIL-PADK-10)、或聚亞醯胺材料(例如由DUPONT所製造的KAPTON聚亞醯胺膜)。
下墊片252及上墊片262的其它示範材料可包含:例如熱填充材料(如填充氮化硼的矽酮)(例如由CHOMERICS所製造的CHO-THERM1671)、石墨材料(例如由GRAFTECH所製造的eGra705)、銦箔、或相變化材料(PCM)(例如由THERMAGON所製造的T-pcm HP105)。
圖2B說明受溫度控制之熱邊緣環組件200之實施例,其中用機械將熱邊緣環238夾持於RF耦合環240;而用機械將RF耦合環240夾持於環狀支撐表面242。可藉由下栓270(例如2至12個沿圓周間隔的栓)將RF耦合環240閂於環狀支撐表面242。以夾持環272將熱邊緣環238機械地夾持於RF耦合環240,夾持環272包含徑向延伸的凸緣272A。熱邊緣環238包含周圍凹部238A。徑向延伸的凸緣272A係用以配合周圍凹部,而將熱邊緣環238固定於RF耦合環240。用上栓274(例如2至12個沿圓周間隔的栓)將夾持環272閂於RF耦合環。為了防止在夾持過程中損傷夾持環272及熱邊緣環238,可在夾持環272及熱邊緣環238之間放置平面聚亞醯胺環276(例如KAPTON聚亞醯胺膜)。可以自約1 ft.-lb.至約10 ft.-lb.的扭矩將熱邊緣環238夾持於RF耦合環240。同樣地,可以自約1 ft.-lb.至約10 ft.-lb.的扭矩將RF耦合環240夾持於環狀支撐表面242。
圖3A說明受溫度控制之熱邊緣環組件300的額外實施例,其中加壓的熱傳氣體用作上熱導介質360。基板支座318包含位於基板支座318的外圓周上且繞著基板支座表面322的環狀支撐表面342。RF耦合環340覆蓋環狀支撐表面342,在環狀支撐表面342與RF耦合環340之間具有下墊片352(其作為下熱導介質350)。熱邊緣環338覆蓋RF耦合環340,在熱邊緣環338與RF耦合環340之間具有上熱導介質360。
上熱導介質360包含同心配置的內上O型環363A及外上O型環363B。熱邊緣環338、RF耦合環340、內上O型環363A及外上O型環363B定義上部體積366。上部體積366適於容納一體積的加壓熱傳氣體,該氣體包含氦、氖、氬或氮。在一實施例中,上部體積366中的氦靜壓可達約30Torr。在較佳實施例中,O型環係由耐熱之含氟彈性體(例如由DUPONT® 所製造的VITON® 含氟彈性體)所組成。
如圖3B所示,內上O型環363A及外上O型環363B可安置於RF耦合環340及熱邊緣環338中所形成的溝槽365。在另一實施例中,如圖3C所示,內上O型環363A、外上O型環363B、溝槽365及環狀通道364係同心配置,俾使內上O型環363A及外上O型環363B環繞著環狀通道364。環狀通道364使熱邊緣環338的熱傳氣體曝露面338A與RF耦合環340的熱傳氣體曝露面340A之間的表面接觸最小化,以藉由調整上部體積366中的熱傳氣體壓力(例如達30Torr)而提供更精準的熱導控制。在一實施例中,環狀通道364的高度可自約1mil至約5mil。
儘管圖3A實施例說明作為下墊片352的下導熱介質350;及作為上部體積366(由熱邊緣環338、RF耦合環340、內上O型環363A及外上O型環363B定義之)的上導熱介質360,應了解下導熱介質350亦可作為加壓熱傳氣體的下部體積(即由內下O型環、外下O型環、環狀支撐表面342及RF耦合環340定義之)。同樣地,上導熱介質360可為上墊片。
圖3A也說明控制器380、溫度感測器382、熱傳氣體源384及真空幫浦386。溫度感測器382適於量測熱邊緣環338於電漿處理期間的溫度,並供應輸入信號予控制器380。熱傳氣體源384及真空幫浦386與上部體積366係流體相通。可響應控制器380而操作氣體源384以增加上部體積366中的靜壓。同樣地,可響應控制器380而操作真空幫浦以排空體積366。
在基板320在電漿處理腔室(其帶有受溫度控制之熱邊緣環組件300)中的電漿處理期間,基板支座表面322上支撐著基板320。將處理氣體導入該處理腔室內,並給予處理氣體能量,使其 成為電漿狀態。量測熱邊緣環338的溫度。如果熱邊緣環338的溫度低於目標溫度,則降低上部體積366中的熱傳氣體壓力。上部體積366中熱傳氣體壓力的減低限制熱自熱邊緣環338傳至RF耦合環340(即熱阻塞),其容許熱邊緣環338的溫度因曝露於RF電漿而增加。如果熱邊緣環的溫度高於目標溫度,則增加上部體積366中的熱傳氣體壓力。上部體積366中熱傳氣體壓力的增加幫助熱自熱邊緣環338傳至RF耦合環340,再傳至受溫度控制之基板支座318。於基板320之電漿處理期間,可持續監視熱邊緣環338的溫度,且可因此調整上部體積366中的熱傳氣體壓力,以將熱邊緣環338維持在預期的目標溫度。基板320的電漿處理可包含半導體材料、金屬或介電材料的電漿蝕刻,或導電或介電材料的沉積。
圖4A說明主動溫控之熱邊緣環組件400的額外實施例,其包含被嵌入RF耦合環440中的加熱元件490。基板支座418包含位於基板支座418的外圓周上且繞著基板支座表面422的環狀支撐表面442。RF耦合環440覆蓋環狀支撐表面442,在環狀支撐表面442與RF耦合環440之間具有下熱導介質450。熱邊緣環438覆蓋RF耦合環440,在熱邊緣環438與RF耦合環440之間具有作為上熱導介質460的上墊片462。
下熱導介質450包含同心配置的內下O型環463C及外下O型環463D。環狀支撐表面442、RF耦合環440、內下O型環463C及外下O型環O型環463D定義下部體積468。下部體積468適於包含一體積的加壓熱傳氣體,該氣體包含氦、氖、氬或氮。
如圖4B所說明的,內下O型環463C及外下O型環463D可被安置在RF耦合環440中所形成的溝槽465。在另一實施例中,如圖4C所說明的,內下O型環463C、外下O型環463D、溝槽465及環狀通道464係同心配置,俾使內下O型環463C及外下O型環463D環繞著環狀通道464。環狀通道464使環狀支撐表面442的熱傳氣體曝露面442A與RF耦合環440的熱傳氣體曝露面440A之間的表面接觸最小化,以藉由調整下部體積468中的熱傳氣體壓力(例如達30 Torr),而提供更精準的熱導控制。在一實施例中,環狀通道464的高度可自約1 mil至約5 mil。
圖4A亦說明控制器480、溫度感測器482、熱傳氣體源484、真空幫浦486及電源供應器488。溫度感測器482適於量測熱邊緣環438於電漿處理期間的溫度,並供應輸入信號予控制器480。熱傳氣體源484及真空幫浦486與下部體積468係流體相通。可響應控制器480而操作氣體源484以增加下部體積468中的靜壓。同樣地,可響應控制器480而操作真空幫浦486以排空體積468。電源供應器488係與加熱元件490連接,並響應控制器480而供應電力予加熱元件490。
基板420在電漿處理室(其帶有主動溫控的熱邊緣環組件400)中的電漿處理期間,基板支座表面422上支撐著基板420。將處理氣體導入該處理室中,並給予處理氣體能量,使其成為電漿狀態。量測熱邊緣環438的溫度。
如果熱邊緣環438的溫度低於目標溫度,則藉由自電源供應器488供應電力予加熱元件490而加熱RF耦合環440。在一實施例中,該目標溫度約自40℃至約200℃。RF耦合環440與熱邊緣環438間的上墊片462幫助熱自RF耦合環440傳至熱邊緣環438。當電源供應器488供電至加熱元件490時,便減低下部體積468中的熱傳氣體壓力。下部體積468中熱傳氣體壓力的減低限制熱自加熱元件490傳至受溫度控制的基板支座418(即熱阻塞)。
如果熱邊緣環438的溫度高於目標溫度,則終止來自電源供應器488的電力(如果加熱元件490在運作的話),且增加下部體積468中之熱傳氣體的壓力。下部體積468中熱傳氣體壓力的增加幫助熱自熱邊緣環438傳至RF耦合環440,再傳送至溫度控制的基板支座418。
於基板420之的電漿處理期間,可持續地監視熱邊緣環438的溫度,且可因此調整下部體積468中的熱傳氣體壓力及供應至加熱元件490的電力,而使熱邊緣環438維持在預期目標溫度。
範例1
執行一系列的測試以判定於電漿處理期間,圖2A實施例中之下導熱介質250及上導熱介質260在熱邊緣環238散熱上的有效性。
在EXELANFLEXTM 蝕刻系統(由位於加州佛利蒙的蘭姆研發股份有限公司所製造)中執行測試。針對每一測試,有4片300 mm矽晶圓經歷約1分鐘的電漿處理。將25 SCCM O2 /35 SCCM C4 F8 /500 SCCM Ar的氣體混合物導入蝕刻腔室,並維持在45 mTorr的壓力。對下電極供應雙頻的電力,約2 MHz頻率的1000W及約27 MHz頻率的1000W(總電力為2 kW)。於電漿處理期間,以光纖溫度探針量測熱邊緣環238的溫度。可以自約2 in.-lb.至約6 in.-lb.的扭矩將熱邊緣環238及RF耦合環240機械地夾持一起。下熱導介質250及上熱導介質260的材料包括λGELCOH-4000墊片、Q-PADII墊片與KAPTON墊片。
圖5說明熱邊緣環的溫度曲線圖係總電力2kW時四次電漿處理循環時間的函數。自圖5可看出有八種熱導介質受測:(A)帶有2 in.-lb扭矩的Q-PAD下墊片;KAPTON上墊片;(B)帶有4 in.-lb扭矩的Q-PAD下墊片;KAPTON上墊片;(C)帶有6 in.-lb扭矩的Q-PAD下墊片;KAPTON上墊片;(D)帶有2 in.-lb扭矩的Q-PAD下及上墊片;(E)帶有4 in.-lb扭矩的Q-PAD下及上墊片;(F)帶有6 in.-lb扭矩的Q-PAD下及上墊片;(G)λGEL上墊片;無下熱導介質;與(H)無下及上熱導介質。
針對圖5中溫度曲線圖(A)-(H)中的每一者,每一局部溫度最小值代表下一次電漿處理循環的開始。如溫度曲線圖(H)(無下及上熱導介質)所說明的,每一局部最小的溫度值(圖5中箭頭所指)隨著每一重複的電漿處理循環而逐步升高。然而,對於溫度曲線圖(A)-(G),每一局部溫度最小值不是以緩慢的速率上升就是維持恆定。圖5說明下熱導介質250及上熱導介質260對於熱邊緣環238的散熱及降低首片晶圓效應更有效。較高RF功率(如3kW與4.5kW)的測試闡明相似的趨勢。
範例2
執行一系列的測試以判定於電漿處理期間,圖3B實施例之上部體積366中的加壓氦(其作為上熱導介質360)在熱邊緣環338散熱上的有效性。
在EXELAN® FLEXTM 蝕刻系統(由位於加州佛利蒙的蘭姆研發股份有限公司所製造)中執行測試。針對每一測試,有4片300mm矽晶圓經歷約1分鐘的電漿處理。第五片300mm矽晶圓接著受6分鐘的電漿處理。將25SCCM O2 /35SCCM C4 F8 /500SCCM Ar的氣體混合物導入蝕刻腔室,並維持在45mTorr的壓力。對下電極供應雙頻的電力,其中總RF功率係自約1kW至約4.5kW不等;且總氦壓自約0Torr至約30Torr不等。於電漿處理期間,以光纖溫度探針量測熱邊緣環338的溫度。個別以自約4 in.-lb.至約10 in.-lb.的扭矩將熱邊緣環338及RF耦合環340機械地夾持一起。下熱導介質350的材料係Q-PAD® II墊片。
圖6A說明熱邊緣環338的溫度曲線圖係下述條件之總功率的函數:(A)約0Torr的氦靜壓;及(B)約30Torr的氦靜壓。在長約6分的處理第5片300mm晶圓之後,量測熱邊緣環338的溫度。如圖6A所說明的,約30Torr加壓氦可使熱邊緣環338的溫度在4.5kW之RF功率時降低達20℃。
圖6B說明熱邊緣環338隨靜氦壓自0Torr至30Torr以每5Torr增量變化時的溫度回應。最初,於4.5kW RF功率的施加期間,上部體積366中的氦靜壓約為0Torr。在熱邊緣環338的溫度超過93℃之後,該氦靜壓一分鐘內升至5Torr,導致該熱邊緣環的溫度降至約85℃。當該靜壓約一分鐘內升至10Torr時,該溫度降至約79℃。當該靜壓約一分鐘內升至15Torr時,該溫度降至約76℃。當該靜壓約一分鐘內升至20Torr時,該溫度降至約73℃。當該靜壓約一分鐘內升至25Torr時,該溫度降至約72℃。當該靜壓約一分鐘內升至30Torr時,該溫度降至約70℃。
圖6B說明可在一分鐘時間尺度中調整熱邊緣環338的溫度。而且,可在較低的靜壓(如0Torr、5Torr或10Torr)中得到較大的溫降。另外,圖3B實施例藉由在約0Torr至約30Torr變化著 氦靜壓,而提供在4.5kW的總RF功率下調整該熱邊緣環溫度達20℃至25℃的能力。
範例3
執行一系列的測試以判定於電漿處理期間,圖3C實施例之環狀通道364中的加壓氦(其作為上熱導介質360)在熱邊緣環338散熱上的有效性。此系列之測試的實驗條件與上述範例2相同。環狀通道364的高度約為2mil。
圖7A說明熱邊緣環338的溫度曲線圖係下述條件之總功率的函數:(A)約0Torr的氦靜壓;及(B)約30Torr的氦靜壓。在長約6分的處理第5片300mm晶圓之後,量測熱邊緣環338的溫度。圖7A也包括來自圖6A實施例的溫度曲線圖。如圖7A所說明的,環狀通道364有效於降低熱邊緣環338的散熱,因而較圖3B實施例能升高熱邊緣環338的溫度。
如圖7A與7B所說明的,圖3C實施例藉由在約0Torr至約30Torr變化著氦靜壓,而提供在4.5kW的總RF功率下調整該熱邊緣環溫度達25℃至30℃的能力。另外,相較於圖3B實施例,於約4.5kW的總RF功率時熱邊緣環338的溫度增加了約20℃至約50℃。對於特定蝕刻的應用,假如熱邊緣環338的溫度低於約70℃至約90℃,不好的聚合物沈積物則可能形成在熱邊緣環338上。
範例4
執行測試以說明於電漿處理期間,內上O型環363A及外上O型環363B在熱邊緣環338散熱上的有效性。將25SCCM O2 /35SCCM C4 F8 /500SCCM Ar的氣體混合物導入蝕刻腔室,並維持在3kW的總RF功率且45mTorr的壓力。於300mm矽晶圓的電漿處理期間量測熱邊緣環338的溫度。體積366中的氦靜壓維持在約0Torr。內O型環363A及外O型環363B係由VITON®含氟彈性體所組成。
圖8說明該熱邊緣環的溫度曲線圖係總RF功率3kW之電漿處理期間之時間的函數。自圖8可看出有二種條件受測:(A)約0Torr靜壓下,內上O型環及外上O型環;與(B)約0Torr靜壓下,無O型環。如圖8所見,VITON含氟彈性體的效用係將約3分鐘之總RF功率3 kW的電漿處理後的熱邊緣環溫度降低約25℃。
範例5
執行一系列的蝕刻測試以判定圖2A實施例之下熱導介質250及上熱導介質260在整個300 mm矽晶圓之直徑上達到均勻蝕刻率的有效性。
在EXELANFLEXTM 蝕刻系統(由位於加州佛利蒙的蘭姆研發股份有限公司所製造)中執行測試。針對每一測試,300 mm矽晶圓覆蓋一層有機光阻。將25 SCCM O2 /35 SCCM C4 F8 /500 SCCM Ar的氣體混合物導入蝕刻腔室,並維持在45 mTorr的壓力。對下電極供應雙頻的電力,其中總RF功率係自約1kW至約3kW不等。以自約2 in.-lb.至約5 in.-lb.的扭矩個別地將熱邊緣環238及RF耦合環240機械地夾持一起。下熱導介質250及上熱導介質260的材料包括SIL-PAD墊片、Q-PADII墊片與KAPTON墊片。在完成該毯覆光阻層的蝕刻後,跨越晶圓的直徑量測蝕刻率(nm/min)。
圖9A-9C個別地說明總功率約1 kW、約2 kW及約3 kW時作為徑向位置之函數的蝕刻率。自圖9A-9C來看有五種熱導介質受測:(A)帶有2 in.-lb扭矩的Q-PAD下及上墊片;(B)帶有5 in.-lb扭矩的Q-PAD下及上墊片;(C)帶有5 in.-lb扭矩的二SIL-PAD下墊片;KAPTON上墊片;(D)無下熱導介質;二SIL-PAD上墊片;及(E)無下或上熱導介質。
如圖9A-9C所指(箭頭所指的圓圈區),下熱導介質250及/或上熱導介質260的存在(曲線A-D)會影響靠近晶圓邊緣處(即±150 mm附近的徑向位置)之光阻的蝕刻率。自圖9A-9C來看,據判斷於總功率2 kW與3 kW下,帶有2 in.-lb扭矩及5 in.-lb扭矩的Q-PAD下及上墊片產生最均勻的光阻蝕刻率。
雖然已參照本文中數個具體實施例而詳述本發明,對於熟悉本技藝者,明顯的是,在不離開附加之權利請求項的範圍內,當可做各式變更、修正,及所運用的等價動作。
10...噴淋頭電極組件
12...上電極
14...支承構件
16...熱控制板
18...基板支座
20...基板
22...基板支座表面
24...內電極構件
26...外電極構件
28...氣體通道
30...氣體通道
32...充氣部
34...支承板
36...支承環
38...熱邊緣環
40...RF耦合環
42...環狀支撐表面
44...熱邊緣環遮蓋部
46...聚焦環
48...地環遮蓋部
49...接地延伸部
200...熱邊緣環組件
218...基板支座
220...基板
222...基板支座表面
238...熱邊緣環
238A...周圍凹部
240...RF耦合環
242...環狀支撐表面
250...下熱導介質
252...下墊片
260...上熱導介質
262...上墊片
270...下栓
272...夾持環
272A...凸緣
274...上栓
276...聚亞醯胺環
300...熱邊緣環組件
318...基板支座
320...基板
322...基板支座表面
338...熱邊緣環
338A...熱傳氣體曝露面
340...RF耦合環
340A...熱傳氣體曝露面
342...環狀支撐表面
350...下熱導介質
352...下墊片
360...上熱導介質
363A...內上O型環
363B...外上O型環
364...環狀通道
365...溝槽
366...上部體積
380...控制器
382...溫度感測器
384...熱傳氣體源
386...真空幫浦
400...主動溫控之熱邊緣環組件
418...基板支座
420...基板
422...基板支座表面
438...熱邊緣環
440...RF耦合環
440A...熱傳氣體曝露面
442...環狀支撐表面
442A...熱傳氣體曝露面
450‧‧‧下熱導介質
460‧‧‧上熱導介質
462‧‧‧上墊片
463C‧‧‧內下O型環
463D‧‧‧外下O型環
464‧‧‧環狀通道
465‧‧‧溝槽
468‧‧‧下部體積
480‧‧‧控制器
482‧‧‧溫度感測器
484‧‧‧熱傳氣體源
486‧‧‧真空幫浦
488‧‧‧電源供應器
490‧‧‧加熱元件
圖1A-1B說明電漿處理設備之噴淋頭電極組件及基板支座之實施例的一部份,其包括熱邊緣環組件。
圖2A-2B顯示帶有熱邊緣環、RF耦合環及基板支座(其帶有環狀支座)之熱邊緣環組件的實施例,其包括下及上熱導介質。
圖3A-3C帶有熱邊緣環、RF耦合環及基板支座之熱邊緣環組件的另一實施例,其包括作為熱導介質的加壓熱傳氣體。
圖4A-4C帶有熱邊緣環、RF耦合環(其帶有加熱元件)及基板支座之熱邊緣環組件的另一實施例,其包括作為熱導介質的加壓熱傳氣體。
圖5說明熱邊緣環於多種電漿處理循環時間使用不同下及上熱導介質的溫度曲線圖。
圖6A-6B說明熱邊緣環的溫度曲線圖係變化的氦熱傳氣體靜壓的函數。
圖7A-7B說明熱邊緣環的溫度曲線圖係環狀通道中變化的氦熱傳氣體靜壓的函數。
圖8說明O型環在熱邊緣環之溫度曲線圖的效用。
圖9A-9C說明使用帶有不同下及上熱導介質之熱邊緣環組件時光阻的蝕刻率均勻度。
10‧‧‧噴淋頭電極組件
12‧‧‧上電極
14‧‧‧支承構件
16‧‧‧熱控制板
18‧‧‧基板支座
20‧‧‧基板
22‧‧‧基板支座表面
24‧‧‧內電極構件
26‧‧‧外電極構件
28‧‧‧氣體通道
30‧‧‧氣體通道
32‧‧‧充氣部
34‧‧‧支承板
36‧‧‧支承環
38‧‧‧熱邊緣環

Claims (16)

  1. 一種受溫度控制的熱邊緣環組件,適於圍繞著一電漿反應腔室中所支撐的一半導體基板,該組件包括:一基板支座,帶有圍繞著一基板支座表面的一環狀支撐表面;一射頻(RF)耦合環,覆蓋著該環狀支撐表面;一下墊片,介於該環狀支撐表面與該RF耦合環之間,該下墊片係導熱及導電的;一熱邊緣環,覆蓋著該RF耦合環,其中該基板支座適於支撐著基板,俾使該基板的外緣懸於該熱邊緣環之上;及一上熱導介質,介於該熱邊緣環與該RF耦合環之間,其中該上熱導介質包括一內O型環及一外O型環,該內O型環與該外O型環係同心配置的,其中該內O型環、外O型環、該熱邊緣環與該RF耦合環定義一體積,該體積適於容納加壓熱傳氣體,其中該熱傳氣體包括氦、氖、氬或氮。
  2. 如申請專利範圍第1項之受溫度控制的熱邊緣環組件,其中該內O型環與該外O型環環繞著該RF耦合環中所形成的一環狀通道,該內O型環、該外O型環與該環狀通道係同心配置的。
  3. 如申請專利範圍第1項之受溫度控制的熱邊緣環組件,更包括:一控制器;一溫度感測器,適於量測該熱邊緣環於電漿處理期間的溫度,並供應輸入信號予該控制器;一熱傳氣體源與一真空幫浦,與該體積連接,響應該控制器而操作該氣體源以增加該體積中的一靜氣壓,及響應該控制器而操作該真空幫浦以排空該體積。
  4. 如申請專利範圍第1項之受溫度控制的熱邊緣環組件,其中以一冷卻液主動地冷卻該基板支座。
  5. 如申請專利範圍第1項之受溫度控制的熱邊緣環組件,其中將該RF耦合環機械地夾持於該環狀支撐表面;及將該熱邊緣環機械地夾持於該RF耦合環。
  6. 如申請專利範圍第5項之受溫度控制的熱邊緣環組件,其中該RF耦合環被閂於該環狀支撐表面;及更包含具有一徑向延伸之凸緣的一夾持環,且該熱邊緣環具有一周圍凹部,該周圍凹部與該凸緣配合並將該熱邊緣環固定於該RF耦合環,該夾持環被閂於RF耦合環。
  7. 如申請專利範圍第6項之受溫度控制的熱邊緣環組件,更包括一聚亞醯胺環,介於該夾持環及該熱邊緣環之間。
  8. 如申請專利範圍第6項之受溫度控制的熱邊緣環組件,其中該RF耦合環係由氧化鋁、矽、碳化矽、或氮化鋁所組成;該熱邊緣環係由矽、碳化矽或石英所組成;及該夾持環係由陶瓷材料所組成。
  9. 一種於一電漿處理腔室中之一基板的電漿處理期間控制如申請專利範圍第3項之熱邊緣環組件溫度的方法,該方法包括:在該基板支座上支撐著該基板;將一處理氣體導入該電漿處理腔室內;給予該處理氣體能量,使其成為電漿狀態;量測該熱邊緣環的溫度;如果該熱邊緣環的溫度低於一目標溫度,則降低該體積中一熱傳氣體的壓力;或如果該熱邊緣環的溫度高於一目標溫度,則增加該體積中該熱傳氣體的壓力;及以該電漿處理該基板。
  10. 如申請專利範圍第9項之於一電漿處理腔室中之一基板的電漿處理期間控制如申請專利範圍第3項之熱邊緣環組件溫度的方法,其中以該電漿處理該基板包括:(a)半導體材料、金屬或介電材料層的電漿蝕刻;或(b)導電或介電材料的沉積。
  11. 一種包含如申請專利範圍第1項之熱邊緣環組件的電漿處理設備,其中該電漿反應腔室為一電漿蝕刻器,適於蝕刻半導體、金屬或介電材料;或為一沈積腔室,適於沉積導電或介電材料。
  12. 一種受溫度控制的熱邊緣環組件,適於圍繞著一電漿反應腔室中所支撐的一半導體基板,該組件包括: 一基板支座,帶有圍繞著一基板支座表面的一環狀支撐表面;一射頻(RF)耦合環,位在該環狀支撐表面上,其中將該RF耦合環機械地夾持於該環狀支撐表面;一絕熱介質,介於該環狀支撐表面與該RF耦合環之間;一熱邊緣環,覆蓋著該RF耦合環,其中將該熱邊緣環機械地夾持於該RF耦合環;及一熱導介質,介於該熱邊緣環與該RF耦合環之間,其中該絕熱介質包括第一內O型環與第一外O型環,該第一內O型環與該第一外O型環係同心配置的,其中該第一內O型環、第一外O型環、該RF耦合環與該環狀支撐表面定義一第一體積,該第一體積適於容納減壓的氣體。
  13. 如申請專利範圍第12項之受溫度控制的熱邊緣環組件,其中該熱導介質包括:第二內O型環與第二外O型環,該第二內O型環與該第二外O型環係同心配置的,其中該第二內O型環、第二外O型環、該熱邊緣環與該RF耦合環定義一第二體積,該第二體積適於容納加壓熱傳氣體;或一上墊片,該上墊片係導熱及導電的。
  14. 如申請專利範圍第13項之受溫度控制的熱邊緣環組件,更包括:一控制器;一溫度感測器,適於量測該熱邊緣環於電漿處理期間的溫度,並供應輸入信號予該控制器;一熱傳氣體源與一真空幫浦,與該第一體積及第二體積連接,可響應該控制器而操作該氣體源以增加該第一體積與該第二體積中的一靜氣壓,且可響應該控制器而操作該真空幫浦以排空該第一體積與該第二體積;一加熱元件,嵌入該RF耦合環中;及一電源供應器,適於響應該控制器而供應電力予該加熱元 件。
  15. 一種於一電漿處理腔室中之一基板的電漿處理期間控制如申請專利範圍第14項之熱邊緣環組件溫度的方法,該方法包括:在該基板支座上支撐著該基板;將一處理氣體導入該電漿處理腔室內;量測該熱邊緣環的溫度;如果該熱邊緣環的溫度低於一目標溫度,則對該加熱元件供應電力而增加該熱邊緣環的溫度;或如果該熱邊緣環的溫度高於該目標溫度,則終止對該加熱元件供應電力,且增加該體積中之熱傳氣體的壓力;給予該處理氣體能量,使其成為電漿狀態;及以該電漿處理該基板。
  16. 一種受溫度控制的熱邊緣環組件,適於圍繞著一電漿反應腔室中所支撐的一半導體基板,該組件包括:一基板支座,帶有圍繞著一基板支座表面的一環狀支撐表面;一射頻(RF)耦合環,位於該環狀支撐面上;一下熱導介質,介於該環狀支撐表面與該RF耦合環之間,其中將該RF耦合環機械地夾持於該環狀支撐表面;一熱邊緣環,覆蓋著該RF耦合環,其中該基板支座適於支撐著一基板,俾使該基板的外緣懸於該熱邊緣環之上;及一上熱導介質,介於該熱邊緣環與該RF耦合環之間,其中將該熱邊緣環機械地夾持於該RF耦合環,以及其中該上熱導介質包括一內O型環及一外O型環,該內O型環與該外O型環係同心配置的,其中該內O型環、外O型環、該熱邊緣環與該RF耦合環定義一體積,該體積適於容納加壓熱傳氣體,其中該熱傳氣體包括氦、氖、氬或氮。
TW098127373A 2008-08-15 2009-08-14 受到溫度控制之熱邊緣環組件 TWI513374B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/222,789 US8449679B2 (en) 2008-08-15 2008-08-15 Temperature controlled hot edge ring assembly

Publications (2)

Publication Number Publication Date
TW201012306A TW201012306A (en) 2010-03-16
TWI513374B true TWI513374B (zh) 2015-12-11

Family

ID=41669522

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098127373A TWI513374B (zh) 2008-08-15 2009-08-14 受到溫度控制之熱邊緣環組件

Country Status (6)

Country Link
US (1) US8449679B2 (zh)
JP (2) JP5946640B2 (zh)
KR (1) KR101670096B1 (zh)
CN (1) CN102150243B (zh)
TW (1) TWI513374B (zh)
WO (1) WO2010019196A2 (zh)

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101141488B1 (ko) * 2003-03-21 2012-05-03 도쿄엘렉트론가부시키가이샤 처리중의 기판이면(裏面) 증착 감소방법 및 장치
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
SG10201407723PA (en) 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
TWI484576B (zh) * 2007-12-19 2015-05-11 Lam Res Corp 半導體真空處理設備用之薄膜黏接劑
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
GB2502929B (en) * 2011-03-08 2020-06-03 Ibm Deleting relations between sources and space-efficient targets in multi-target architectures
US9905443B2 (en) * 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US8710596B2 (en) 2011-05-13 2014-04-29 United Microelectronics Corp. Semiconductor device
JP5838054B2 (ja) * 2011-07-27 2015-12-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8507350B2 (en) 2011-09-21 2013-08-13 United Microelectronics Corporation Fabricating method of semiconductor elements
US8541303B2 (en) 2011-09-28 2013-09-24 United Microelectronics Corp. Method for fabricating MOS transistor
US9947559B2 (en) * 2011-10-28 2018-04-17 Applied Materials, Inc. Thermal management of edge ring in semiconductor processing
US8975672B2 (en) 2011-11-09 2015-03-10 United Microelectronics Corp. Metal oxide semiconductor transistor and manufacturing method thereof
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8772159B2 (en) 2012-02-01 2014-07-08 United Microelectronics Corp. Method of fabricating electrical contact
US9412579B2 (en) * 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US8598033B1 (en) 2012-10-07 2013-12-03 United Microelectronics Corp. Method for forming a salicide layer
US9064931B2 (en) 2012-10-11 2015-06-23 United Microelectronics Corp. Semiconductor structure having contact plug and metal gate transistor and method of making the same
US8476164B1 (en) 2012-10-26 2013-07-02 United Microelectronics Corp. Method of manufacturing semiconductor device with silicide
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US9076759B2 (en) 2013-01-10 2015-07-07 United Microelectronics Corp. Semiconductor device and manufacturing method of the same
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
KR101317942B1 (ko) * 2013-03-13 2013-10-16 (주)테키스트 반도체 제조용 척의 에지링 냉각모듈
US9006072B2 (en) 2013-03-14 2015-04-14 United Microelectronics Corp. Method of forming metal silicide layer
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US8993433B2 (en) 2013-05-27 2015-03-31 United Microelectronics Corp. Manufacturing method for forming a self aligned contact
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
JP6226117B2 (ja) * 2013-07-25 2017-11-08 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9799497B2 (en) * 2013-08-16 2017-10-24 Taiwan Semiconductor Manufacturing Company Limited Patterned processing kits for material processing
JP6689020B2 (ja) * 2013-08-21 2020-04-28 東京エレクトロン株式会社 プラズマ処理装置
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
JP6261287B2 (ja) * 2013-11-05 2018-01-17 東京エレクトロン株式会社 プラズマ処理装置
JP2015115421A (ja) * 2013-12-10 2015-06-22 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
KR102335891B1 (ko) * 2013-12-26 2021-12-03 어플라이드 머티어리얼스, 인코포레이티드 유동성 막들의 광-보조 증착
EP3105300B1 (en) 2014-02-13 2019-08-21 Honeywell International Inc. Compressible thermal interface materials
JP6540022B2 (ja) * 2014-12-26 2019-07-10 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US20170002465A1 (en) * 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
CN105551925A (zh) * 2015-12-08 2016-05-04 武汉华星光电技术有限公司 干刻蚀装置
JP3210105U (ja) * 2016-03-04 2017-04-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ユニバーサルプロセスキット
KR102554661B1 (ko) 2016-03-08 2023-07-13 허니웰 인터내셔널 인코포레이티드 상 변화 물질
JP6226092B2 (ja) * 2016-03-14 2017-11-08 Toto株式会社 静電チャック
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6700118B2 (ja) * 2016-06-24 2020-05-27 東京エレクトロン株式会社 プラズマ成膜装置および基板載置台
JP6238097B1 (ja) * 2016-07-20 2017-11-29 Toto株式会社 静電チャック
JP6146841B1 (ja) * 2016-08-04 2017-06-14 日本新工芯技株式会社 リング状電極
US10541168B2 (en) * 2016-11-14 2020-01-21 Lam Research Corporation Edge ring centering method using ring dynamic alignment data
US10504720B2 (en) 2016-11-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Etching using chamber with top plate formed of non-oxygen containing material
KR102581226B1 (ko) 2016-12-23 2023-09-20 삼성전자주식회사 플라즈마 처리 장치
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US10276364B2 (en) * 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
US11041103B2 (en) 2017-09-08 2021-06-22 Honeywell International Inc. Silicone-free thermal gel
KR102387008B1 (ko) * 2017-11-06 2022-04-18 엔지케이 인슐레이터 엘티디 정전 척 어셈블리, 정전 척 및 포커스 링
TWI780093B (zh) * 2017-12-15 2022-10-11 美商蘭姆研究公司 用於電漿腔室的環結構及系統
US11072706B2 (en) 2018-02-15 2021-07-27 Honeywell International Inc. Gel-type thermal interface material
CN108447817A (zh) * 2018-03-20 2018-08-24 君泰创新(北京)科技有限公司 硅片托举装置
KR101995760B1 (ko) * 2018-04-02 2019-07-03 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102096985B1 (ko) * 2018-08-23 2020-04-03 세메스 주식회사 기판 처리장치
KR102111504B1 (ko) * 2018-10-15 2020-05-18 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP7228989B2 (ja) * 2018-11-05 2023-02-27 東京エレクトロン株式会社 載置台、エッジリングの位置決め方法及び基板処理装置
JP7145041B2 (ja) * 2018-11-08 2022-09-30 東京エレクトロン株式会社 基板支持器、プラズマ処理装置、及びフォーカスリング
US11875970B2 (en) 2018-12-17 2024-01-16 Advanced Micro-Fabrication Equipment Inc. China Radio frequency electrode assembly for plasma processing apparatus, and plasma processing apparatus
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
JP7340938B2 (ja) * 2019-02-25 2023-09-08 東京エレクトロン株式会社 載置台及び基板処理装置
US11373921B2 (en) 2019-04-23 2022-06-28 Honeywell International Inc. Gel-type thermal interface material with low pre-curing viscosity and elastic properties post-curing
KR102290912B1 (ko) * 2019-05-09 2021-08-18 세메스 주식회사 기판 지지 유닛 및 이를 가지는 기판 처리 장치
KR102200315B1 (ko) * 2019-07-29 2021-01-08 세메스 주식회사 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US11894255B2 (en) * 2019-07-30 2024-02-06 Applied Materials, Inc. Sheath and temperature control of process kit
KR102335472B1 (ko) * 2019-09-04 2021-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102102131B1 (ko) * 2019-10-31 2020-04-20 주식회사 테크놀로지메이컬스 결합형 포커스 링
JP7308767B2 (ja) * 2020-01-08 2023-07-14 東京エレクトロン株式会社 載置台およびプラズマ処理装置
US11646213B2 (en) 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control
JP2021180283A (ja) * 2020-05-15 2021-11-18 東京エレクトロン株式会社 載置台アセンブリ、基板処理装置および基板処理方法
KR20220102201A (ko) 2021-01-12 2022-07-20 삼성전자주식회사 척 어셈블리, 그를 포함하는 반도체 소자의 제조 장치, 및 반도체 소자의 제조방법
US11664193B2 (en) 2021-02-04 2023-05-30 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround
CN116453315B (zh) * 2023-05-05 2024-03-12 山东暖康新能源科技有限公司 一种基于消防的线型超长距离感温报警***

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW423072B (en) * 1998-06-30 2001-02-21 Lam Res Corp Electrode for plasma processes and method for manufacture and use thereof
US20020022281A1 (en) * 2000-02-11 2002-02-21 Flanner Janet M Convertible hot edge ring to improve low-k dielectric etch
TW200405466A (en) * 2002-09-20 2004-04-01 Lam Res Corp Apparatus for reducing polymer deposition on a substrate and substrate support
CN1914712A (zh) * 2003-12-17 2007-02-14 兰姆研究公司 用于减少等离子体反应器蚀刻速率漂移的温控热边缘环组件
US20080118641A1 (en) * 2006-11-20 2008-05-22 Applied Materials, Inc. Compensation techniques for substrate heating processes
CN101223000A (zh) * 2005-07-19 2008-07-16 朗姆研究公司 保护在适于在等离子体处理***中使用的基片支撑件中的粘结层的方法

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4654754A (en) 1982-11-02 1987-03-31 Fairchild Weston Systems, Inc. Thermal link
US4782893A (en) 1986-09-15 1988-11-08 Trique Concepts, Inc. Electrically insulating thermally conductive pad for mounting electronic components
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5660917A (en) 1993-07-06 1997-08-26 Kabushiki Kaisha Toshiba Thermal conductivity sheet
CA2129073C (en) 1993-09-10 2007-06-05 John P. Kalinoski Form-in-place emi gaskets
DE4339786C5 (de) 1993-11-18 2004-02-05 Emi-Tec Elektronische Materialien Gmbh Verfahren zur Herstellung einer Anordung zur Wärmeableitung
US5545473A (en) 1994-02-14 1996-08-13 W. L. Gore & Associates, Inc. Thermally conductive interface
JPH07310187A (ja) * 1994-05-16 1995-11-28 Kobe Steel Ltd プラズマ処理装置
US5476548A (en) 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5679457A (en) 1995-05-19 1997-10-21 The Bergquist Company Thermally conductive interface for electronic devices
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5805408A (en) 1995-12-22 1998-09-08 Lam Research Corporation Electrostatic clamp with lip seal for clamping substrates
US5781412A (en) 1996-11-22 1998-07-14 Parker-Hannifin Corporation Conductive cooling of a heat-generating electronic component using a cured-in-place, thermally-conductive interlayer having a filler of controlled particle size
HUP0002032A3 (en) 1997-02-13 2001-12-28 Monsanto Company Saint Louis Method of preparing amino carboxylic acids
US5978202A (en) 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US6034863A (en) 1997-11-12 2000-03-07 Applied Materials, Inc. Apparatus for retaining a workpiece in a process chamber within a semiconductor wafer processing system
US6096414A (en) 1997-11-25 2000-08-01 Parker-Hannifin Corporation High dielectric strength thermal interface material
US6131646A (en) 1998-01-19 2000-10-17 Trw Inc. Heat conductive interface material
US6220607B1 (en) 1998-04-17 2001-04-24 Applied Materials, Inc. Thermally conductive conformal media
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
JP2000150487A (ja) * 1999-01-01 2000-05-30 Hitachi Ltd プラズマ処理方法
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6165612A (en) 1999-05-14 2000-12-26 The Bergquist Company Thermally conductive interface layers
US6496373B1 (en) 1999-11-04 2002-12-17 Amerasia International Technology, Inc. Compressible thermally-conductive interface
US6363882B1 (en) 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
US6343647B2 (en) 2000-01-11 2002-02-05 Thermax International, Ll.C. Thermal joint and method of use
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6475933B1 (en) 2000-01-27 2002-11-05 Northrop Grumman Corporation Highly conductive elastomeric sheet
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
PT1264126E (pt) 2000-03-06 2008-01-10 Interface Solutions Inc Juntas de vedação com propriedades controladas de aderência à superfície de flanges
US7220937B2 (en) 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6632322B1 (en) 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
JP2002093777A (ja) 2000-07-11 2002-03-29 Nisshinbo Ind Inc ドライエッチング装置
US6433484B1 (en) 2000-08-11 2002-08-13 Lam Research Corporation Wafer area pressure control
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US6651736B2 (en) 2001-06-28 2003-11-25 Intel Corporation Short carbon fiber enhanced thermal grease
DE10156407A1 (de) 2001-11-16 2003-06-05 Bosch Gmbh Robert Haltevorrichtung, insbesondere zum Fixieren eines Halbleiterwafers in einer Plasmaätzvorrichtung, und Verfahren zur Wärmezufuhr oder Wärmeabfuhr von einem Substrat
JP3868341B2 (ja) 2002-04-22 2007-01-17 日清紡績株式会社 耐熱性に優れたプラズマエッチング電極及びそれを装着したドライエッチング装置
US7208192B2 (en) 2002-05-31 2007-04-24 Parker-Hannifin Corporation Thermally or electrically-conductive form-in-place gap filter
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US7205050B2 (en) 2003-06-09 2007-04-17 Permatex, Inc. Low shear adhesion RTV silicone
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US7067432B2 (en) 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US7014959B2 (en) 2003-06-30 2006-03-21 International Business Machines Corporation CD uniformity of chrome etch to photomask process
US7137444B2 (en) 2003-09-08 2006-11-21 Pacific Rubber & Packing, Inc. Heat-transfer interface device between a source of heat and a heat-receiving object
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20050229849A1 (en) 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20050196971A1 (en) 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
JP4361835B2 (ja) * 2004-06-04 2009-11-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御部材及びプラズマ処理方法
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7250373B2 (en) 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4783094B2 (ja) * 2005-09-02 2011-09-28 東京エレクトロン株式会社 プラズマ処理用環状部品、プラズマ処理装置、及び外側環状部材
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7405160B2 (en) * 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
JP2007258500A (ja) * 2006-03-24 2007-10-04 Hitachi High-Technologies Corp 基板支持装置
US7560007B2 (en) 2006-09-11 2009-07-14 Lam Research Corporation In-situ wafer temperature measurement and control
US20080066683A1 (en) * 2006-09-19 2008-03-20 General Electric Company Assembly with Enhanced Thermal Uniformity and Method For Making Thereof
KR100809957B1 (ko) 2006-09-20 2008-03-07 삼성전자주식회사 반도체 식각장치
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7476291B2 (en) 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
JP4792381B2 (ja) * 2006-12-25 2011-10-12 東京エレクトロン株式会社 基板処理装置、フォーカスリングの加熱方法及び基板処理方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW423072B (en) * 1998-06-30 2001-02-21 Lam Res Corp Electrode for plasma processes and method for manufacture and use thereof
CN1167103C (zh) * 1998-06-30 2004-09-15 兰姆研究公司 用于等离子加工的弹性接合部件及其制造方法和其应用
US20020022281A1 (en) * 2000-02-11 2002-02-21 Flanner Janet M Convertible hot edge ring to improve low-k dielectric etch
TW200405466A (en) * 2002-09-20 2004-04-01 Lam Res Corp Apparatus for reducing polymer deposition on a substrate and substrate support
CN1914712A (zh) * 2003-12-17 2007-02-14 兰姆研究公司 用于减少等离子体反应器蚀刻速率漂移的温控热边缘环组件
CN101223000A (zh) * 2005-07-19 2008-07-16 朗姆研究公司 保护在适于在等离子体处理***中使用的基片支撑件中的粘结层的方法
US20080118641A1 (en) * 2006-11-20 2008-05-22 Applied Materials, Inc. Compensation techniques for substrate heating processes

Also Published As

Publication number Publication date
CN102150243A (zh) 2011-08-10
KR101670096B1 (ko) 2016-10-27
WO2010019196A2 (en) 2010-02-18
US20100040768A1 (en) 2010-02-18
KR20110045005A (ko) 2011-05-03
TW201012306A (en) 2010-03-16
WO2010019196A3 (en) 2010-04-15
JP2012500470A (ja) 2012-01-05
CN102150243B (zh) 2014-11-26
US8449679B2 (en) 2013-05-28
JP5974054B2 (ja) 2016-08-23
JP5946640B2 (ja) 2016-07-06
JP2014222786A (ja) 2014-11-27

Similar Documents

Publication Publication Date Title
TWI513374B (zh) 受到溫度控制之熱邊緣環組件
JP5560267B2 (ja) プラズマ処理装置のためのシャワーヘッド電極アセンブリ、真空チャンバ、及び、プラズマエッチングを制御する方法
KR101265807B1 (ko) 개선된 반도체 프로세싱 균일성을 위한 열 전송 시스템
US8313665B2 (en) Showerhead electrode assemblies for plasma processing apparatuses
TWI521589B (zh) An electrode unit, a substrate processing device, and an electrode unit
JP4960340B2 (ja) 低減されたポリマー堆積特性を有するプラズマ閉じ込めリング組立体
TWI553729B (zh) Plasma processing method
TW200837865A (en) Substrate processing apparatus and focus ring
TWI670787B (zh) 具有降低之腐蝕敏感度的製程套件
TW200921783A (en) Substrate processing equipment, and showerhead
JP2006500766A (ja) 基板上のポリマーの堆積を減少させるためのデバイスを備えたプラズマ装置及びポリマーの堆積を減少させるための方法
JP2006257495A (ja) 基板保持部材及び基板処理装置
JP2019160846A (ja) 被処理体の載置装置及び処理装置
JP2017010993A (ja) プラズマ処理方法
JP4456218B2 (ja) プラズマ処理装置
JP2010267708A (ja) 真空処理装置および真空処理方法
JP2011124274A (ja) プラズマ処理装置
KR20160086272A (ko) 냉각 구조물 및 평행 평판 에칭 장치
CN114068279A (zh) 载置台和等离子体处理装置