TW411497B - Reactive preclean prior to metallization for sub-quarter micron application - Google Patents

Reactive preclean prior to metallization for sub-quarter micron application Download PDF

Info

Publication number
TW411497B
TW411497B TW087116314A TW87116314A TW411497B TW 411497 B TW411497 B TW 411497B TW 087116314 A TW087116314 A TW 087116314A TW 87116314 A TW87116314 A TW 87116314A TW 411497 B TW411497 B TW 411497B
Authority
TW
Taiwan
Prior art keywords
plasma
patent application
item
cleaning
chamber
Prior art date
Application number
TW087116314A
Other languages
English (en)
Inventor
Suchitra Subrahmanyan
Liang-Yuh Chen
Roderick Craig Mosely
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW411497B publication Critical patent/TW411497B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

411497 at B7 五、發明説明() 發明頜域: 本發明係關於製造半導體裝置時金屬化方法。更詳言 ! m f.rt —TMt f^i ^^^1 14. ^ ^^^1 IK ^^^1 -5 (¾先閲讀背面之>i意事項再填巧本頁) 之,本發明係關於在金屬化前之次微米元件的預清洗。 發明背景二 次二分之一微来多層金屬化為下一世代超大型積體 電路(VLSI)之主要技術之一。屬於此技術核心之多層互連 (interconnect)需要將形成在高的高寬比小孔中之元件 (feature),包括接點,貫穿孔(via),線路或其它元件,平 坦化"對於成功地製成VLSI及增加電路密度及各別基材 及*晶粒(die)品質所投入的持續努力而言,能可靠地形成 此等互連元件是十分重要的。 積體電路密度之提高主要係來自減少貫穿孔,接點及 其它元件之寬度,以及減少此等元件間介電材料之厚度。 為了增加裝置的整體性,在金屬化前清洗元件以去除污染 物是必要的。元件寬度之減低導致元件之高寬比值較大, 且使得以金屬或其它材料充填元件之前進行之元件清洗 步驟更為困難。元件清洗不良會導致元件内形成空隙或增 加元件之電阻性。所以,目前對於如何清洗具有高的高寬 比的小元件,特別對元件高度對寬度的比例為4:1或更大 之小元件,投入極多研究。 於小元件中所存在之自然氧化物及其它污染物通常 會造成沉積金屬分佈不均勻而形成空隙。自然氧化物係裸 露的膜層/基材暴露於氧後的結果》當基材在大氣條件下於
第5T 本紙张尺度適川中1¾¾家彳m { ('NS > Λ4规梏(210X297公楚) 411497 A7 B7 五、發明説明() 處理室間移動時’或殘留於真空室内少量的氧接觸晶圓或 膜層或膜層藉蝕刻來破壞時就會發生暴露於氧下的情 況*在元件内的其它污染物可以為來自氧化物過度蝕刻的 喷賤材料’來自剝除處理的殘餘光阻劑,來自先前氧化物 触刻步驟的殘留聚合物,或來自預清洗濺鍍蝕刻步驟的再 沉積材料。自然氧化物及其它污染物在基材上會干擾膜形 成且形成膜成長受阻的區域β膜較快成長的區域會在成長 受阻區域被沉積材料完全充填前合併且密封小元件。 自然氧化物及其它污染物的存在亦會增加貫穿孔/接 點的電阻且會減少小元件電子遷移的電阻•污染物可擴散 至介電層’底層,或沉積金屬中,JL改變具有該等小元件 之裳置的性能。雖然污染物可被局限在元件邊界極薄的區 域内,不過邊界極薄區域仍屬該等小元件的實質部份.當 元件寬度變得更小時,元件内污染物的可接受量則會降 低。 使用噴濺蝕刻技術來預清洗元件可以有效減少較大 元件或高寬比小於約4:1的小元件内污染物的量。然而, 喷濺蝕刻步驃會由於物理性衝擊而損害矽層,會將矽/二氧 化矽濺鍍沉積至元件的側壁上’且將金屬底層如鋁或銅層 濺鍍至元件的側壁上。對較大元件而言,濺鍍蝕刻步驟通 常會將元件内污染物的含量減少到可接受程度。對於具有 較大高寬比值的小元件而言,濺鍍蝕刻步驟對於移除元件 内污染物並不如此有效,所以會損及所形成之裝置的性 能。 第6頁 (誚先閱讀背而之注意事項再填寫本Η} Λ.
*1T 本紙张尺度通川,丨,國闯家標埤((,NS 格(210X297公釐) 411497 A7 __________B7 ____ 五、發明説明() 以濺鍍蝕刻來預清洗銅基材元件特別不適用。這是因 為濺鍍之銅很容易擴散至介電質側壁中*此種擴散現象對
I 於TEOS,熱氧化物及某些低K值介電材料尤為如此°所 以*在銅預清洗製程中實需要一種不會在基材上造成偏壓 的新穎預清洗方法。 現在已發展出濕式化學清洗法來於金屬化前清洗次 微米元件而無減鍵蚀刻法所見到的損害或污染。此種濕式 化學方法通常包括用氩氟酸(HF)來處理元件用以去除自 然氧化物。依殘留於元件内的污染物的不同可使用其它不 同的濕式化學方法。濕式化學清洗法可與乾式清洗法結合 使用。然而,加入濕式清洗步驟來從元件中除去微量污染 物實質上會增加金屬化的總處理時間。 預清洗一種次微米元件的乾式清洗法由塔古哇 (Taguwa)等人述於"Low-Contact Resistance Metallization for Gigabite Scale DRAMs Using Full-Dry Cleaning Ar/H2 ECR Plasma",IEDM 95-695 et seq. » 該乾式清洗法係較佳 地在化學氣相沉積鈦之前先以含有專及氫混合物的電于* 同旋加速電漿來清洗元件。該清洗方法減少氬的撞擊,從 矽底層去除自然氧化物,且在矽底層及沉積鈦間促成均勻 鈦矽化合物(TiSix)層之形成。然而,在清洗方法中於氩内 加入氫並無法完全去除元件中材料再濺鍵的現象* 對於具有高的高寬比之次四分之一微米元件而言,材 料在乾式清洗期間從元件的底部再濺射至元件側壁導致 元件明顯地窄化且對應地導致元件電阻增加而損及裝置 第7頁 紙烺尺度適用中因网^:標峰((,NS ) 格(210X297公釐) " ----------裝------訂------^ - _ {"先閲请背面之注意事項再功巧本頁) 411497 A7 B7 五、發明説明( 性能。習知的乾式清洗法必需在元件側壁上重新沉積材料 才能气效地移去除污染物〃所以,此領域仍需要—種小元 件之清洗法,其能不在元件中殘留污染物之下來有效地清 洗元件》 發明目的及概.Μ : 本發明提供一種預清洗元件的方法,其在半導體基材 上的元件金屬化之前對該等元件預清洗,用以去除污染 物。本方法包括在未損及底層的前提下從接點的底部移除 氧化物’包括從貫穿孔的底部移除二氧化矽,氧化鋁或氧 化銅而不將材料再沉積至側壁上,從接點孔的底部移除一 層薄的受損矽,及從元件側壁移除污染物。元件的預清洗 較佳地包括一種以反應清洗氣體的遠端電漿(remote plasma)原子困來移除污染物的第一步驟,及視需要包含一 第二步驟’其藉由暴露至氫原子囷來還原殘留於元件内的 自然氧化物。清洗氣體的電漿較佳由遠端電漿源產生用以 提供一種不會損壞或再濺鍍元件底部底層之軟式蝕刻》 於第一預清洗步驟或兩種預清洗步鄉之後,元件可用 適當技術以金屬充填,該等技術通常包括在沉積金屬如鋁 (A1),銅(Cu),鎢(W)之前先用物理氣相沉積,化學氣相沉 積或其它技術於暴露的介電質表面上沉積一層阻障層或 襯裡層以完全地充填元件。此等預清洗及金屬化步驟可於 適當積體處理平台上進行,例如應用材料公司(Applied Materials, Inc.,Santa Clara, California)的印杜拉系統 第8頁 本紙乐尺政诚州中闽网家標吟(CNS ) Μ说格(210X297公釐) --—1---^------訂------" -- f先閱讀背而之注意事項再填』55本頁) 411497 轉消部屮""'埤^只-1·消介合:ϋρίι印Λι,": A7 ____B7 五、發明説明() (Endura system) ° 本發明之一項要點為將半導體基材上介電層内形成
I 的次微米元件以電漿原子困預清洗來處理,該電漿可包含 反應氣體如氧氣、四氟化碳及氧氣混合物,或氦及三氟化 氮混合物;其係由遠端電漿源產生1中性原子困被輸送 至含基材的反應室•以反應氣體清洗後,殘留於次微米元 件内的自然氧化物以僅含有氫的電漿原子團或含有氩與 氮(N)、氩(Ar)、或氦(He)混合物的電漿原子困來去除。氩 原子團不僅可以去除氧化物還可以去除碳及氟污染物8於 預清洗之後,較佳於元件的暴露面上沉積一層阻障層或截 裡層’然後該元件以鋁 '銅、鎢或其它導電材料來充填β 當阻障層或觀裡層為飲或飲(Ti)/氮化鈥(TiN)時,於650-75〇°C下於本發明預清洗方法處理的矽表面上沉積叙則會 形成一層均勻且單一相的鈦矽化合物(TiSU)層》預清洗也 可以在氮化鈦的化學氣相沉積室内就地產生,其可於反應 室再加上遠端電漿源來沉積一層銅或銘金屬化層之阻障 層。 本發明的另一項要點為此種預清洗方法可在阻陣層 沉積之前及之後均施行以減少於阻障層前後兩側的污染 物含量且增加元件電遷移的電阻。 圖式簡單說明I 丨 本發明的一更加詳細的說明可藉由參照示於附圈中 之實施例而被獲得,使得本發明之上述的特徵,優點及目 第9頁 本紙張尺度诮州中阁阀冢標卒((’NS > Λ4規格< 210XM7公漤) ---«I 4« ]---装------訂------" ~- (邡先閱讀背面之注意事項再填巧本頁) 五 ^11497 A7 發明説明7~) ~ '一'~〜^ 的可更詳細地被瞭解· 斤而’應被瞭解的是,附囷只是舉出本發明之 實施例*因此不應被認定為是本發明之範團的隈制、里的 第1圓顯示一個預清洗反應室,其具有一個逮 % % %衆源、 進行本發明的預清洗步驟: 、以 第2圖顯示一個可產生電漿以進行本發明預清 艾鄉之常 感耦合電漿反應器之整體外觀; 第3囷為沿著第2囷之線3·3剖面的上梘 丹頋不氣體 配送系統; 第4圖為一放大视囷,其顯示第2明氣體配送系統:及 第5圖顯示依據本發明所建搆用以預清洗且充填半導趙基 材元件之整合的處理平台》 閱 讀 背 之 裴 頁 訂 ί£碘部中少樣Τ,消卟合作社6ilJ^ 凰__號對照說明: 10 遠端電漿源室 12 陽極 14 靜電夾盤 16 室體 18 細縫閥孔 20 陽極椒裡 22 室櫬裡 24 内環形壁架 26 氣體分配板 30 處理區 32 排氣孔 34 通風系統 36 藍寶石窗口 40 室蓋 42 中央注入孔 50 ΐ . 遠端電漿源 52 電漿施加器 54 氣體入〇 56 套式導波件 60 磁控管 第10頁 本紙張尺皮诮州中阈四家榣導(CNS ) /\4%格(210Χ297公簏)
X A7 B7 五、發明説明() 62 微波絕緣子 64 90°導波件 66 $動諧調器 111 真空室 1 12 基底件 1 14 侧壁 1 16 渦輪增壓幫浦 117 石英頂 119 氣體分配*** 120 絕緣托盤 121 石英蓋 122 導電托盤 123 射頻能量供應器 125 射頻電感線圈 127 蓋子 133 氣體供應道 132 氣體注入孔 118 凸緣 160 整合的處理系統 162 匣盒負荷鎖定件 164 機械臂 167 輪葉 168 緩衝室 170 去氣晶圆定向室 172 RPS預清洗室 175 HP-PVD Ti/TiN 室 176 冷卻室 178 第二機械臂 180 輸送室 182 PVD IMP Ti/TiN 室 1 84 CVD A1 室 186 CVD TiN 室 188 PVD HTHU A1 室 190 微處理器控制器 ---:---Ί J---裝------訂------泉 (銷先閱請背而之注意事項再填寫本頁) ' - 發明詳細辑明: 本發明大體上提供一種對被蝕刻在半導體基材上之 介電層中之元件進行預清洗的方法,該方法包含以反應性 清洗氣體的電漿原予困去除污染物來預清洗次微米元 件,其中該電漿最好是由遠端電漿源產生且中性原子图被 輸送至置放基材的反應室《經遠端電漿處理後,殘留於次 _____第11頁 _ 本紙張尺度適扣十闲阄家標蜱((’NS 说格{ 210x2^7公釐) A7 B7 411497 五、發明説明( 微米元件内的自然氧化物較佳地是在將元件金屬化前先 用氫卞反應還原》更詳言之,本發明提出次微米元件的預 ( 清洗且以金屬如銅、鋁、或鎢來充填元件。本處理步驟可 結合至整合的處理系統上,如應用材料公司(Applied Materials,Inc.,Santa Clara, California)的印杜拉系統 (Endura system),不過根據本發明也可用非整合的技術來 清洗及充填次微米元件。 為清楚起見,本發明於下將參考銅及鋁沉積技術來描 述。不過,目前已知或以後發現的鎢或其它金屬的沉積方 法也可用於本發明而保有本發明的優點。 本發明提供一種預清洗貫穿孔、接點及其它元件的適 當方法,該等元件係蝕刻沉積在導電底層或半導電底層 (如鍺、矽 '鋁、銅或氮化鈦底層)上的介電層如二氧化矽 層來形成。此種元件通常會裸露出底層,所以當元件以導 電性或半導電性材料充填時,此等導電性或半導電性材料 會與底層連接,且從而於介電層上沉積有一層金屬互聯 層。於介電層上蝕刻出元件通常會留下污染物,其應去除 以改進元件的充填效過且最後得以增進所形成裝置的整 體性及可靠性》 於蝕刻介電層後,元件内可能由於介電層的過度蝕刻 而具有受損的矽或金屬殘物。元件表面可能有來自光阻劑
V 剝除及/或灰化(Stripping and/or ashing)步砑的殘餘光阻劑 或來自介電蝕刻步驟的殘餘聚合物。在濺鍍蝕刻預洗步驟 之後,在元件表面亦可含有再沉積材料,此等污染物亦可 第121 本紙張尺度蝻川十闲標卒(rNS ) Λ4現格(210X25»7公釐) . ;^ 訂------名 (¾先閱讀背面之注意事項再填寫本頁) , - Μ 部 十 牟 η j. 消 t: 合 η ii 印 $! 411497 -- - ._______ ___ 五、發明説明() 移動到介電層内或由於造成沉積材料的不均勻分佈而干 優金,化的選擇性•污染物的存在藉由使元件寬度實質上 窜化’且在形成貫穿孔,接點線或其它導電元件的金屬中 造成窄縮的部份而增加沉積金屬的電阻。 根據本發明被清洗及充填的次微米元件係以習知的 技術’於丰導體基材上沉積介電材料所形成。不論是目前 已知或未來發現的任何介電材料都可被用於本發明立屬 本發明範園,此等介電材料包括低介電材料,如有機聚合 物及氣凝膠。介電層可包括一或多個獨立層且可沉積在任 何適當的沉積強化底層上。較佳地沉積強化底層包括有導 電性金屬如鋁及銅,阻障面如氮化鈦及摻雜矽。 於介電材料沉積後,則以習知技術來蝕刻介電層以形 成貫穿孔、接點、渠溝或其它次微米元件。此等元件通常 具有高的高寬比值及陡峭側壁《介電層的蝕刻可用任何蝕 刻方法’包括電漿蝕刻來完成》蝕刻二氧化矽的特殊技術 包括使用如緩衝氩氟酸及丙酮等化合物。然而,於任何膜 層上進行構囷可使用任一種.本技接所習知的方法來完 成。 較佳預清洗鐾罾 本發明之預清洗方法較佳在一種遠端電漿源(RPS) 室’如應用材料公司(Applied Materials,Inc·,Santa Clara, California)提供的蝕刻RPS室中進行《此電漿室包括兩個 主要的组件:1) 一個反應室體,較佳但非必要地包括一個於 ______第Ί3貫 ____ 本紙張尺度適州中阐國家榡彳(〇^)八4規格(2〗0父297公釐Γ " ' ---.--.J---裝------訂------鼻 (誚先閱讀背面之注意事項再填寫本頁) - . 411497 A7 B7 五、發明説明() 反應室内支撐並固定基材的靜電夾盤;及2)—個遠端電 漿源1»為了有组織性起見,此等主要组件將分別地討論,
I 不過應了解事實上此等组件間具有動態的交互作用。於一 個RPS室中,反應氫原子團係由遠端源產生丑主要以中性 物種來引到基材的附近,亦即此等原子困不具電荷且不是 離子,所以可以避免離子在晶圓表面產生自偏壓及森擊。 以RPS室進行的實驗顯示2.45GHz的微波源較有效且可以 較低頻射頻源產生更多氫原子團。 反應室體/靜電央盤组件 參考第1圖,於基材上蚀刻形成的元件.於具有不動的 陽極12的遠端電漿源(RPS)室内預清洗,該反應室包括一 個靜電夾盤14可將基材(未頰示)固定在不動的陽極12 上。反應室10包括一個具有細縫閥孔18的室體16,其將 室1 0連接至基材處理平台,如印杜拉平台* 該不動的陽極12藉具有非沾黏性外表面的陽極襯裡 20用來遮蔽以免受處理氣體影響以加強處理性能•室體 16亦藉具有非沾黏性内表面的室椒裡22來遮蔽以免受處 理氣體影響以加強處理性能。室襯裡22包括一個支撑氣 體分配板26的内環形壁架24。氣體分配板26具有多個間 隔小孔,其可分配從如下描述之遠端電楽;源所得到的處理 \ 氣體。處理氣體流過位在靜電夾盤14上的基材表面》遠 端電漿源通常會拘限所有處理氣體的電漿且將中性原子 團提供给室22。該氣体分配板可接地以進一步減少殘留於 第14貫 本紙张尺/114( (,NS ) Λ4ϋ〇Χ 297公势) — * - n I I - I _?τI n n. 1 J I (誚先閱请背面之注意事項再填巧本頁) - 较硪部中夾梯Τ,消先々印*'!4 411497 A7 B7 五、發明説明() 處理氣體内的離子。 於不動的陽極20上方的處理區30係以與室體16之
I 排氣孔32以流體連通之真空泵(未顯示)來維持於低的處 理壓力下。具有多個間隔開的孔之通風系統34將處理區 30與排氣孔32分開用以促進不動的陽極12週園均勻的排 氣。處理區30可透過密閉在室體16的藍寶石觀窗36而 從室1 0外看到。 室10具有一個位在室襯裡22上的可移動的室蓋40。 室蓋40具有一個中央注入孔42,其可接受如下所述遠端 電漿源50之處理氣體。 遠端電漿源 參考第1圖,本發明預洗方法的處理氣體係於與下述 RPS(遠端電漿源)室ίο流體連通之遠端電漿源50内被激 發成電漿。電漿施加器52具有接受處理氣體的氣體入口 54。處理氣體流過施加器52且進入於室蓋40上的中央注 入孔42 ^ —個套筒式導波件園繞在電漿施加器52的藍寶 石管部份JL供應微波能量給處理氣體。電漿係在施加器内 產生且通常被拘限在施加器52中。 微波能量係由磁控管60產生,可提供2.45GHz下高 達1500瓦之能量,微波能會通過微波絕緣予62以避免反 射的能量損害磁控管60。來自絕緣子62的微波能透過90 度波導64傳送至自動諧調器66,其會自動地將施加器52 中電漿阻抗值調整為磁控管的阻抗值來使反射能量最小 ___ 第15頁 本紙ifc尺度遍州中网四冢標肀{ (,NS ) Λ#見格(210X297公漦) ------Ί:---裝-- (誚先聞讀背命之注意事項再m寫本頁) 訂 β· 411497 A7 s一______B7 五、發明説明() 化且使轉移至電漿施加器52的能量最大。 ,理氣體於施加器52中接受充足的電漿後形成含反 應性(原子困)物種的電漿。四氟化碳(CF4)及氧分子(〇2)電 漿會產生氧(0)原子困及一些氣(F)原子圓β這些處理氣體 在離開施加器52前多數會被中性化。處理氣體於通過分 配板26後還保有大部份的原子困。於處理氣體被排出反 應室前原子團會被輸送至基材上面•氟原子團主要與矽及 一氧化矽結合以形成揮發性的四氟化矽。氧原子(〇)原子 困與氟後化物結合形成一氧化碳,二氧化碳,及C〇f2» 氫原子團與自然氧化物反應以形成水蒸氣及金屬。排氣中 帶有不同副產物及一些重组的物種。 雖然預清洗作用於第1囷中係以特定的預清洗室來顯 π ’預清洗也可藉由連接遠端電漿源至金屬化學氣相沉積 ’物理氣相沉積室來進行β例如,可在此等金屬化處理室的 基材層面提供氣體入口以從遠端電漿源輸送來反應氣體 電衆或氳電漿》具有氣體輸送系統的金屬沉積室可修改成 透過如基材上方之氣體分配喷頭的排氣入口來輸送預清 洗氣體電漿》 涯清洗方法 本發明提供一種半導體基材元件金屬化前預清洗元 件以去除污染物的方法。本方法包括在不損害矽下從接點 底部去除二氧化矽,在無須將金屬再沉積至側壁來從貫穿 孔底部去除氧化鋁或氧化銅,從接點孔底部去除薄層矽, ---------.__第 16貫__ 本紙张尺度適用巾阄闽象標哼((,NS ) Λ4規格(21〇χ297公釐) ------Γ.---采------订------..Λ - (誚先閲讀背而之注意事項再填寫本買) A7 ________B7 _ 五、發明説明() 及從元件側壁去除污染物。 卞據本發明,半導體基材上介電層内形成的次微米元 ! ------- ----*4------訂 (誚先閱请背而之注意事項再填寫本頁) 件係以電漿原子囷來預清洗,該電漿可包含反應氣體如氧 氣,四氟化碳及氧氣混合物,或氦及三氟化氮混合物;其 係由遠端電漿源產生且被輸送至放置基材的反應室,較佳 反應氣體為四氟化碳/氧,其可於清洗步驟中提供氧離子及 氟離子。此方法通常採用高濃度氧分子(〇2)以形成非聚合 性混合物來有效去除含氟及含氮物種。此氣體混合物可加 以改變以獲得廣範圍的蝕刻去除率(50埃/分鐘至500埃/ 分鐘)及廣範圍的矽:二氧化矽之蝕刻選擇率。此清洗步驟 會在元件中留下自然氧化物,且該自然氧化物較佳地於第 二步騾内以如下電漿之原子團還原,該電漿單獨包含氫, 或氫與氮’氬或氦的混合物以用來稀釋反應性原子困•於 第二或兩種清洗步驟之後,較佳地於元件的暴露面上沉積 一層如鈦(Ti)或氮化鈦(TiN)之阻障層或襯裡層,然後該元 件較佳以例如鋁,銅或鎢等導電材料藉PVD,CVD或電鍍 方法來充填》 於本發明一要點中,於半導體或金屬基材上介電層内 形成之次微米元件係以含四氟化碳(CF〇/氧(02)之電漿原 子囷預洗該元件來處理,其中電漿係在遠端電漿源產生且 被輸送至含有基材的反應室。反應氣體原子團會從元件側 \ 壁去除殘留的光阻劑,烴類及氟碳化物·反應氣體原子困 亦可去除於元件底部的受損矽。含四氟化碳(cf4)/氧(02) 之電漿含有氟原子困及氧原子困》藉由形成在處理條件下 ________第17頁 _ 本紙張尺度適州"中1¾¾¾:標?( t〕NS > Λ4規格(2]0X297公麓) 41.1497 A7 B7 五、發明説明() " 具揮發性的四氟化硫,氟原子困可去除矽β藉由形成在處 理條卞下具揮發性的四氟化矽及一氧化碳及其它含氧化 合物’氟原子困可去除二氧化矽•氟原子困亦可去除元件 中煙類及氟碳化物的氩’且絮助氧基困將烴類及氟碳化物 分解成揮發性化合物。若需要可於電漿中提供惰性氣體如 氦以稀釋反應性原子囷•對於具有矽底層,通常為第一 層’的元件而言,氟原子困較佳藉由移除一小層矽,如5〇 埃的矽,來去除受損矽。 繼以反應氣體電漿原子團來清洗之後,於次微米元件 中殘餘的自然氧化物可以單獨含氩或含氫與氮,氬或氦混 合物的電漿原子囷來還原。自然氧化物的還原造成元件及 底層間不含氧的界面而降低元件的電阻。使用氫及含氫混 合物對自然氧化物的還原可在35(TC下化學沉積氮化鈦室 遠端電漿源室中完成^自然氧化物的氫表面處理據有在元 件底部底層表面上留下懸垂氫鍵的優點。懸垂氫鍵有助於 還原以碳為主先質沉積的後續膜層*於矽底層之例中氳處 理使得梦表面純化(passivate),且使其暴露在大氣時可抵 抗再氧化作用· 於本發明預清洗作用之後,較佳在元件暴露面沉積一 層襯裡或阻障層,且用鋁,銅,鎢或其它導電性材料來充 填。當襯裡層或阻陣層為鈦或鈦/氮化鈦時,沉積在以本發 明方法預清洗的矽表面上之鈦可形成一層均勻且為單一 相的鈦矽化物(TiSix)層·當襯裡層或阻障層為鈦或鈦/氮化 鈦時,在以本發明方法預清洗的矽表面上以650-750°C進 第18頁 本紙張尺度(汛中闯围家標埤{ (:NS > Λ4祕(210X297公楚) (誚先閱讀背而之注項再填寫本頁〕 Λ 411497 A7 B7 五、發明説明() 行之欽沉積可形成均勻的該層且為單一相的鈦矽化物 層-
I 於本發明另一要點中,可以在阻障層沉積之前及之後 都施行本發明之預清洗步驟以增加填充於元件内沉積之 銘、銅' 填或其它導電性金屬的電遴移抗性。較佳的阻障 /襯裡層包掊如鈕(Ta),氮化钽(TaN),鈦(Ti)及氮化鈦(TiN) 或此等膜層之級合。以遠端電漿源產生的氩原子困或熱處 理來處理該阻障/襯裡層可提供化學氣相沉積之鋁或化學 氣相沉積之鋼更良好的附著性。氫氣體混合物較佳包括至 少90體積%的氫(H2)»此一阻陳層氳處理步驟亦可藉由將 遠端電漿源固定至化學氣相沉積之金屬(如銅)處理室來於 金屬沉積室中就地完成。此就地處理可使阻障層表面固定 化且防止碳,氧及氟化合物的吸附,而使得化學氣相沉積 的銅對钽’氮化钽或氮化鈦表面具有更佳附著性及低電阻 率。此一處理亦可改良阻障層上銅結構,其晶粒取向,及 其粒度,產生良好表面形面及較緊密分佈的晶粒取向。 因為於習知ICP方法或濺鍍蝕刻為主的預洗室中銅很 谷易喷滅到侧壁上’所以本發明預清洗方法特別適用於清 洗元件底部具有銅底層的次微米元件〃幾鍵的钢會採教到 介電材料中導致裝置不良。本發明可在對貫穿孔基體不發 生濺鍍下來清洗貫穿孔。
V 翟想會施例 如下理想實施例係藉由描述被蝕刻在具銅底層之二 第19頁 本紙浪尺度滷用屮家榡肀((,NS ) Λ4規格(210X297公釐) -------------装------打------泉 -- (邻先閱讀背面之注^^項再填艿本頁) 3‘部屮"^^rx'Ju-T汸贽合竹.^印到水 411497 A7 B7 五、發明説明() 氧化矽層中的元件的預清洗來說明本發明的較佳方法》預 清洗包括將元件暴露在遠端電漿源所產生之四氟化碳/氧
I 之電漿原子囷下之第一步驟。將原予團輸送至置放有含元 件基材的處理室中。然後產生含氩氣的電漿且將氫原子困 輸送至反應室中用以減少自然氧化物,於先前的氧化触刻 步驟中電聚傷害造成的氧化物量,或者在蝕刻步鄉之後而 於化學氣相沉積電漿反應器中以銅填充元件之前進行濕 式化學處理之相關的氧化物量。 在遠端電漿源中施加微波能量之前,預清洗室先以 2 00sccm的氧氣流及1 Osccm的四氟甲燒流於400毫托耳的 壓力下進行穩定化5秒鐘。然後元件中的自然氧化物以 35 0-450毫托耳的壓力及300-5 OOsccm的氩氣流之氫電漿 原子團並將600-1000瓦之微波能(2.45GHz)施加至遠端電 漿源來還原處理。 其它會施例 現在參考第2圖,其為另一種進行本發明的處理室概 略地以剖面視圖來表示。該處理室可為由應用材料公司 (Santa Clara,California)提供之 Preclean II 室。其係以一 個具有側壁114的基底件112所形成的真空室111且較佳 用金屬建材如不銹鋼,鋁等等來製成。於體元件112的基 ί . 底中的開口 115與渦輪增壓幫浦116連“用以控制室111 内的氣體壓力•石英圓頂117構成室1Π之頂面且於其週 圍具有凸緣18來於基底件112側壁114之頂面周团配合·
第20T 本紙乐尺度適;丨]中闽氏家標?ί. { (,NS ) Λ<1規格(2丨0X297公釐) ---------裝------訂------.-VJI - < (誚先閱讀背面之注項再填朽本頁) 411497 經¾-部中决標丰局 K=i.ri/if'合 Μ.ί!印ΐ'ι+ A7 五、發明説明() 在石英圓頂117及基底件112的接頭提供一個氣髖分配系 統119,其將於下更詳細討論•一個由石英,陶瓷等作成
I 的絕緣托盤120具有固定於導電托盤122下方之有石英蓋 121*該導電托盤係用來固定於室111内之晶囬。高頻率 的射頻能量供應器123被電容地耦合至托盤122上,且供 給該托盤負偏壓。於本發明的預清洗步驟中不使用此負偏 壓。螺旋形射頻電感線圏125於石英圓頂117的外側纏繞 且以由蓋127支撐》線圏125係由中空銅管所形成。由於 纏捲線圈125在室111内形成另一個軸狀的電磁場❶通常 採用從約350千赫茲至約450千赫茲的射頻頻率且習知設 計以此頻率運作的射頻能量供應(未顯示)以配對網路(未 顯示)耦合至線圈125來於室111内產生電漿。射頻電磁 場會於托盤122上方的室1Π部份產生輝光放電電漿,且 托盤】22具有一個電漿遮罩或黑暗空間來將電漿與托盤及 晶圓分離。 現在參考第3及第4圈,於本發明系統中所用的反應 氣雜之氣雅分配系統在此更詳細但依然是示意地顯示《於 金屬侧壁件114的頂端有一個氣體供應道133成型於内五 有十二個從氣體源延伸五(角度上)平均分佈的管道橫過該 通道而形成多個氣體注入孔132。當石英圓頂117的凸緣 118置於基底件Π2的側壁114上方時,由渠道Π3所提 供的空間内會形成封閉的環形管且由囬頂s 117的凸緣118 所加蓋或遮住頂端。反應氣體(通常為氟等)係由室1Π大 約中點處供應且且均勻流過晶圓β 第21Τ t紙张凡;改適川十闲构冢棉肀((,NS > Λ4規格(210Χ297公廣) --n I - n -- ------Γ ] J . I _F [_ i. -s1*n I K (誚先閱请背面之注意事項再填寫本頁) 411497 A7 B7 .½¾.部屮决ί?ίν·局只-T消外A=仍.ίι印 y 五、發明説明() 骨施例 本發明預清洗步称已與第2-4圓所示之反應室結合而 ί 成功地在具有銅底層置於介電層内蝕刻所形成的元件用 銅或鋁***物(plug)充填前清洗該等元件•反應係以9〇% 氫及10%氦、氬或氮的混合物於5-20毫托耳的壓力下安 定5秒鐘。然後施加300瓦400千赫茲的射頻能量至線圏 上及10瓦射頻能量(13.56MHZ)至托盤上來清洗元件60 秒*此等處理條件(即極低偏壓>可化學地還原氧化銅而不 將銅底層濺鍍至側壁上·類似地處理條件亦可以較高溫度 用於矽或矽鈦化物底層· 整合的處理系統 本發明的預清洗方法係在如上所述的預清洗室中進 行,或在阻障室中就地進行》預清洗室較佳與其它金屬化 處理室之加工平台整合以避免造成基材的暫時污染。一種 較佳的整合模式係示於第5囷,其顧示固定在應用材料公 司之印杜拉平台上的不同處理室。 參考第5圖,其為一個示意圈顯示具有預清洗基材用 的遠端電漿源(RPS)室及可以進行積體金屬化處理的物理 氣相沉積(PVD)室及化學氣相沉積(CVD)室之整合加工系 統。通常,可透過一個匣盒負荷鎖定件162將基材置入處 理系統或從處理系統取出。具有輪葉167之機械臂164被 置於處理系統160内來移動基材通過系統160·第一機械 臂164通常位於缓衝室168中來將基材於匣盒負荷鎖定件 第22頁 本紙乐尺度適;丨; (,NS > Λ4坭格(2mx297公釐) ---------¢— (請先閱讀背面之注意事項再填寫本頁) -* 411497 妓"'部屮"^^-^M二消负合竹私印5;, A7 B7 五、發明説明()
I62,去氣晶圓定向室170 ’ RPS預清洗室,HP-PVD Ti/TiN(鈦/氮化鈦)室175及冷卻室176間轉移。第二機械 臂178係置於轉移室180中來將基材從冷卻室176,PVD IMP Ti/TiN 室 182,CVD A1 室 184,CVD TiN 室 186,及 PVD HTHU A1室188中移出或移入。於整合系統中之轉移 至1 80較佳係維持在1 托耳範团之低磨力或高真空 下。於第5圖中室的特殊構型包含一個可於單一集合工具 中進行CVD及PVD處理的整合處理系統„此種特殊的處 理室構型或設計僅為顯示用且更多PVD及CVD處理的構 型亦欲包括在本發明内。 通常’於處理系統1 60處理的基材係從匣盒負荷鎖定 件162送至緩衝室168中於該處機械臂164首先將基材移 至去氣室170。然後基材被轉移到RPS預清洗室172,PVD HP Ti/TiN室175然後進入冷卻室176。通常機械臂178 會將基材從冷卻室176移到一或多個處理室,然後再將基 送回到冷卻室176»基材可在一或多個處理室内以任意順 序及次數來處理或冷卻以用鋁充填次微米元件•基材可在 處理後,通過緩衝室168,然後透過負荷鎖定件162從處 理系統160中移出》微處理器控制器190可控制在基材上 膜層的形成及其順序·
根據本發明,處理系統160透過負荷鎖定件162將基 材移到去氣室170中來從基材上去除氣想污染物。然後基 材被移到RPS預清洗室中來清洗次微米元件以去除其上 任何污染物且還原自然氧化物。之後基材於PVD HP 第23頁 本紙张尺度適川中阈阀家梂彳((,NS )六4规格(210x297公釐) —^i mfl m fe^k^i -:° (ts先閲讀背而之注意事項再填艿本頁) 411497 A7 B7 五、發明説明() HI— - ΐ ^^1 :1-· . i— ^^1 /^I (誚先閱讀背面之注$項再填寫本頁〕
Ti/TiN室175中處理來於清洗過的介電表面上沉積Ti/rnN 廣後進入冷卻室176中》而後第二機械臂ι78可將基 材轉移到一或多個CVD及PVD處理室進行銘沉積。 於預清洗矽底層後於PVD IMP Ti/TiN室182中進行 处沉積,整合平台1 60亦可得到均勻且單—相的妙化飲沉 積。於矽化物處理(silicidation)後,可進行溫銘,冷銘或 選擇性鋁沉積來完成次微米元件的金屬化。
第5圖整合平台的另一種應用為藉由提供cvd TiN 室 1 75 , PVD 71 -Cu 室 1 82,CVD Cu 室 1 84,PVD ΗΤΗϋ Cu 室186,及PVD IMP Ta/TaN室188來進行銅沉積。基材 可於CVD TiN室175或PVD IMP Ta/TaN室188處理以於 清洗過的介電表面沉積CVD TiN或Ta/TaN阻障層,然後 基材被送至冷卻室176中。於銅沉積前次微米元件之預清 洗可於RPS室172或於P re clean II室(其代替冷卻室176) 中進行。藉由改裝冷卻室將冷卻室加入氫,氬,氦及氮管 道裝置可得到預洗II室。然後第二機械臂178將基材轉移 到一或多個CVD或PVD處理室來進行銅沉積。沉積的銅 層可用氫煉合來使得該膜層對氧化銅的形成更具抗性· 整合平台的另一種應用係藉由提供IMP Ti室,兩個 CVD Ti室,及兩個預清洗室來進行鎢沉澱。基材於IMP Ti 室及CVD Ti室處理來於清洗過的介電表面沉積Ti/TiN阻
V 障層,然後基材被送到冷卻室。於鎢沉積前清洗次微米元 件可於RPS為主的預清洗室中進行。 一種適用於本發明之醣段式真空晶®處理法則揭示 第24頁 ^紙张尺度適((,NS > Λ4说格(210X297公釐) 411497 A 7 _____B7 五、發明説明() 於美國專利案第5,186,718號中,標題為"Staged-Vacuum Wafe' Processing System and Method",隸屬泰伯曼 (Tepman)等人,於1993年二月16 El核准,其併此以為參 考。此方法可輕易地完成本發明的預清洗方法。任何處理 室的组合可與預處理室一同使用。 雖然前述内容為本發明的較佳實施例,本發明其它的 實施例可在不偏離此基本範圓下施行β本發明的範圍係以 如下申請專利範圍來決定。 第25Τ 本紙浓尺度地川中网內家榡璋((.NS ) Λ4現格(2丨0>< 297公釐) -------- ^---ii------訂------乂 -- {誚先閲讀背而之注^一^^項再填巧本頁)

Claims (1)

  1. 411497 as C8 — D8 申請專利範園 1. 一種預清洗形成於半導體基材介電層内之元件的方法, 該方法至少包含以下步驟: a) 於遠端電漿源中產生反應氣體電漿;及 b) 將反應氣體電漿之原子困送至含有該基材的處理 室。 2·如申請專利範圓第1項所述之方法’其中上述之反應氣 體為氧,四氟化碳/氧的混合物或氦/三氟化氮的混合 物。 3. 如申請專利範園第1項所述之万法,其中一反應氣體電 聚之原子困是在沉積阻障層之前被送至阻障層沉積 室。 4. 如申請專利範圍第1項所述之方法,其中上述之遠端電 漿源為微波源。 5. 如申請專利範圓第1項所述之方法,進一步包括以含氬 經濟部中央標牟局貝工消费合作社印製 n n ..^1 1^1 LI n n· I I— » (請先閲讀背面之注意事項再填寫本頁) 電漿之原子團來還原自然氧化物的步郫《 6. 如申請專利範圓第5項所述之方法,其中上述之自然氧 化物係以氩,或氩及氮,氬或氣混合物组成的電漿原子 困來還原。 «26貫 本紙張尺渡適用中國國家標準^那^彳规格^❶父糾公釐) 411497 A8 Β8 C8 D8 ____ 六、申請專利範圍 7. 如申請專利範困第1項所述之方法,其中上逑之電漿原 予困係在鋼沉積前送至銅沉積室以進行阻障層鈍化 (passivation)。 8. —種於半導體基材上形成之元件的方法’該方法至少包 含如下步驟: a) 於具有導電性或半導性底層之表面的半導體基材 上沉積一層介電層; b) 於介電層上進行蝕刻以暴露出底層: c) 以含有氧,四氟化碳/氧的混合物或氦/三氟化氮的 混合物之反應氣體電漿的原子團來清洗元件•其中該電 漿係有遠端電漿源所產生且原子團被送到含有該基材 的處理室中;及 d) 以導電性或半導性材料來充填元件。 9. 如申請專利範圍第8項所述之方法,其中上述之介電廣 包含二氧化矽♦ 10. 如申請專利範園第8項所述之方法,其中上迷之反應氣 體為四氟化碳/氧的混合物。 11·如申請專利範因第8項所述之方法,其中一碎&化物 (TiSix)層被形成於上述之於元件中《 »27頁 本紙張尺度逍用中國國家標率(CNS > A4洗格( 210X297公釐) n 1^1 1^1 U· I. -I---n d n n C請先M讀背面之注$項再填寫本頁) 經濟部中央標準局員工消費合作社印製 411497 Α8 Β8 C8 D8 經濟部中央橾率局員工消費合作社印裝 六、申請專利範園 12·如申請專利範固第8項所述之方法,進一步包括以含氫 電漿之原子囷在未對基材施加偏壓能量或施加極少偏 壓能量之下來還原殘留於元件中之自然氧化物之步 驟。 13. 如申請專利範圍第8項所述之方法,進一步包含在元件 上沉積氮化鈦阻障層且以氳,或氫及氮,氬或氦混合物 組成的電漿原子固來清洗阻陣層的步驟》 14. 一種預清洗且充填形成於半導體基材介電層内之次微 米元件的方法,該方法至少包含如下步螺.: a) 以含反應氣體電漿的原子困來清洗該次微米元 件;及 b) 以含氫電漿之原子困來還原殘留於元件中之自然 氧化物。 15. 如申請專利範圍第14項所述之方法,其中上述之反應 氣體為四氟化碳/氧的混合物β 16. 如申請專利範圍第14項所述之方法,進一步包含於裸 露之介電表面上沉積阻障/襯裡層之步嗶,其中該阻障 層包括一種選自鈦,氮化鈦,钽,及氮化钽的材料》 17. 如申請專利範困第16項所述之方法,進一步包含以具 第28頁 本紙張尺度適用中國國家標準(CNS ) Μ規格(2丨0X297公釐) — _ - II 襄-- (請先Μ读背而之注$項存填寫本頁) 訂 411497 Α8 Β8 C8 D8 々、申請專利範園 反應氣體疋電漿原予困來清洗阻障/襯裡層的步驃,其 中該反應氣體包含氧,四氟化碳/氧的混合物或氦/三氟 化氮的混合物。 18. 如申請專利範面第17項所述之方法,進一步包含沉積 鋁,銅或鎢於該阻障/襯裡層的步哧β 19. 如申請專利範圓第14項所述之方法,其中上述之電漿 係在遠端電漿源產生及該原子團係在沉積該阻障層前 被送到含基材的阻障沉積室· 20. 如申請專利範園第14項所述之方法,其中上述之電浆 係在遠端電漿源產生且該原子困係在銅沉積之前被送 到銅沉積室以進行阻障層之鈍化。 -------^----^------^ (請先《讀背面之注^^項再填寫本頁) 經濟部中央標準局員工消費合作社印製 準 標 家 0 國 中 用 適 C A 頁 29 第 X
TW087116314A 1997-12-30 1998-09-30 Reactive preclean prior to metallization for sub-quarter micron application TW411497B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/000,746 US6107192A (en) 1997-12-30 1997-12-30 Reactive preclean prior to metallization for sub-quarter micron application

Publications (1)

Publication Number Publication Date
TW411497B true TW411497B (en) 2000-11-11

Family

ID=21692851

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087116314A TW411497B (en) 1997-12-30 1998-09-30 Reactive preclean prior to metallization for sub-quarter micron application

Country Status (6)

Country Link
US (3) US6107192A (zh)
EP (1) EP1042795A1 (zh)
JP (2) JP4681117B2 (zh)
KR (1) KR20010033812A (zh)
TW (1) TW411497B (zh)
WO (1) WO1999034424A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI497582B (zh) * 2009-08-31 2015-08-21 Applied Materials Inc 用於含碳膜的矽選擇性乾式蝕刻方法
CN112899615A (zh) * 2019-11-19 2021-06-04 长鑫存储技术有限公司 膜层的形成方法及半导体器件的制备方法

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US7244677B2 (en) * 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6547934B2 (en) * 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6303505B1 (en) * 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6277733B1 (en) * 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6599829B2 (en) * 1998-11-25 2003-07-29 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
KR100322545B1 (ko) * 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
SG90747A1 (en) * 1999-09-02 2002-08-20 Applied Materials Inc Method of pre-cleaning dielectric layers of substrates
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US7014887B1 (en) * 1999-09-02 2006-03-21 Applied Materials, Inc. Sequential sputter and reactive precleans of vias and contacts
US6313042B1 (en) * 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6303518B1 (en) * 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
AU1218401A (en) * 1999-10-20 2001-04-30 Cvd Systems, Inc. Fluid processing system
US6530380B1 (en) * 1999-11-19 2003-03-11 Chartered Semiconductor Manufacturing Ltd. Method for selective oxide etching in pre-metal deposition
US6727185B1 (en) * 1999-11-29 2004-04-27 Texas Instruments Incorporated Dry process for post oxide etch residue removal
KR100358045B1 (ko) * 1999-12-22 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
US6602793B1 (en) * 2000-02-03 2003-08-05 Newport Fab, Llc Pre-clean chamber
US6471913B1 (en) 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
US6780374B2 (en) 2000-12-08 2004-08-24 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
EP1138804A3 (de) 2000-03-27 2003-06-25 Infineon Technologies AG Bauelement mit zumindest zwei aneinander grenzenden Isolierschichten und Herstellungsverfahren dazu
JP2001298028A (ja) * 2000-04-17 2001-10-26 Tokyo Electron Ltd 半導体デバイス製造方法
CN1249786C (zh) * 2000-04-25 2006-04-05 东京电子株式会社 用于工件的等离子体清洗的方法和装置
KR100383759B1 (ko) * 2000-06-15 2003-05-14 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
KR100407680B1 (ko) * 2000-06-20 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
US6346488B1 (en) * 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100375985B1 (ko) * 2000-08-17 2003-03-15 삼성전자주식회사 반사부를 구비하는 박막 형성 장치
JP4112198B2 (ja) * 2000-09-11 2008-07-02 財団法人地球環境産業技術研究機構 クリーニングガス及びエッチングガス、並びにチャンバークリーニング方法及びエッチング方法
JP4910231B2 (ja) * 2000-10-25 2012-04-04 ソニー株式会社 半導体装置の製造方法
US6573181B1 (en) * 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6455414B1 (en) * 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
US20020106881A1 (en) * 2000-12-07 2002-08-08 Jain Manoj K. Prevention of contact failure by hydrogen treatment
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
US6498108B2 (en) * 2001-02-12 2002-12-24 Fairchild Semiconductor Corporation Method for removing surface contamination on semiconductor substrates
US6641747B1 (en) 2001-02-15 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for determining an etch endpoint
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US6787462B2 (en) * 2001-03-28 2004-09-07 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device having buried metal wiring
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US20040200576A1 (en) * 2001-04-23 2004-10-14 Tokyo Electron Limited Method and apparatus for plasma cleaning of workpieces
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6630406B2 (en) 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
KR100407998B1 (ko) 2001-10-09 2003-12-01 주식회사 하이닉스반도체 금속 배선의 콘택 영역 세정 방법
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6780086B2 (en) 2001-10-12 2004-08-24 Mosel Vitelic, Inc. Determining an endpoint in a polishing process
US6503824B1 (en) 2001-10-12 2003-01-07 Mosel Vitelic, Inc. Forming conductive layers on insulators by physical vapor deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6455814B1 (en) * 2001-11-07 2002-09-24 Applied Materials, Inc. Backside heating chamber for emissivity independent thermal processes
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030116845A1 (en) * 2001-12-21 2003-06-26 Bojkov Christo P. Waferlevel method for direct bumping on copper pads in integrated circuits
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US20030194877A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Integrated etch, rinse and dry, and anneal method and system
US7169704B2 (en) * 2002-06-21 2007-01-30 Samsung Electronics Co., Ltd. Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US20040118697A1 (en) * 2002-10-01 2004-06-24 Applied Materials, Inc. Metal deposition process with pre-cleaning before electrochemical deposition
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6627527B1 (en) 2002-10-10 2003-09-30 Taiwan Semiconductor Manufacturing Company Method to reduce metal silicide void formation
US20040069651A1 (en) * 2002-10-15 2004-04-15 Applied Materials, Inc. Oxide treatment and pressure control for electrodeposition
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6992011B2 (en) * 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
CN101457338B (zh) * 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
US6913994B2 (en) * 2003-04-09 2005-07-05 Agency For Science, Technology And Research Method to form Cu/OSG dual damascene structure for high performance and reliable interconnects
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US20040219795A1 (en) * 2003-05-01 2004-11-04 Taiwan Semiconductor Manufacturing Co. Method to improve breakdown voltage by H2 plasma treat
DE10320472A1 (de) * 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
JP4413556B2 (ja) * 2003-08-15 2010-02-10 東京エレクトロン株式会社 成膜方法、半導体装置の製造方法
US6790778B1 (en) * 2003-09-10 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for capping over a copper layer
WO2005055305A1 (ja) * 2003-12-04 2005-06-16 Tokyo Electron Limited 半導体基板導電層表面の清浄化方法
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
JP2005260060A (ja) * 2004-03-12 2005-09-22 Semiconductor Leading Edge Technologies Inc レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
JP2005268312A (ja) * 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
US20050258137A1 (en) * 2004-03-24 2005-11-24 Sawin Herbert H Remote chamber methods for removing surface deposits
DE102004015865B4 (de) * 2004-03-31 2006-05-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reinigen der Oberfläche eines Substrats
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
JP4503356B2 (ja) * 2004-06-02 2010-07-14 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
US7378028B2 (en) * 2004-06-03 2008-05-27 Seagate Technology Llc Method for fabricating patterned magnetic recording media
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US20060106635A1 (en) * 2004-11-18 2006-05-18 Karl Ulrich Emission remediation
US20060144819A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
KR100667561B1 (ko) * 2005-02-18 2007-01-11 주식회사 아이피에스 박막 증착 방법
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20070028944A1 (en) * 2005-08-02 2007-02-08 Sawin Herbert H Method of using NF3 for removing surface deposits
JP2009503271A (ja) * 2005-08-02 2009-01-29 マサチューセッツ インスティテュート オブ テクノロジー Cvd/pecvd−プラズマチャンバーの内部から表面沈着物を除去するためのフッ化硫黄を使用する遠隔チャンバー方法
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US20070099417A1 (en) * 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
US8399360B1 (en) * 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean
US7994047B1 (en) * 2005-11-22 2011-08-09 Spansion Llc Integrated circuit contact system
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7504643B2 (en) * 2005-12-22 2009-03-17 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, a cleaning arrangement and a lithographic apparatus comprising the cleaning arrangement
US7495239B2 (en) * 2005-12-22 2009-02-24 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, a cleaning arrangement and a lithographic apparatus comprising the cleaning arrangement
US20070158181A1 (en) * 2006-01-12 2007-07-12 Seagate Technology Llc Method & apparatus for cathode sputtering with uniform process gas distribution
US9228254B2 (en) * 2006-01-12 2016-01-05 Seagate Technology Llc Cathode sputtering gas distribution apparatus
KR100978407B1 (ko) * 2006-03-06 2010-08-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US7780862B2 (en) * 2006-03-21 2010-08-24 Applied Materials, Inc. Device and method for etching flash memory gate stacks comprising high-k dielectric
US7759249B2 (en) * 2006-03-28 2010-07-20 Tokyo Electron Limited Method of removing residue from a substrate
US8722547B2 (en) * 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
WO2008008319A2 (en) * 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
KR100717374B1 (ko) 2006-07-11 2007-05-11 세메스 주식회사 자기장을 이용한 플라즈마 분사 장치
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
KR100794661B1 (ko) * 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
KR100819096B1 (ko) * 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
US7628866B2 (en) * 2006-11-23 2009-12-08 United Microelectronics Corp. Method of cleaning wafer after etching process
US7977244B2 (en) * 2006-12-18 2011-07-12 United Microelectronics Corp. Semiconductor manufacturing process
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20100151677A1 (en) * 2007-04-12 2010-06-17 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
US8435379B2 (en) 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US8025269B1 (en) 2007-10-16 2011-09-27 National Semiconductor Corporation Chamber lid lifting apparatus
EP2208221A4 (en) * 2007-11-01 2010-12-15 Eugene Technology Co Ltd DEVICE FOR WAFER SURFACE TREATMENT USING AN INDUCTIVE COUPLED HIGH-FREQUENCY PLASMA
JP5006938B2 (ja) * 2007-11-02 2012-08-22 キヤノンアネルバ株式会社 表面処理装置およびその基板処理方法
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP5596265B2 (ja) * 2007-12-27 2014-09-24 株式会社日立ハイテクノロジーズ 真空処理装置
US9502290B2 (en) * 2008-01-11 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation-free copper metallization process using in-situ baking
US7888661B2 (en) * 2008-02-13 2011-02-15 Axcelis Technologies Inc. Methods for in situ surface treatment in an ion implantation system
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US8828852B2 (en) * 2009-12-10 2014-09-09 California Institute Of Technology Delta-doping at wafer level for high throughput, high yield fabrication of silicon imaging arrays
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US8420544B2 (en) 2010-06-03 2013-04-16 United Microelectronics Corp. Method for fabricating interconnection structure with dry-cleaning process
NL2007452A (en) * 2010-12-08 2012-06-11 Asml Holding Nv Electrostatic clamp, lithographic apparatus and method of manufacturing an electrostatic clamp.
US8771539B2 (en) * 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP2013201225A (ja) 2012-03-23 2013-10-03 Toshiba Corp 半導体装置の製造方法
WO2013152068A1 (en) * 2012-04-03 2013-10-10 Gvd Corporation Adhesion promotion of vapor deposited films
JP6579953B2 (ja) 2012-07-16 2019-09-25 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 純還元性プラズマ中で高アスペクト比のフォトレジストを除去する方法
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
KR102245729B1 (ko) * 2013-08-09 2021-04-28 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치
US20150118855A1 (en) * 2013-10-30 2015-04-30 Nisene Technology Group Microwave induced plasma decapsulation
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US9412619B2 (en) * 2014-08-12 2016-08-09 Applied Materials, Inc. Method of outgassing a mask material deposited over a workpiece in a process tool
US9312121B1 (en) * 2014-10-09 2016-04-12 United Microelectronics Corporation Method for cleaning contact hole and forming contact plug therein
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
CN109314059B (zh) * 2016-06-20 2023-06-23 东京毅力科创株式会社 被处理体的处理方法
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
JP2019192892A (ja) 2018-04-18 2019-10-31 東京エレクトロン株式会社 処理システムおよび処理方法
US10483091B1 (en) 2018-05-18 2019-11-19 International Business Machines Corporation Selective ion filtering in a multipurpose chamber
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
JP7461923B2 (ja) 2018-07-09 2024-04-04 ラム リサーチ コーポレーション 電子励起原子層エッチング
JP7203531B2 (ja) * 2018-08-08 2023-01-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
TWI698029B (zh) * 2018-11-28 2020-07-01 財團法人金屬工業研究發展中心 形成半導體結構之方法
US11380536B2 (en) 2020-05-05 2022-07-05 Applied Materials, Inc. Multi-step pre-clean for selective metal gap fill
US20220108917A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Low resistance and high reliability metallization module

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5248636A (en) * 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
DE3855636T2 (de) * 1987-08-28 1997-03-27 Toshiba Kawasaki Kk Plasma-Entschichtungsverfahren für organische und anorganische Schichten
JPH0279446A (ja) * 1988-09-16 1990-03-20 Hitachi Ltd スルーホールへの金属穴埋め方法
US5043299B1 (en) * 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
JPH03185823A (ja) * 1989-12-15 1991-08-13 Sony Corp 半導体装置の製造方法
US5236868A (en) * 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
JP2814021B2 (ja) * 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
JPH04144135A (ja) * 1990-10-05 1992-05-18 Canon Inc 半導体装置の製造法および装置
DE4135697C2 (de) * 1990-10-26 1994-01-27 Matsushita Electric Works Ltd Verfahren zur Verbesserung von Holzoberflächeneigenschaften
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP3038953B2 (ja) * 1991-02-28 2000-05-08 ソニー株式会社 配線形成方法
FR2677668B1 (fr) * 1991-06-14 1993-10-15 France Telecom Procede de nettoyage de surfaces metalliques oxydees dans la fabrication de reseaux d'interconnexions et plaquettes pour de tels reseaux.
JPH08153783A (ja) * 1991-06-28 1996-06-11 Sony Corp 電気的接続部形成方法及び半導体装置の製造方法
DE69216747T2 (de) * 1991-10-07 1997-07-31 Sumitomo Metal Ind Verfahren zur Bildung eines dünnen Films
JPH05139881A (ja) * 1991-11-18 1993-06-08 Sumitomo Electric Ind Ltd 分子線エピタキシヤル成長法およびその装置
JP3449428B2 (ja) * 1992-06-08 2003-09-22 富士通株式会社 半導体装置の製造方法
JPH0669168A (ja) * 1992-08-18 1994-03-11 Fujitsu Ltd 半導体装置の製造方法
US5627105A (en) * 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP3529849B2 (ja) * 1994-05-23 2004-05-24 富士通株式会社 半導体装置の製造方法
JP3533583B2 (ja) * 1994-07-25 2004-05-31 富士通株式会社 水素プラズマダウンフロー装置の洗浄方法
JP3326974B2 (ja) * 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
JPH08213343A (ja) * 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
US6132564A (en) * 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
US5545592A (en) * 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
KR100208439B1 (ko) * 1995-05-04 1999-07-15 김영환 반도체 소자의 폴리실리콘층 형성방법
JP3517802B2 (ja) * 1995-09-01 2004-04-12 富士通株式会社 埋め込み導電層の形成方法
JPH09115875A (ja) * 1995-10-20 1997-05-02 Texas Instr Japan Ltd 半導体装置の製造方法及びこの方法に用いる処理液
JPH09205070A (ja) * 1996-01-25 1997-08-05 Sony Corp プラズマcvd方法、およびこれにより形成された金属膜を有する半導体装置
US5712207A (en) * 1996-02-29 1998-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Profile improvement of a metal interconnect structure on a tungsten plug
US5660682A (en) * 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US6015761A (en) * 1996-06-26 2000-01-18 Applied Materials, Inc. Microwave-activated etching of dielectric layers
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US5935874A (en) 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI497582B (zh) * 2009-08-31 2015-08-21 Applied Materials Inc 用於含碳膜的矽選擇性乾式蝕刻方法
CN112899615A (zh) * 2019-11-19 2021-06-04 长鑫存储技术有限公司 膜层的形成方法及半导体器件的制备方法
CN112899615B (zh) * 2019-11-19 2023-02-21 长鑫存储技术有限公司 膜层的形成方法及半导体器件的制备方法

Also Published As

Publication number Publication date
US6693030B1 (en) 2004-02-17
JP2009224808A (ja) 2009-10-01
US6107192A (en) 2000-08-22
EP1042795A1 (en) 2000-10-11
US6905965B2 (en) 2005-06-14
KR20010033812A (ko) 2001-04-25
JP2002500276A (ja) 2002-01-08
US20040248404A1 (en) 2004-12-09
JP4681117B2 (ja) 2011-05-11
WO1999034424A1 (en) 1999-07-08
JP5385706B2 (ja) 2014-01-08

Similar Documents

Publication Publication Date Title
TW411497B (en) Reactive preclean prior to metallization for sub-quarter micron application
TW558764B (en) Monitoring process for oxide removal
US6949450B2 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
TW476131B (en) Methods of pre-cleaning dielectric layers of substrates
US6734102B2 (en) Plasma treatment for copper oxide reduction
US6946401B2 (en) Plasma treatment for copper oxide reduction
US6890853B2 (en) Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US7575007B2 (en) Chamber recovery after opening barrier over copper
US6319842B1 (en) Method of cleansing vias in semiconductor wafer having metal conductive layer
TW473869B (en) Plasma preclean with argon, helium, and hydrogen gases
US7790047B2 (en) Method for removing masking materials with reduced low-k dielectric material damage
US20040018715A1 (en) Method of cleaning a surface of a material layer
JP2001144090A (ja) 半導体装置の製造方法
US6431182B1 (en) Plasma treatment for polymer removal after via etch
US20030045115A1 (en) Method of cleaning an inter-level dielectric interconnect
US20010001298A1 (en) Integrated cobalt silicide process for semiconductor devices
JP2002516482A (ja) デュアル周波数エッチングチャンバ内での金属酸化物の還元
US6693040B2 (en) Method for cleaning the contact area of a metal line
JP2003282571A (ja) 半導体装置の製造方法
US20220319837A1 (en) Dual plasma pre-clean for selective gap fill
WO2002046489A1 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
Hymes et al. Surface cleaning of copper by thermal and plasma treatment in reducing and inert ambients

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees