TWI497582B - 用於含碳膜的矽選擇性乾式蝕刻方法 - Google Patents

用於含碳膜的矽選擇性乾式蝕刻方法 Download PDF

Info

Publication number
TWI497582B
TWI497582B TW099129276A TW99129276A TWI497582B TW I497582 B TWI497582 B TW I497582B TW 099129276 A TW099129276 A TW 099129276A TW 99129276 A TW99129276 A TW 99129276A TW I497582 B TWI497582 B TW I497582B
Authority
TW
Taiwan
Prior art keywords
substrate
region
plasma
carbon
etching
Prior art date
Application number
TW099129276A
Other languages
English (en)
Other versions
TW201133606A (en
Inventor
Kedar Sapre
Jing Tang
Linlin Wang
Abhijit Basu Mallick
Nitin Ingle
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201133606A publication Critical patent/TW201133606A/zh
Application granted granted Critical
Publication of TWI497582B publication Critical patent/TWI497582B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Description

用於含碳膜的矽選擇性乾式蝕刻方法
本發明關於涉及沉積、圖案化及處理薄膜與塗層時所使用的設備、製程及材料之製造技術解決方案,具有包括涉及以下應用(但不以此為限)的代表性範例:半導體與介電材料及元件、矽類晶圓與平面顯示器(如TFT)。
透過複雜地產生圖案化材料層於基材表面上的製程,可製做積體電路。產生圖案化材料於基材上需要受到控制的移除暴露材料之方法。化學蝕刻用於各種目的中,該等目的包括將光阻中的圖案轉移到下伏的膜層,薄化既存於表面上的膜層或者特徵結構的側向尺寸。經常期望擁有蝕刻第一材料比蝕刻第二材料快的蝕刻製程,以助例如圖案轉移製程進行。可說此類蝕刻製程對第一材料具選擇性。材料、電路與製程多樣化的結果造成蝕刻製程已經發展至對多種材料具有選擇性。
SiConiTM 蝕刻涉及將基材同時暴露至NF3 與NH3 電漿副產物,並且SiConiTM 蝕刻對含矽層(例如矽、多晶矽與二氧化矽)具有高共形度與選擇性。SiConiTM 蝕刻能夠顯現其他與材料於基材表面上處理之機制相關的有利特質。當基材材料移除時,SiConiTM 蝕刻產生生長於基材表面的固體副產物。該等固體副產物隨後在基材溫度 升高時透過昇華移除。一項SiConiTM 蝕刻的應用是在進一步處理前移除形成在含矽層上的薄的原生氧化物(SiOx ,x<2)。另一個應用涉及清潔含矽製程殘餘物的處理腔室。
固體副產物的累積會逐漸減緩製程穿透進入層中,而得到準確控制處理深度或蝕刻速率的能力。在使蝕刻得以停頓下,SiConiTM 蝕刻成為一種「自限制」製程。透過改變一些製程參數,能夠仰賴暴露與退火的每一自限制循環以移除可調且可估測的材料量。
現存的SiConiTM 製程之選擇性已經在矽、多晶矽與二氧化矽方面建立。在矽氧化物中將碳併入含矽層的結果已被證實有利於減少介電常數。透過暫時增加膜的可流動性,碳含量亦改善了溝槽的間隙填充。現存的SiConiTM 蝕刻製程不會以足以用於生產環境的高蝕刻速率蝕刻一些該等含矽及碳層。
在此描述一種蝕刻含矽及碳的材料之方法,該方法包括結合反應性氧流的SiConiTM 蝕刻。反應性氧可在SiConiTM 蝕刻之前導入,而減少接近表面區域處的碳含量,並且使SiConiTM 蝕刻得以更快速進行。或者,反應性氧可在SiConiTM 蝕刻期間導入,以進一步改善有效的蝕刻速率。
在一個實施例中,在一基材處理腔室的一基材處理區域中蝕刻一基材之一表面上的一含矽及碳層的方法包括以下步驟:將一含氟前驅物與一含氫前驅物流入一第一遠端電漿區域,同時在該第一遠端電漿區域中形成一電漿以產生電漿流出物,而該第一遠端電漿區域流體連通式耦接基材處理區域;藉由將該等電漿流出物與反應性氧流入該基材處理區域而蝕刻該含矽及碳層,同時形成固體副產物於該基材之該表面上;以及,藉由將該基材之溫度增加至該固體副產物的昇華溫度之上而昇華該固體副產物。
在另一實施例中,在一基材處理系統內與一基材處理區域相接的一內部表面上蝕刻一含矽及碳層的方法包括以下步驟:將一含氟前驅物與一含氫前驅物流入一遠端電漿區域,同時在該遠端電漿區域中形成一電漿以產生電漿流出物,而該遠端電漿區域流體連通式耦接該基材處理區域;藉由將該等電漿流出物與反應性氧流入該基材處理區域而蝕刻該含矽及碳層,同時形成固體副產物於該內部表面上;以及藉由將該內部表面之溫度增加至該固體副產物的昇華溫度之上而昇華該固體副產物。
在尚一實施例中,一種在一基材處理區域中蝕刻一基材之一表面上的一含矽及碳層的方法包括以下依序的步驟:將反應性氧流入該基材處理區域,以減少該含矽及碳層之一接近表面的區域中的碳濃度;透過將一含氟前驅物與一含氫前驅物流入一遠端電漿區域,同時在該遠 端電漿區域中形成一電漿以產生流入該基材處理區域的電漿流出物,而蝕刻該含矽及碳層,該遠端電漿區域流體連通式耦接基材處理區域;以及在該蝕刻步驟後,藉由將該基材之溫度上升至留在該表面上的固體副產物的昇華溫度之上而昇華該等固體副產物。
部份額外實施例與特徵在隨後的說明書中提出,而部份對於此技術領域中熟習技藝者而言在詳閱此說明書後可易於瞭解,或者此技術領域中熟習技藝者可透過操作該等揭露的實施例而瞭解部份額外實施例與特徵。透過在說明書中描述的設備、結合物與方法,可瞭解與獲得所揭露的實施例之特徵與優點。
在此描述一種蝕刻含矽及碳的材料之方法,該方法包括結合反應性氧流的SiConiTM 蝕刻。反應性氧可在SiConiTM 蝕刻之前導入,而減少接近表面區域處的碳含量,並且使SiConiTM 蝕刻得以更快速進行。或者,反應性氧可在SiConiTM 蝕刻期間導入,以進一步改善有效的蝕刻速率。
本發明之實施例是導向蝕刻基材上的含矽及碳膜之方法。高度反應性形式的氧(包括O及/或O3 )作用為從接近表面的區域移除碳,使得矽選擇性蝕刻製程SiConiTM 得以在更高蝕刻速率下進行。氧處理可與 SiConiTM 蝕刻同時發生,或兩個步驟可交替進行。倘若兩個步驟交替進行,相鄰的步驟可有一些重疊亦可不有一些重疊。在此所用的反應性氧大體上包括臭氧、分子氧以及原子氧,而其比例可透過硬體或製程修改而改變。在此呈現的範例可指臭氧之氣流,但應瞭解到該氣流可含有其他含氧物料,諸如原子氧或分子氧。
為了更加瞭解及熟知本發明,現在請參考第1圖,其為根據所揭露之實施例之同時進行的臭氧與SiConiTM 蝕刻製程之流程圖。製程起始於基材傳送進入處理腔室時(操作110)。含矽及碳層存在於基材表面上。氨氣與三氟化氮氣流受到啟動而進入與處理區域分隔的電漿區域(操作115與120)。分隔的電漿區域在此可指遠端電漿區域,且可為有別於處理腔室的單獨模組,或者是在處理腔室內的分隔空間。來自遠端電漿的遠端電漿流出物或產物流進處理區域並且得以與基材表面交互作用(操作122)。
大體而言,SiConiTM 蝕刻涉及共流含氟前驅物及含氫前驅物。在不同實施例中,含氟前驅物包括三氟化氮、氟化氫、雙原子氟、單元子氟及氟取代的碳氫化合物或其組合。在不同實施例中,含氫前驅物包括原子氫、雙原子氫、氨、碳氫化合物、鹵素取代不完全的碳氫化合物、或其組合。為了簡化,在此所包含的某些論述可指使用氨與三氟化氮的結合的示範性SiConiTM 蝕刻。
包括臭氧的反應性氧同時共同流進處理區域(操作 125)以減少含矽及碳層之表面上與接近次表面的碳濃度。減少的碳濃度使得遠端電漿流出物得以從含矽及碳層更快速地移除材料(比無法獲得臭氧時快)。該層受到蝕刻(操作130)且停止氣體的流入(操作135)。加熱基材以昇華由蝕刻製程留下的固體副產物(操作140),且將基材從處理區域移出(操作145)。
反應性氧可在各種臭氧產生器中生成,且該反應性氧一般包括臭氧與分子氧(O2 )。許多臭氧產生器使用放電及/或光學激發(例如來自氙弧燈)予以含氧氣體(通常是分子氧)。成份的比例隨著反應性氧流動遠離臭氧產生單元改變,相較於臭氧,分子氧的比例趨於增加。一旦氣體抵達處理區域,臭氧比分子氧在減低碳濃度上更有效。分子氧對接近表面的碳濃度僅有微小的影響。其他氧的同素異形體較不穩定,但仍可存在於反應性氧混合物中,且一些甚至可比臭氧在減低接近表面處的碳濃度上更有效。
反應性氧亦可在遠端電漿系統的遠端電漿區域內產生。在此實例中,反應性氧可包括臭氧、分子氧及原子氧。原子氧甚至可比臭氧對減低碳濃度更有效。一個適合的遠端電漿源是ASTRON® 產生器,其可由美國麻州Woburn的Applied Science and Technology,Inc.購得。該ASTRON® 產生器利用低場環狀電漿以解離製程氣體。在一範例中,電漿解離包括分子氧的製程氣體以及解離諸如氬之類的載氣,以生成反應性氧。亦可使用整合至基 材處理系統的遠端電漿區域,只要系統能夠產生類似ASTRON®產生器的高電漿密度即可。非關遠端電漿區域的位置,透過使分子氧流進遠端電漿區域,使反應性氧可在遠端電漿區域內生成。其他含氧源可流進包括含氮及氧之前驅物(例如N2 O、NO2 )的區域。
在第2圖中顯示依序的製程。該製程類似於第1圖所示的製程,起始於基材傳送進入處理腔室時(操作210)。含矽及碳層存在於基材表面上。臭氧之氣流受到啟動而進入處理區域(操作215)以減少含矽及碳層之接近次表面中以及表面處的碳濃度。在終結臭氧氣流後(操作220),氨氣與三氟化氮氣流受到啟動而進入與處理區域分隔的電漿區域(操作222與225)。遠端電漿流出物流進處理區域,在此處他們能與基材表面交互作用。由先前對臭氧的暴露所導致的減少的碳濃度使遠端電漿流出物得以更加迅速地從含矽及碳層移除材料,該速度比無法得到臭氧氣體使之與該膜層反應時還快。該膜層受到蝕刻(操作230),而停止氣體與遠端電漿流出物流進處理區域(操作235)。隨後加熱基材以昇華由蝕刻製程留下的固體副產物(操作240),且基材從處理區域移出(操作245)。
在序列式製程中,如同時並行的製程,臭氧產生器、ASTRON® 產生器或整合式遠端電漿區域可用於產生反應性氧流。再次而言,用於激發氨氣與三氟化氮之氣流的相同的遠端電漿區域亦可用於產生所提供的反應性氧 流,只要他們擁有製造類似於ASTRON® 產生器之高電漿密度的能力即可。
操作215-240之順序可在從腔室移出基材之前重複二次以上,以比單次循環移除更多材料。使用在下一節中所述的製程與硬體,在不同實施例中,每一循環可移除約50Å至約300Å、約75Å至約200Å、或約100Å至約150Å。額外的氧化-SiConiTM 蝕刻製程參數與製程細節在描述示範性處理系統的章節中揭露。
示範性處理系統
第3圖是部份剖面視圖,其顯示說明性處理腔室300,其中,可執行本發明之實施例。大體而言,氨氣與三氟化氮可透過一個以上的穿孔351導入遠端電漿區域361-363,並且由電漿功率源346激發。反應性氧可透過穿孔352導入,繞過遠端電漿區域361-363。反應性氧可在臭氧產生器或另一遠端電漿源(圖中未示)中形成。
在一個實施例中,處理腔室300包括腔室主體312、蓋組件302以及支撐組件310。蓋組件302配置在腔室主體312上端,而該支撐組件310至少部份配置在腔室主體312內。處理腔室300與相關聯的硬體較佳為由一種以上的製程相容材料(例如鋁、不鏽鋼等)形成。
腔室主體312包括狹縫閥開口360,其形成於主體側壁中,以供進出處理腔室300內部之用。狹縫閥開口360選擇性開啟與關閉,而得以借助於晶圓搬運機器人(圖 中未示)執行的進出腔室主體312之內部的運輸。在一個實施例中,晶圓能穿過狹縫閥開口360運輸進出處理腔室300至相鄰的傳送腔室及/或負載鎖定腔室,或群集工具中的另一腔室。第4圖中顯示包括處理腔室300的示範性群集工具。
在一個以上的實施例中,腔室主體312包括腔室主體通道313,以供熱傳流體流過腔室主體312。熱傳流體可為加熱流體或冷卻劑,且用於控制處理與基材傳送期間腔室主體312的溫度。腔室主體312的溫度是重要的,以防止非所欲的氣體或副產物冷凝於腔室壁上。示範性熱傳流體包括水、乙二醇或其混合物。示範性熱傳流體可包括氮氣。支撐組件310可具有支撐組件通道304以供熱傳流體流過支撐組件310因而影響基材溫度。
腔室主體312可進一步包含襯墊333,其環繞支撐組件310。襯墊333較佳為可移動,以供維修與清潔之用。襯墊333可由諸如鋁之金屬或陶瓷材料製成。然而,襯墊333可為任何製程相容的材料。襯墊333可受噴砂,以增加任何沉積於其上的材料之附著力,因而防止材料剝落造成處理腔室300的污染。在一個以上的實施例中,襯墊333包括形成於其中的一個以上的穿孔335以及泵取通道329,該泵取通道與真空系統流體連通。穿孔335提供流體路徑予以氣體進入泵取通道329,其提供處理腔室300內的氣體之出口。
真空系統可包括真空泵325與節流閥327,以調節氣 體通過處理腔室300的流量。真空泵325耦接配置在腔室主體312上的真空通口311,且因此與形成在襯墊333內的泵取通道329流體連通。除非以其他方式註明,否則「氣體」一詞指一種以上的反應物、催化劑、載氣、沖淨氣體、清潔氣體、其組合,以及任何其他導入腔室主體312的流體。「前驅物」一詞用於指任何參與在表面上移除或沉積材料之反應的製程氣體。
穿孔335使泵取通道得以與腔室主體312內的處理區域340流體連通。處理區域340由蓋組件302的下表面與支撐組件310的上表面界定,且由襯墊333環繞。穿孔335尺寸可為一致且繞襯墊333均等地隔開。然而,可使用任何數目、位置、尺寸或形狀的穿孔,且每一該等設計參數可取決於橫跨基材接收表面的期望氣體流態改變,其如下文中詳細論述。此外,穿孔335的尺寸、數目與位置是經設置以達成離開處理腔室300氣體的均勻流動。進一步言之,穿孔尺寸與位置可裝設成提供快速或高容量的泵取,以助於快速地從腔室排出氣體。舉例而言,穿孔335的數目與尺寸在相當接近真空通口331處可小於位在遠離真空通口331處的穿孔335尺寸。
氣體供給平板(圖中未示)一般用於提供製程氣體穿過一個以上的穿孔351予以處理腔室300。所使用的特殊的氣體取決於在腔室300內所執行的製程。說明性的氣體可包括(但不限於)一種以上的前驅物、還原劑、催化劑、載氣、沖淨氣體、清潔氣體或其任何混合物或 其組合。一般而言,導至處理腔室300的一種以上的氣體穿過頂板350中的穿孔351流進電漿空間361。處理氣體可以交替式或結合式更直接地穿過穿孔352導入處理區域340。穿孔352繞過遠端電漿區域並且用於涉及氣體不需要電漿激發的製程,或者不會因氣體的額外激發而受益的製程。在臭氧產生器中生成的反應性氧可穿過穿孔導入處理區域340而無須通過區域361、362與363。電子式操作的閥及/或流量控制機構(圖中未示)可用於控制來自氣體供應源進入處理腔室300的氣體流量。視製程而定,任何數量的氣體可傳遞至處理腔室300,並且可在處理腔室300中混合或者在氣體傳遞至處理腔室300之前混合。
蓋組件302可進一步包括電極345,以在蓋組件302內生成反應性物料的電漿。在一實施例中,電極345由頂板350支撐,且透過***電絕緣環347與頂板電性絕緣,該電絕緣環347由氧化鋁或任何其他絕緣且具製程相容性的材料製成。在一個以上的實施例中,電極345耦接功率源346,同時蓋組件302的其餘部份接地。據此,一種以上的製程氣體之電漿可在由空間361、362及/或363構成的遠端電漿區域中生成,該區域位在電極345與環狀安裝凸緣322之間。在實施例中,環狀安裝凸緣包含或支撐氣體傳遞板320。舉例而言,電漿可在電極345與阻擋組件330之一個或二個阻擋板之間啟動並且維持。或者,在不存在阻擋組件330的情況下,可 在電極345與氣體傳遞板320之間點燃電漿且保持該電漿。在另一實施例中,電漿良好地侷限或保持在蓋組件302內。據此,電漿為「遠端電漿」,因為沒有活性電漿直接與腔室主體312內配置的基材接觸。於是,可避免電漿對基材的損害,因為電漿與基材表面隔離。
各種功率源346能夠將氨氣與三氟化氮氣體活化成反應性物料。舉例而言,可使用射頻(RF)、直流電(DC)或微波(MW)類的電源放電技術。該活化亦可透過熱能類型的技術、氣體斷裂技術、高強度光源(例如UV能量)或暴露至X射線源生成。或者,可使用遠端活化源(諸如遠端電漿產生器)以生成反應性物料電漿,隨後傳遞進入腔室300。示範性遠端電漿產生器可由諸如MKS Instrument,Inc.與Advanced Energy Industries,Inc.等販售商購得。在示範性處理系統中,RF功率供應器耦接電極345。更高功率微波功率源346在使用功率源346產生反應性氧的事件中是有利的。
透過將熱傳介質個別流過腔室主體通道313與支撐組件通道304而控制製程腔室主體312與基材每一者的溫度。支撐組件通道304可形成於支撐組件310內,以助傳送熱能。腔室主體312與支撐組件310可獨立冷卻或加熱。例如,加熱流體可流過腔室主體312與支撐組件310中的一個,而冷卻流體流過另一個。
可使用其他方法控制基材溫度。可透過以電阻式加熱器加熱支撐組件310(或其一部份,例如底座)或透過 其他手法加熱基材。另一組態中,氣體傳遞板320可維持在高於基材的溫度,而基材可上升,以升高基材溫度。在此實例中,以輻射式加熱基材,或者透過使用氣體以從氣體傳遞板320將熱導至基材而加熱基材。可透過升高基材支撐組件310或透過使用舉升銷而上升基材。
在不同實施例中,在此述的蝕刻製程期間,可將腔室主體312維持在介於50℃至80℃之間、55℃至75℃之間、或60℃至70℃之間的大約溫度範圍內。暴露至電漿流出物及/或氧化劑期間,在不同實施例中,可將基材維持在低於約100℃、低於65℃、介於約15℃至約50℃之間或介於約22℃至約40℃之間。
電漿流出物包括各種分子、分子片段及離子化物料。現用SiConiTM 蝕刻的挾帶理論機制可能全然正確也可能不全然正確,但猜測電漿流出物包括NH4 F以及NH4 F.HF,其易於與此述的低溫基材反應。電漿流出物可與矽氧化物表面反應,以形成(NH4 )2 SiF6 、NH3 及H2 O產物。NH3 及H2 O在此述處理條件下為蒸氣,且可透過真空泵325從處理區域340移除。(NH4 )2 SiF6 固體副產物的不連續薄層留在基材表面上。
暴露至電漿流出物後及固體副產物的相關累積後,可加熱基材以移除副產物。在實施例中,透過將加熱元件370結合於氣體傳遞板320內或結合於該板320附近,而可加熱氣體傳遞板320。透過減少基材與受熱的氣體傳遞板之間的距離而可加熱基材。不同實施例中,可將 氣體傳遞板320加熱到約100℃至150℃之間,介於約100℃至140℃之間,或介於約120℃至130℃之間。透過減少基材與受熱的氣體傳遞板之間的間隔,在不同實施例中,可將基材加熱到大於約75℃,大於約90℃,大於約100℃,或介於約115℃至150℃之間。應將從氣體傳遞板320輻射到基材的的熱量製造得足夠充分以將基材上的固體(NH4 )2 SiF6 解離或昇華成揮發性SiF4 、NH3 及HF產物,該等產物可從處理區域340泵取抽離。
不同實施例中,氨(或大體而言含氫前驅物)可以約50sccm至約300sccm、約75sccm至約250sccm、約100sccm至約200sccm或約120sccm至約170sccm之間的速率流入遠端電漿空間361。不同實施例中,三氟化氮(或大體而言,含氟前驅物)可以約25sccm至約150sccm、約40sccm至約175sccm、約50sccm至約100sccm或約60sccm至約90sccm之間的速率流入遠端電漿空間361。結合的含氫與含氟前驅物進入遠端電漿區域的流率可佔總氣體混合物體積的0.05%至約20%;其餘氣體為載氣。在一個實施例中,在該等反應性氣體之前,首先啟動沖淨氣體或載氣進入遠端電漿區域,以穩定遠端電漿區域內的壓力。
電漿流出物的產生是發生在空間361、362及/或363內,透過相對於蓋組件302的其餘部份施加電漿功率給電極345而達成。電漿功率可為各種頻率或多重頻率的組合。在示範性處理系統中,藉由將RF功率傳遞給電極 345而提供電漿。不同實施例中,RF功率可介於約1W至約1000W、約5W至約600W、約10W至約300W、或約20W至約100W之間。不同實施例中,施加在示範性處理系統中的RF頻率可少於約200kHz、少於約150kHz、少於約120kHz、或介於約50kHz至約90kHz之間。
當使用臭氧產生器形成反應性氧時,可使用各種類型的臭氧產生器。臭氧產生器可接近處理系統或與處理系統隔離。臭氧產生器可置於清潔室外側或容置處理系統的灰色地帶。臭氧一般是與更穩定的分子氧(O2)流動,在數個實施例中,在此該結合是指反應性氧。不同實施例中,反應性氧的流率可介於約1slm至約50slm、約2slm至約30slm、或約5slm至約10slm之間。在反應性氧透過穿孔352流進處理區域340之前,可與相對惰性的額外氣體流結合。可納入相對惰性的載氣以獲得各種益處,包括增加電漿密度。
在將臭氧、氧、載氣及/或電漿流出物流進處理區域340期間,可將處理區域340維持在各種壓力下。不同實施例中,可將該壓力維持在約500mTorr至約30Torr、約1Torr至約10Torr、或約3Torr至約6Torr之間。處理區域340內亦可使用較低的壓力。不同實施例中,壓力可維持在低於或約500mTorr、低於或約250mTorr、低於或約100mTorr、低於或約50mTorr、或者低於或約20mTorr。
在一個以上的實施例中,可將處理腔室300整合成多種多重處理平台,包括ProducerTM GT、CenturaTM AP以及EnduraTM 平台,可購自美國加州Santa Clara的Applied Materials,Inc.。此類處理平台能夠執行數種處理操作而無須破真空。
第4圖是說明性多重腔室處理系統400之概略頂視圖。系統400可包括一個以上的負載鎖定腔室402、404,以將基材傳送進出系統400。一般而言,因為系統400是在真空下,負載鎖定腔室402、404可向下抽吸導進系統400的基材。第一機器人410可將基材傳送於負載鎖定腔室402、404與第一組一個以上的基材處理腔室412、414、416、418(圖中顯示四個)之間。可配備每一處理腔室412、414、416、418以執行許多基材處理操作,除了循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、去氣、定位及其他基材製程之外,還包括此述的乾式蝕刻製程。
第一機器人410亦可將基材傳送至一個以上的傳送腔室422、424或將基材送出該等腔室。傳送腔室422、424可用於維持超高真空條件,同時使基材在系統400內傳送。第二機器人430可在傳送腔室422、424與第二組一個以上的處理腔室432、434、436、438之間傳送基材。類似於處理腔室412、414、416、418,可配備每一處理腔室432、434、436、438以執行許多基材處理操作,除 了例如循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、去氣及定位之外,還包括此述的乾式蝕刻製程。如果由系統400執行的特殊製程非必要,則任何基材處理腔室412、414、416、418、432、434、436、438可從系統400移除。
臭氧產生器451可位於清潔室外側,而供給線路將製程氣體從臭氧器451搭載到用於此述之氧化-SiConiTM 製程的處理腔室434。遠端電漿系統(RPS)453可定位在遠端,或者與SiConiTM 處理腔室434一起整合(如圖所示)。或者,RPS453可為個別獨立形式,但相當接近或甚至在實體上附接處理腔室434。於RPS453內激發的反應性氧可更直接(例如透過穿孔352)導入處理區域340,然而其他來自氣體操作系統455的氣體透過穿孔351導入,由製程空間361、362及/或363內的遠端電漿激發。
系統控制器457用於控制馬達、閥、流量控制器、電源供應器及其他操作此述製程配方所需的功能。系統控制器457可仰賴來自光學感測器的反饋,以確定並且調整可移動的機械組件之位置。機械組件可包括機器人、節流閥及感受器,其在系統控制器457的控制下透過馬達移動。
在示範性實施例中,系統控制器457包括硬碟機(記憶體)、USB埠、軟碟機及處理器。系統控制器457包括 類比數位輸入/輸出板、介面板及步進馬達控制板。含有處理腔室300的多重腔室處理系統400之各部件受到系統控制器457控制。系統控制器執行系統控制軟體,該軟體以電腦程式之形式儲存在電腦可讀媒體上,該等媒體諸如硬碟、軟碟或快閃記憶體隨身碟。亦可使用其他種類的記憶體。電腦程式包括指令集,其指示時間、氣體混合、腔室壓力、腔室溫度、RF功率層級、感受器位置及其他特殊製程參數。
可使用由控制器執行的電腦程式實施用於沉積膜在基材上的製程或者用於清潔腔室的製程。電腦程式編碼可以習知電腦可讀的程式語言撰寫,例如68000組語、C、C++、Pascal、Fortran或其他者。使用習知的文件編輯器將適合的程式編碼編入單一檔案或多重檔案,並且儲存於電腦可使用媒體(如電腦的記憶體系統)或由該媒體實施。倘若編入的編碼內文是高階語言,則編譯編碼,而所得的編譯編碼隨後與預先編譯的Microsoft Windows®函式庫常式之目的碼連結。為了執行該連結、編譯的目的碼,系統使用者援用該目的碼,使電腦系統載入記憶體中的編碼。CPU隨後讀取並且執行該編碼,以操作系統中辨識的任務。
使用者與控制器之間的介面可透過接觸感應顯示器,亦可包括滑鼠及鍵盤。在一實施例中,使用兩個顯示器,一個安裝在清潔室壁以供操作者使用,另一個在壁後以供維修技術人員使用。兩個顯示器可同時顯示相同資 訊,該實例中,一次僅有一個被設置成接受輸入。為了選擇特殊的螢幕或功能,操作者以手指或滑鼠接觸顯示器螢幕上的設計的區域。接觸區域改變其強調色彩,呈現新的選單或螢幕,確認操作者的選擇。
在此所使用的「基材」可為具有(或不具有)形成於其上的膜層之支撐基材。該支撐基材可為有各種摻雜濃度及摻雜輪廓的絕緣體或半導體,可例如為用在積體電路製造上的類型的半導體基材。處於「激發態」的氣體是敘述氣體其中至少有一些氣體分子處於振動型式的激發、解離及/或離子化的狀態。氣體可為兩種以上氣體的結合。全文中所用之溝槽(trench)一詞毫無暗指意味地是指蝕刻過的地形具有大的水平深寬比。由表面上方所視,溝槽可顯現圓形、卵形、多邊形、矩形或各種其他形狀。
透過上述數個實施例的說明,該領域技術人士應知多種修飾例、替代架構與等效例皆不脫本發明之精神。此外,說明書中不對多種習知處理與元件做說明,以避免不必要地混淆了本發明。故,上述說明不應被視為對本發明範疇之限制。
當提供數值範圍時,除非文字中另外清楚指明,應知亦同時揭露介於該範圍的上下限值之間各個區間值至下限值單位的十分之一。亦涵蓋了所陳述數值或陳述範圍中之區間值以及與陳述範圍中任何另一陳述數值或區間值之間的每個較小範圍。這些較小範圍的上限值與下限 值可獨立包含或排除於該範圍中,且各範圍(不管是包含其中一個、包含兩個或不含其上限值與下限值)皆涵蓋於本發明內所陳述之範圍中,除非有特別排除之限制。當所陳述之範圍包括極限值的其中一者或兩者,其也涵蓋該些排除其中一者或兩者所含極限值的範圍。
說明書與如附申請專利範圍中所使用之單數形式「一」與「該」等用語也包括複數形式,除非文字中另外清楚指明。因此,舉例而言,「一種製程」包括複數個這類製程,而「該介電材料」包括一或多種介電材料以及該領域技術人士所熟知的其等效例。
同時,說明書與下述申請專利範圍中「包括」、「包含」、「含有」、「含」以及「具有」等用語是指存在所陳述之特徵、組件、構件或步驟,但其並不排除一或多種其他特徵、物體、構件、步驟、動作或群組的存在或增加。
110-145‧‧‧操作
210-245‧‧‧操作
300‧‧‧處理腔室
302‧‧‧蓋組件
304‧‧‧支撐組件通道
310‧‧‧支撐組件
312‧‧‧腔室主體
313‧‧‧腔室主體通道
320‧‧‧氣體傳遞板
322‧‧‧環狀安裝凸緣
325‧‧‧真空泵
329‧‧‧泵取通道
330‧‧‧阻擋組件
333‧‧‧襯墊
335‧‧‧穿孔
340‧‧‧處理區域
345‧‧‧電極
346‧‧‧電漿功率源
347‧‧‧絕緣環
350‧‧‧頂板
351、352‧‧‧穿孔
360‧‧‧狹縫閥開口
361、362、363‧‧‧遠端電漿區域
400‧‧‧系統
402、404‧‧‧負載鎖定腔 室
410、430‧‧‧機器人
412、414、416、416、432、434、436、438‧‧‧處理腔室
422、424‧‧‧傳送腔室
451‧‧‧臭氧產生器
453‧‧‧遠端電漿系統
455‧‧‧氣體操作系統
457‧‧‧系統控制器
透過參考說明書之其餘部份及圖式,可進一步瞭解所揭露之實施例的本質與優點。
第1圖是根據所揭露的實施例之含矽及碳材料蝕刻製程的流程圖。
第2圖是根據所揭露的實施例之含矽及碳材料蝕刻製程的流程圖。
第3圖是根據所揭露的實施例之處理腔室之剖面視 圖。
第4圖是根據所揭露的實施例之處理系統。
在該等附圖中,相似的部件及/或特徵可具有相同的元件符號。進一步言之,各種相同類型的部件可透過在元件符號後加一破折號與第二元件符號而與類似的部件區分。倘若在說明書中僅用第一元件符號,則該描述應用至任何具有相同第一元件符號但具個別第二元件符號的類似部件之任一者中。
110-145...操作

Claims (15)

  1. 一種在一基材處理腔室的一基材處理區域中蝕刻一基材之一表面上的一含矽及碳層的方法,其包括以下步驟:將一含氟前驅物與一含氫前驅物流入一第一遠端電漿區域,同時在該第一遠端電漿區域中形成一電漿以產生電漿流出物,而該第一遠端電漿區域流體連通式耦接該基材處理區域;藉由將該等電漿流出物與反應性氧流入該基材處理區域而蝕刻該含矽及碳層,同時形成固體副產物於該基材之該表面上;以及藉由將該基材之溫度增加至該等固體副產物的昇華溫度之上而昇華該等固體副產物。
  2. 一種在一基材處理區域中蝕刻一基材之一表面上的一含矽及碳層的方法,其依序包括以下步驟:將反應性氧流入該基材處理區域,以減少該含矽及碳層之一接近表面的區域中的碳濃度;透過將一含氟前驅物與一含氫前驅物流入一第一遠端電漿區域,同時在該第一遠端電漿區域中形成一電漿以產生流入該基材處理區域的電漿流出物,而蝕刻該含矽及碳層,該第一遠端電漿區域流體連通式耦接基材處理區域;以及 在該蝕刻步驟後,藉由將該基材之溫度上升至留在該表面上的固體副產物的昇華溫度之上而昇華該等固體副產物。
  3. 如請求項1或2所述之方法,其中該含氟前驅物包含選自由三氟化氮、氟化氫、雙原子氟、單原子氟及氟取代之碳氫化合物所構成之群組的至少一種前驅物。
  4. 如請求項1或2所述之方法,其中該含氫前驅物包含選由原子氫、分子氫、氨、碳氫化合物及鹵素取代不完全的碳氫化合物所構成之群組的至少一種前驅物。
  5. 如請求項1或2所述之方法,其中將該基材之溫度較該蝕刻操作期間的該基材之溫度增加至少20℃,以昇華該等固體副產物。
  6. 如請求項1或2所述之方法,其中該基材在該蝕刻操作期間的溫度少於100℃或大約100℃。
  7. 如請求項1或2所述之方法,其中該反應性氧包含臭氧。
  8. 如請求項1或2所述之方法,其中該反應性氧包含原子氧(O)。
  9. 如請求項1或2所述之方法,其中從該含矽及碳層被移除大約150Å或少於150Å之厚度。
  10. 如請求項1或2所述之方法,其中重複該等步驟以從該含矽及碳層移除額外的材料。
  11. 如請求項10所述之方法,其中每一重複程序從該含矽及碳層移除大約150Å或少於150Å之厚度。
  12. 如請求項1所述之方法,其中該反應性氧不會流過該第一遠端電漿區域。
  13. 如請求項2所述之方法,其中該反應性氧包含臭氧,該臭氧是由將分子氧(O2 )流進一第二遠端區域而生成。
  14. 如請求項13所述之方法,其中該第一遠端電漿區域是該第二遠端電漿區域。
  15. 一種在一基材處理系統內與一基材處理區域相接的一內部表面上蝕刻一含矽及碳層的方法,其包括以下步驟:將一含氟前驅物與一含氫前驅物流入一遠端 電漿區域,同時在該遠端電漿區域中形成一電漿以產生電漿流出物,而該遠端電漿區域流體連通式耦接該基材處理區域;藉由將該等電漿流出物與反應性氧流入該基材處理區域而蝕刻該含矽及碳層,同時形成固體副產物於該內部表面上;以及藉由將該內部表面之溫度增加至該等固體副產物的昇華溫度之上而昇華該等固體副產物。
TW099129276A 2009-08-31 2010-08-31 用於含碳膜的矽選擇性乾式蝕刻方法 TWI497582B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/551,180 US8211808B2 (en) 2009-08-31 2009-08-31 Silicon-selective dry etch for carbon-containing films

Publications (2)

Publication Number Publication Date
TW201133606A TW201133606A (en) 2011-10-01
TWI497582B true TWI497582B (zh) 2015-08-21

Family

ID=43625544

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099129276A TWI497582B (zh) 2009-08-31 2010-08-31 用於含碳膜的矽選擇性乾式蝕刻方法

Country Status (6)

Country Link
US (1) US8211808B2 (zh)
JP (1) JP2013503482A (zh)
KR (1) KR101764166B1 (zh)
CN (1) CN102598222B (zh)
TW (1) TWI497582B (zh)
WO (1) WO2011025655A2 (zh)

Families Citing this family (170)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8435902B2 (en) * 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR20120040761A (ko) * 2010-08-26 2012-04-30 삼성전자주식회사 비휘발성 메모리 소자의 제조 방법
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) * 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8664012B2 (en) * 2011-09-30 2014-03-04 Tokyo Electron Limited Combined silicon oxide etch and contamination removal process
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US20130298942A1 (en) * 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103811260B (zh) * 2012-11-08 2016-06-08 中微半导体设备(上海)有限公司 一种等离子反应器及其处理方法
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) * 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6086862B2 (ja) 2013-08-30 2017-03-01 東京エレクトロン株式会社 酸化シリコンから構成された領域を選択的に除去する方法及びプラズマ処理装置
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150079799A1 (en) * 2013-09-17 2015-03-19 Applied Materials, Inc. Method for stabilizing an interface post etch to minimize queue time issues before next processing step
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9472453B2 (en) 2014-03-13 2016-10-18 Qualcomm Incorporated Systems and methods of forming a reduced capacitance device
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
JP6230954B2 (ja) 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
CN104377107A (zh) * 2014-09-24 2015-02-25 上海华力微电子有限公司 一种用于SiCoNi蚀刻工艺的蚀刻装置
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR20160067349A (ko) * 2014-12-04 2016-06-14 삼성전자주식회사 도전 구조물 형성 방법, 반도체 장치 및 반도체 장치의 제조 방법
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
JP2016119344A (ja) 2014-12-19 2016-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9922840B2 (en) 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
US9659791B2 (en) 2015-07-16 2017-05-23 Applied Materials, Inc. Metal removal with reduced surface roughness
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US20180097110A1 (en) * 2016-09-30 2018-04-05 United Microelectronics Corp. Method for manufacturing a semiconductor structure
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN112368807A (zh) * 2018-12-21 2021-02-12 玛特森技术公司 工件的表面平滑化
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11894228B2 (en) * 2021-08-26 2024-02-06 Applied Materials, Inc. Treatments for controlling deposition defects

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW411497B (en) * 1997-12-30 2000-11-11 Applied Materials Inc Reactive preclean prior to metallization for sub-quarter micron application
US6440863B1 (en) * 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US20050001276A1 (en) * 2003-07-03 2005-01-06 The Regents Of The University Of California Selective etching of silicon carbide films
US20050026431A1 (en) * 2003-07-30 2005-02-03 Hitachi High-Technologies Corporation LSI device etching method and apparatus thereof
US20060166515A1 (en) * 2003-09-03 2006-07-27 Applied Materials, Inc. In-situ-etch-assisted HDP deposition
TW200733215A (en) * 2006-02-21 2007-09-01 Applied Materials Inc Enhancement of remote plasma source clean for dielectric films
TW200805495A (en) * 2006-04-11 2008-01-16 Applied Materials Inc Plasma dielectric etch process including ex-situ backside polymer removal for low-dielectric constant material

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888906A (en) * 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
EP0959496B1 (en) 1998-05-22 2006-07-19 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
EP1014434B1 (de) * 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
JP2002256235A (ja) 2001-03-01 2002-09-11 Hitachi Chem Co Ltd 接着シート、半導体装置の製造方法および半導体装置
US6796314B1 (en) * 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
AU2002354103A1 (en) * 2001-12-07 2003-06-17 Tokyo Electron Limited Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method
US6905968B2 (en) * 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
US7291550B2 (en) * 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
EP1831430A2 (en) 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7884032B2 (en) * 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7871926B2 (en) * 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW411497B (en) * 1997-12-30 2000-11-11 Applied Materials Inc Reactive preclean prior to metallization for sub-quarter micron application
US6440863B1 (en) * 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US20050001276A1 (en) * 2003-07-03 2005-01-06 The Regents Of The University Of California Selective etching of silicon carbide films
US20050026431A1 (en) * 2003-07-30 2005-02-03 Hitachi High-Technologies Corporation LSI device etching method and apparatus thereof
US20060166515A1 (en) * 2003-09-03 2006-07-27 Applied Materials, Inc. In-situ-etch-assisted HDP deposition
TW200733215A (en) * 2006-02-21 2007-09-01 Applied Materials Inc Enhancement of remote plasma source clean for dielectric films
TW200805495A (en) * 2006-04-11 2008-01-16 Applied Materials Inc Plasma dielectric etch process including ex-situ backside polymer removal for low-dielectric constant material

Also Published As

Publication number Publication date
WO2011025655A3 (en) 2011-05-19
JP2013503482A (ja) 2013-01-31
CN102598222B (zh) 2015-03-18
WO2011025655A2 (en) 2011-03-03
TW201133606A (en) 2011-10-01
KR101764166B1 (ko) 2017-08-02
US8211808B2 (en) 2012-07-03
CN102598222A (zh) 2012-07-18
US20110053380A1 (en) 2011-03-03
KR20120048708A (ko) 2012-05-15

Similar Documents

Publication Publication Date Title
TWI497582B (zh) 用於含碳膜的矽選擇性乾式蝕刻方法
JP5925802B2 (ja) 2段階での均一なドライエッチング
TWI434346B (zh) 乾式蝕刻之可倒轉圖案負載
KR101425629B1 (ko) 실리콘 함유 필름들을 위한 평활 siconi 식각
US9093390B2 (en) Conformal oxide dry etch
US8475674B2 (en) High-temperature selective dry etch having reduced post-etch solid residue
JP6218836B2 (ja) ラジカル構成要素の酸化物エッチング
TWI555079B (zh) 用於矽膜的選擇性蝕刻
US20120009796A1 (en) Post-ash sidewall healing
KR20140016903A (ko) 원격으로-여기된 불소 및 수증기 식각
JP2013048127A (ja) アッシュ後の側壁の回復
KR20130022433A (ko) 애쉬-후 측벽 힐링
TW201306125A (zh) 灰化後側壁修復
SG187276A1 (en) Post-ash sidewall healing

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees