US20070099417A1 - Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop - Google Patents

Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop Download PDF

Info

Publication number
US20070099417A1
US20070099417A1 US11/329,785 US32978506A US2007099417A1 US 20070099417 A1 US20070099417 A1 US 20070099417A1 US 32978506 A US32978506 A US 32978506A US 2007099417 A1 US2007099417 A1 US 2007099417A1
Authority
US
United States
Prior art keywords
substrate
capping layer
plasma
layer
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/329,785
Inventor
Hongbin Fang
Timothy Weidman
Fang Mei
Yaxin Wang
Arulkumar Shanmugasundram
Christopher Bencher
Mehul Naik
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/329,785 priority Critical patent/US20070099417A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WANG, YAXIN, FANG, HONGBIN, MEI, FANG, WEIDMAN, TIMOTHY
Priority to TW095139775A priority patent/TW200722543A/en
Priority to KR1020060104898A priority patent/KR20070045986A/en
Publication of US20070099417A1 publication Critical patent/US20070099417A1/en
Priority to US12/240,744 priority patent/US7910476B2/en
Priority to KR1020080104689A priority patent/KR20080100153A/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment

Definitions

  • Embodiments of the present invention generally relate to a process of reliably forming devices on a semiconductor substrate.
  • Cu copper
  • a liner barrier layer is used to encapsulate the sides and bottom of the Cu interconnect to prevent diffusion of Cu to the adjacent dielectric layers.
  • the oxidation and electromigration related failures of Cu interconnects can be significantly reduced by depositing a thin metal capping layer of, for example, cobalt tungsten phosphorus (CoWP), cobalt tin phosphorus (CoSnP), and cobalt tungsten phosphorus boron (CoWPB), on the surface of the Cu interconnect.
  • a thin metal capping layer of, for example, cobalt tungsten phosphorus (CoWP), cobalt tin phosphorus (CoSnP), and cobalt tungsten phosphorus boron (CoWPB)
  • high processing temperatures reaching 400° C. to 450° C. for periods of about 8 hours during back-end-of-the-line (BEOL) layer processing and chip packaging leads to delamination of the subsequently deposited dielectric layers from the capping layer if adhesion between the capping layer and dielectric layer is poor.
  • BEOL back-end-of-the-line
  • Lags in the processing sequence may also encourage oxidation.
  • oxidation is particularly detrimental in thinner capping layers having thicknesses of less than about 150 ⁇ , for example, a 70 ⁇ layer of CoWP or CoWPB.
  • Increasing adhesion and decreasing the concentration of oxides across the surface of the deposited capping layer over the Cu interconnect is needed to prevent delamination of the subsequently deposited dielectric layers.
  • FIG. 1 is a sectional view of a formed feature.
  • the feature is formed on a substrate surface 11 .
  • a dielectric layer 14 is deposited on the substrate 11 .
  • a via 10 is formed in the dielectric layer 14 .
  • a barrier layer 12 is deposited in the via 10 .
  • Copper or other conductive material 13 is deposited on the barrier layer 12 .
  • the conductive material 13 is then planarized and a capping layer 22 is deposited on the conductive material 13 .
  • a dielectric layer 16 with a low dielectric constant is deposited on the dielectric layer 14 and the capping layer 22 .
  • An interface 17 is formed between the capping layer 22 and the dielectric layer 16 .
  • an additional dielectric layer 18 is deposited.
  • the additional dielectric layer may be fluorosilicate glass (FSG), amorphous silicon, silicon oxycarbide, or other dielectric selected for its dielectric constant or other properties.
  • the dielectric layers 18 and 16 may be one continuous dielectric layer composite 15 .
  • a photoresist is deposited upon the surface of the dielectric layer 18 .
  • the photoresist is patterned and the underlying dielectric composite 15 is also patterned.
  • the interface 17 between the capping layer 22 and dielectric layer 16 may lose integrity and deform. Specifically, if there is limited adhesion between the capping layer 22 and the dielectric layer 16 , the capping layer 22 and dielectric layer 16 may delaminate, leading to device failure.
  • FIG. 7 illustrates a process 50 for depositing a low dielectric constant film on the capping layer.
  • the substrate is preheated in an oxygen containing environment in step 51 .
  • a silicon containing precursor such as silane or tetraethyl orthosilicate (Si(OC 2 H 5 ) 4 , tetraethyloxysilane, or TEOS) is introduced into the chamber in step 52 .
  • the plasma is ignited in step 53 .
  • the bulk dielectric layer is deposited in step 54 . Because capping layer 22 is susceptible to oxidation, the above process 50 results in oxidation of the capping layer 22 and poor adhesion between the capping layer 22 and low K dielectric layer.
  • Improved methods and apparatus are needed to deposit and treat a capping layer to increase the adhesion between a capping layer and a dielectric layer.
  • the improved method and apparatus may provide a capping layer with improved copper diffusion barrier properties.
  • the improved copper diffusion barrier may also be an etch stop.
  • the dielectric capping layer, such as layer 16 may be eliminated from the process, and a low K dielectric may be deposited directly on the capping layer.
  • the present invention generally provides method and apparatus for processing a semiconductor substrate including depositing a capping layer upon a conductive material formed on the substrate, reducing oxide formation on the capping layer, and then depositing a dielectric material.
  • the present invention also generally provides a method and apparatus for processing a semiconductor substrate including depositing a capping layer upon a conductive material formed on a substrate, exposing the capping layer to a plasma, heating the substrate to more than about 100° C., and depositing a low dielectric constant material.
  • FIG. 1 (prior art) is a sectional view of a formed feature.
  • FIG. 2 is a sectional view of an embodiment of a formed feature.
  • FIG. 3 is a sectional view of an additional embodiment of a formed feature.
  • FIG. 4 is a sectional view of an additional embodiment of a formed feature.
  • FIG. 5 is a sectional view of an additional embodiment of a formed feature.
  • FIG. 6 is a flow diagram of an embodiment of a deposition process.
  • FIG. 7 (prior art) is a flow diagram of an embodiment of a deposition process.
  • FIG. 8 is a flow diagram of an embodiment of a deposition process.
  • FIG. 9 is a flow diagram of an additional embodiment of a deposition process.
  • FIG. 10 is a flow diagram of an additional embodiment of a deposition process.
  • Embodiments of the present invention generally pertain to a process of forming reliable interconnect layers to improve the adhesion between a capping layer and a subsequently deposited dielectric layer, which is desirable to improve final device performance.
  • Hindering oxide formation along the surface of the capping layer helps improve adhesion and final device performance.
  • FIG. 2 is a sectional view of an embodiment of a formed feature.
  • FIG. 2 illustrates a cross-sectional view of an interconnect 9 containing a conductive fill material 13 disposed within an interconnect opening with a barrier layer 12 formed in a dielectric material 14 .
  • the dielectric material 14 is a low dielectric constant (low k) dielectric material, such as, a Black DiamondTM film, available from Applied Materials, Inc. of Santa Clara, Calif.; CORALTM film, available from Novellus Systems Inc.
  • Interconnect 9 is disposed on a substrate 11 .
  • Substrates on which embodiments of the invention may be useful include, but are not limited to, crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), silicon oxide, silicon germanium, doped or undoped polysilicon, doped or undoped silicon, and silicon nitride.
  • substrates may include bare silicon wafers, or substrates having conductive or non-conductive layers thereon, such as layers comprising materials having dielectric, conductive, or barrier properties, including aluminum oxide and polysilicon, and pretreated surfaces.
  • Pretreatment of surfaces may include one or more of polishing (e.g., CMP, electro-polishing), patterning, etching, reduction, oxidation, hydroxylation, annealing and baking.
  • polishing e.g., CMP, electro-polishing
  • patterning e.g., CMP, electro-polishing
  • etching e.g., reduction, oxidation, hydroxylation, annealing and baking
  • substrate surface is used herein to include any semiconductor feature, including the exposed surfaces of interconnect features, such as the top, bottom, and/or side walls of vias, lines, dual damascenes, contacts and the like.
  • Liner barrier layer 12 is used to separate the dielectric material 14 from the conductive fill material 13 .
  • Liner barrier layer 12 may include materials such as titanium, titanium nitride, tantalum, tantalum nitride, tantalum silicon nitride, tungsten nitride, silicon nitride, and combinations thereof which are usually deposited by physical vapor deposition (PVD), atomic layer deposition (ALD), and chemical vapor deposition (CVD) techniques.
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • Conductive fill material 13 includes metals such as copper (Cu), aluminum (Al), tungsten (W), and various alloys of the aforementioned metals, and preferably, the conductive fill material 13 is Cu or Cu alloy for forming the interconnect 9 structure (e.g., line or via).
  • the conductive fill material 13 is generally deposited by a deposition process, such as electroplating, electroless plating, CVD, PVD, ALD, and/or combinations thereof.
  • a layer of conductive fill material is deposited and then polished or leveled, by techniques such as electrochemical polishing and/or CMP, to form the interconnect 9 structure depicted in FIG. 2 , having a conductive surface 27 and dielectric surface 28 .
  • the conductive surface 27 is generally defined as the surface of the filled trenches and holes containing the conductive material 13 and the liner barrier layer 26 that has been exposed after the CMP process. After polishing, the dielectric surface 28 is typically cleaned to remove polishing residue and other contaminants.
  • FIG. 2 also depicts a cross-sectional view of interconnect 9 including a cobalt-containing alloy layer 22 that is a capping layer deposited on the conductive material 13 .
  • the cobalt-containing alloy layer 22 is selectively deposited by exposing the conductive material 13 to a plating solution such as those described by U.S. patent application Ser. No. 10/967,644, filed Oct. 15, 2004, which is hereby incorporated by reference herein.
  • the cobalt-containing alloy layer is deposited with a thickness from about an atomic layer to about 500 ⁇ , preferably from about 10 ⁇ to about 300 ⁇ and more preferably from about 50 ⁇ to about 200 ⁇ .
  • the cobalt-containing alloy layer 22 may be deposited in several steps.
  • the substrate surface is exposed to a first volume of plating solution to deposit a first layer with a first thickness (e.g., 100 ⁇ ) and the substrate surface is exposed to a second volume of plating solution to deposit a second layer with a second thickness (e.g., 100 ⁇ ) to form an overall cobalt-containing alloy layer.
  • a first volume of plating solution to deposit a first layer with a first thickness (e.g., 100 ⁇ )
  • a second volume of plating solution to deposit a second layer with a second thickness (e.g., 100 ⁇ ) to form an overall cobalt-containing alloy layer.
  • the cobalt-containing alloy layer may include a variety of compositions containing cobalt, tungsten or molybdenum, phosphorus, boron, and combinations thereof.
  • cobalt-containing alloys have a composition in atomic percent, such as a cobalt concentration in a range from about 85% to about 95%, a tungsten concentration in a range from about 1% to about 6% or a molybdenum concentration in a range from about 1% to about 6%, and a phosphorus concentration in a range from about 1% to about 12%, preferably from about 3% to about 9%.
  • a variable amount of boron may be present in cobalt-containing alloys prepared with the methods of the invention due to the inclusion of a borane reductant.
  • the substitution of molybdenum for tungsten may have economic advantages during the deposition of cobalt-containing alloys.
  • the concentration of phosphorus and/or boron within a cobalt-containing alloy layer can affect the deposited capping layer final crystalline structure.
  • the barrier properties such as the ability to prevent diffusion of copper, oxygen or water
  • the effect of phosphorus or boron may result from the “stuffing” of grain boundaries which can tend to inhibit copper diffusion through the capping layer.
  • oxygen is unintentionally incorporated into cobalt-containing alloys by exposing capping layer to an ambient environment before dielectric film is deposited.
  • the metal oxides are generally near the surface of the cobalt-containing alloy.
  • Substantial amounts of oxygen are not desirable within a cobalt-containing alloy, because barrier properties and conductivity are reduced as oxygen concentration increases. Adhesion of subsequently deposited dielectric material may be diminished.
  • oxygen concentration of the cobalt-containing alloy is minimized to range from about 5 ⁇ 10 18 atoms/cm 3 to about 5 ⁇ 10 19 atoms/cm 3 .
  • the lower oxygen concentration is partially because of the more efficient reduction of the cobalt-containing alloy resulting from the precursors, such as the hypophosphite source and the borane-based co-reductant, and the relative high concentration ratio of metal ions to reductant.
  • FIG. 3 is a sectional view of an additional embodiment of a formed feature.
  • the feature of FIG. 2 may undergo further processing steps.
  • a silicide layer 24 may be selectively deposited on the capping layer 22 .
  • the silicide layer 24 may be formed with a silicon containing precursor.
  • the preferred precursor is silane (SiH 4 ).
  • FIG. 3 also illustrates a dielectric layer 29 deposited upon the surface of the silicide layer 24 .
  • the dielectric layer may be fluorosilicate glass (FSG), amorphous silicon, or other dielectric selected for its dielectric constant or other properties.
  • FSG fluorosilicate glass
  • amorphous silicon or other dielectric selected for its dielectric constant or other properties.
  • FIG. 4 is a sectional view of an additional embodiment of a formed feature.
  • FIG. 4 is comparable to FIG. 2 .
  • FIG. 4 has a dielectric layer 18 in direct contact with the upper surface of the capping layer 22 .
  • the upper surface of capping layer 22 may undergo annealing or plasma treatment to improve the adhesion properties of the interface between the capping layer 22 and the dielectric layer 18 .
  • FIG. 5 is a sectional view of an additional embodiment of a formed feature.
  • the dielectric material 14 is deposited by a dual damascene process, thus the interconnect opening 19 has conductive material 13 in a shape that does not have a continuous, smooth sidewall.
  • a capping layer 22 is selectively deposited on the conductive material 13 .
  • a dielectric layer 18 is deposited upon the capping layer 22 .
  • a layer of photoresist (not shown) is deposited upon the feature.
  • a patterning step is performed to remove material beneath the patterned photoresist and the photoresist is removed from the substrate surface.
  • the resulting feature of FIG. 5 has a revealed conductive material surface and a portion of the conductive material engulfed by a capping layer 22 and dielectric layer 18 .
  • FIG. 6 is a flow diagram of an embodiment of a deposition process 100 .
  • a capping layer is deposited upon the conductive material in step 102 .
  • U.S. patent application Ser. No. 10/967,099, filed Oct. 15, 2005, which is hereby incorporated by reference herein and U.S. patent application Ser. No. 11/040,962, filed Jan. 22, 2005, which is hereby incorporated by reference herein describe process details for depositing a capping layer upon conductive material. Annealing the substrate may optionally be performed to remove impurities and improve crystalline structure in step 104 .
  • Step 106 reduces the oxides formed on the capping layer by exposing the substrate to an inert gas plasma such as argon or helium plasma, to a reducing gas such as ammonia or hydrogen plasma, or to plasma with a combination of inert gas and reducing gas, ammonia, and hydrogen gases.
  • an inert gas plasma such as argon or helium plasma
  • a reducing gas such as ammonia or hydrogen plasma
  • plasma with a combination of inert gas and reducing gas, ammonia, and hydrogen gases are described in U.S. Pat. No. 6,905,965, filed on Feb. 17, 2004, which is hereby incorporated by reference herein.
  • the step 108 is optional.
  • Depositing an adhesion promoting layer is step 108 .
  • the adhesion promotion layer is formed to a thickness of up to 250 ⁇ by introducing silane (SiH 4 ) gas into a chamber. Preferably, a monolayer of about 3 to about 50 ⁇ is deposited. Any silicon containing precursor that will form silicon—cobalt bonds is desirable for forming the adhesion promoting layer.
  • silane may be thermally decomposed to deposit silicon at about 100° C. or more. Forming silicon-cobalt bonds is desirable to improve adhesion between the capping layer and the dielectric deposited thereon.
  • a TEOS deposition process is used to form a film that meets the desired physical and electrical requirements.
  • An example of a typical PECVD TEOS process used on substrates is embodied in a method whereby the substrate is exposed to the plasma formed by flowing tetraethyloxysilane with carrier gas (e.g., helium) and oxygen in a chamber using a total gas pressure within the range of about 0.5 to about 3 Torr, and a substrate temperature in the range of about 100° C. to about 550° C.
  • the chamber pressure is about 1 Torr and the substrate temperature is about 400° C.+/ ⁇ 50° C.
  • An RF power of about 1,000 Watts at a frequency of about 13.56 MHz is delivered to the gas distribution plate at desired substrate process spacing.
  • Silicon dioxide films formed by a TEOS deposition process are commonly used in the semiconductor industry as intermetal-dielectric films.
  • the TEOS deposition process is typically performed using a dielectric layer forming gas, such as a gas mixture containing tetraethylorthosilicate, to deposit the dielectric layer. Examples of a typical process for depositing with TEOS are further described in the U.S. Pat. No. 5,462,899, entitled “Chemical Vapor Deposition Method for Forming SiO 2 ”, filed on Oct. 31, 1995, and U.S. Pat. No. 6,451,390, entitled “Deposition of TEOS Oxide Using Pulsed RF Plasma”, filed on Sep. 17, 2002, which are incorporated by reference.
  • Step 109 is a deposition of a dielectric layer selected for its ability to bond with the capping layer.
  • the dielectric constant of the layer may also be tuned to improve the final device properties.
  • the layer is deposited to a thickness of about 50 ⁇ to about 800 ⁇ and is a low dielectric constant material, such as silicon carbide or nitrogen containing silicon carbide having a dielectric constant of about 5 or less.
  • a low k material is BLOkTM dielectric material made by a process that is commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • Step 110 is a deposition of a dielectric layer that, like the layer deposited in step 109 , is also selected for its dielectric properties.
  • the dielectric material layer may include silicon oxide-based materials. Examples of a dielectric material include silicon oxides, doped silicon oxides, such as PSG, PBSG, and carbon doped silicon oxides.
  • An example of a low k material is Black DiamondTM dielectric material commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • U.S. patent application Ser. No. 11/145,432, filed Jun. 3, 2005, provides process details for depositing low K materials and is hereby incorporated by reference herein.
  • FIG. 8 is a flow diagram of an embodiment of a deposition process 110 A.
  • the step 122 preheats the substrate to about 100° C. to about 500° C. to prepare the substrate for subsequent deposition processes.
  • the silicon or other silicon containing material is introduced into the chamber during step 124 .
  • the RF plasma is ignited in step 126 .
  • oxygen is added to the chamber to start the dielectric deposition.
  • the bulk dielectric layer is deposited. The final formed feature will resemble the feature in FIG. 3 .
  • FIG. 9 is a flow diagram of an additional embodiment of a deposition process 110 B.
  • the process of FIG. 9 is similar to the process of FIG. 8 , however there is no silicon containing precursor soak step before igniting the plasma.
  • the substrate is preheated in an inert environment in step 122 .
  • the plasma is ignited during step 127 .
  • the silicon containing precursor and oxygen are introduced to the chamber to form cobalt silicon bonds along the surface of the capping layer in step 128 .
  • the bulk layer is deposited across the surface of the substrate in step 130 .
  • FIG. 10 is a flow diagram of an additional embodiment of a deposition process 110 C.
  • the process of FIG. 10 is comparable to the process of FIG. 9 .
  • both the alternative silicon containing precursor and oxidizing agent are added to soak the surface of the substrate before striking the plasma.
  • the substrate is heated during step 122 .
  • the silicon containing precursor and oxidizing agent are added to the chamber during step 125 .
  • the alternative oxidizing agent is chosen for its milder oxidizing capability. Oxygen and/or nitrous oxide may be used as an oxidizing agent.
  • Step 129 is igniting the plasma.
  • the bulk dielectric is deposited in step 130 .
  • a capping layer on the conductive material is desirable because it helps prevent electromigration and diffusion. It may even eliminate the need for some dielectric layers such as capping or etch stop layers.
  • Argon or helium is the preferred gases for plasma based processing steps.
  • Protecting the capping layer from oxygen exposure at elevated temperature to prevent cobalt oxide formation is important because it reduces the likelihood of etching away the capping layer during subsequent wet clean processes. Ashing processes for removing photoresist also must be adjusted to use lower oxygen concentration and lower temperatures to minimize oxidation.
  • Alternative ashing processes involving reducing gases, such as ammonia may be used instead of oxygen to remove the photoresist.
  • the processing steps before the deposition of the capping layer prevent oxide formation.

Abstract

A method and apparatus for processing a semiconductor substrate including depositing a capping layer upon a conductive material formed on the substrate, reducing oxide formation on the capping layer, and then depositing a dielectric material. A method and apparatus for processing a semiconductor substrate including depositing a capping layer upon a conductive material formed on a substrate, exposing the capping layer to a plasma, heating the substrate to more than about 100° C., and depositing a low dielectric constant material.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional patent application Ser. No. 60/731,170 (APPM/10658L/PPC/ELESS/CKIM), filed Oct. 28, 2005, which is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to a process of reliably forming devices on a semiconductor substrate.
  • 2. Description of the Related Art
  • Currently, copper and its alloys are the metals of choice for sub-micron interconnect technology because copper (Cu) has a low resistivity, a high current carrying capacity, and high electromigration resistance. However, despite the positive attributes of Cu, Cu interconnects are susceptible to copper diffusion, electromigration related failures, and oxidation related failures. Typically, a liner barrier layer is used to encapsulate the sides and bottom of the Cu interconnect to prevent diffusion of Cu to the adjacent dielectric layers. The oxidation and electromigration related failures of Cu interconnects can be significantly reduced by depositing a thin metal capping layer of, for example, cobalt tungsten phosphorus (CoWP), cobalt tin phosphorus (CoSnP), and cobalt tungsten phosphorus boron (CoWPB), on the surface of the Cu interconnect. After depositing a capping layer and subsequent dielectric layers, high processing temperatures reaching 400° C. to 450° C. for periods of about 8 hours during back-end-of-the-line (BEOL) layer processing and chip packaging leads to delamination of the subsequently deposited dielectric layers from the capping layer if adhesion between the capping layer and dielectric layer is poor. Lags in the processing sequence may also encourage oxidation. In particular, oxidation is particularly detrimental in thinner capping layers having thicknesses of less than about 150 Å, for example, a 70 Å layer of CoWP or CoWPB. Increasing adhesion and decreasing the concentration of oxides across the surface of the deposited capping layer over the Cu interconnect is needed to prevent delamination of the subsequently deposited dielectric layers.
  • FIG. 1 (prior art) is a sectional view of a formed feature. The feature is formed on a substrate surface 11. A dielectric layer 14 is deposited on the substrate 11. A via 10 is formed in the dielectric layer 14. A barrier layer 12 is deposited in the via 10. Copper or other conductive material 13 is deposited on the barrier layer 12. The conductive material 13 is then planarized and a capping layer 22 is deposited on the conductive material 13. Next, a dielectric layer 16 with a low dielectric constant is deposited on the dielectric layer 14 and the capping layer 22. An interface 17 is formed between the capping layer 22 and the dielectric layer 16. Then, an additional dielectric layer 18 is deposited. The additional dielectric layer may be fluorosilicate glass (FSG), amorphous silicon, silicon oxycarbide, or other dielectric selected for its dielectric constant or other properties. The dielectric layers 18 and 16 may be one continuous dielectric layer composite 15. Next, a photoresist is deposited upon the surface of the dielectric layer 18. The photoresist is patterned and the underlying dielectric composite 15 is also patterned. As the dielectric layer 16 is patterned, the interface 17 between the capping layer 22 and dielectric layer 16 may lose integrity and deform. Specifically, if there is limited adhesion between the capping layer 22 and the dielectric layer 16, the capping layer 22 and dielectric layer 16 may delaminate, leading to device failure.
  • Historically, the capping layer has been deposited, quickly followed by depositing the dielectric layer. FIG. 7 (prior art) illustrates a process 50 for depositing a low dielectric constant film on the capping layer. The substrate is preheated in an oxygen containing environment in step 51. Next, a silicon containing precursor such as silane or tetraethyl orthosilicate (Si(OC2H5)4, tetraethyloxysilane, or TEOS) is introduced into the chamber in step 52. Then, the plasma is ignited in step 53. The bulk dielectric layer is deposited in step 54. Because capping layer 22 is susceptible to oxidation, the above process 50 results in oxidation of the capping layer 22 and poor adhesion between the capping layer 22 and low K dielectric layer.
  • Improved methods and apparatus are needed to deposit and treat a capping layer to increase the adhesion between a capping layer and a dielectric layer. The improved method and apparatus may provide a capping layer with improved copper diffusion barrier properties. The improved copper diffusion barrier may also be an etch stop. Thus, the dielectric capping layer, such as layer 16, may be eliminated from the process, and a low K dielectric may be deposited directly on the capping layer.
  • SUMMARY OF THE INVENTION
  • The present invention generally provides method and apparatus for processing a semiconductor substrate including depositing a capping layer upon a conductive material formed on the substrate, reducing oxide formation on the capping layer, and then depositing a dielectric material. The present invention also generally provides a method and apparatus for processing a semiconductor substrate including depositing a capping layer upon a conductive material formed on a substrate, exposing the capping layer to a plasma, heating the substrate to more than about 100° C., and depositing a low dielectric constant material.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 (prior art) is a sectional view of a formed feature.
  • FIG. 2 is a sectional view of an embodiment of a formed feature.
  • FIG. 3 is a sectional view of an additional embodiment of a formed feature.
  • FIG. 4 is a sectional view of an additional embodiment of a formed feature.
  • FIG. 5 is a sectional view of an additional embodiment of a formed feature.
  • FIG. 6 is a flow diagram of an embodiment of a deposition process.
  • FIG. 7 (prior art) is a flow diagram of an embodiment of a deposition process.
  • FIG. 8 is a flow diagram of an embodiment of a deposition process.
  • FIG. 9 is a flow diagram of an additional embodiment of a deposition process.
  • FIG. 10 is a flow diagram of an additional embodiment of a deposition process.
  • DETAILED DESCRIPTION
  • Embodiments of the present invention generally pertain to a process of forming reliable interconnect layers to improve the adhesion between a capping layer and a subsequently deposited dielectric layer, which is desirable to improve final device performance. Hindering oxide formation along the surface of the capping layer helps improve adhesion and final device performance.
  • FIG. 2 is a sectional view of an embodiment of a formed feature. FIG. 2 illustrates a cross-sectional view of an interconnect 9 containing a conductive fill material 13 disposed within an interconnect opening with a barrier layer 12 formed in a dielectric material 14. In one embodiment, the dielectric material 14 is a low dielectric constant (low k) dielectric material, such as, a Black Diamond™ film, available from Applied Materials, Inc. of Santa Clara, Calif.; CORAL™ film, available from Novellus Systems Inc. of San Jose, Calif., AURORA™ film available from ASM International of Bilthoven, Netherlands; organosilanes or organosiloxanes; spin on dielectrics; carbon doped oxides; silicates; and any other suitable material. Interconnect 9, as well as other semiconductor features, are disposed on a substrate 11. Substrates on which embodiments of the invention may be useful include, but are not limited to, crystalline silicon (e.g., Si<100> or Si<111>), silicon oxide, silicon germanium, doped or undoped polysilicon, doped or undoped silicon, and silicon nitride. Other substrates may include bare silicon wafers, or substrates having conductive or non-conductive layers thereon, such as layers comprising materials having dielectric, conductive, or barrier properties, including aluminum oxide and polysilicon, and pretreated surfaces. Pretreatment of surfaces may include one or more of polishing (e.g., CMP, electro-polishing), patterning, etching, reduction, oxidation, hydroxylation, annealing and baking. The term substrate surface is used herein to include any semiconductor feature, including the exposed surfaces of interconnect features, such as the top, bottom, and/or side walls of vias, lines, dual damascenes, contacts and the like.
  • Multiple electronic device features, such as trenches and holes, may be formed in the dielectric material 14. A liner barrier layer 12 is used to separate the dielectric material 14 from the conductive fill material 13. Liner barrier layer 12 may include materials such as titanium, titanium nitride, tantalum, tantalum nitride, tantalum silicon nitride, tungsten nitride, silicon nitride, and combinations thereof which are usually deposited by physical vapor deposition (PVD), atomic layer deposition (ALD), and chemical vapor deposition (CVD) techniques. Conductive fill material 13 includes metals such as copper (Cu), aluminum (Al), tungsten (W), and various alloys of the aforementioned metals, and preferably, the conductive fill material 13 is Cu or Cu alloy for forming the interconnect 9 structure (e.g., line or via). The conductive fill material 13 is generally deposited by a deposition process, such as electroplating, electroless plating, CVD, PVD, ALD, and/or combinations thereof. A layer of conductive fill material is deposited and then polished or leveled, by techniques such as electrochemical polishing and/or CMP, to form the interconnect 9 structure depicted in FIG. 2, having a conductive surface 27 and dielectric surface 28. The conductive surface 27 is generally defined as the surface of the filled trenches and holes containing the conductive material 13 and the liner barrier layer 26 that has been exposed after the CMP process. After polishing, the dielectric surface 28 is typically cleaned to remove polishing residue and other contaminants.
  • FIG. 2 also depicts a cross-sectional view of interconnect 9 including a cobalt-containing alloy layer 22 that is a capping layer deposited on the conductive material 13. The cobalt-containing alloy layer 22 is selectively deposited by exposing the conductive material 13 to a plating solution such as those described by U.S. patent application Ser. No. 10/967,644, filed Oct. 15, 2004, which is hereby incorporated by reference herein. The cobalt-containing alloy layer is deposited with a thickness from about an atomic layer to about 500 Å, preferably from about 10 Å to about 300 Å and more preferably from about 50 Å to about 200 Å. The cobalt-containing alloy layer 22 may be deposited in several steps. For example, the substrate surface is exposed to a first volume of plating solution to deposit a first layer with a first thickness (e.g., 100 Å) and the substrate surface is exposed to a second volume of plating solution to deposit a second layer with a second thickness (e.g., 100 Å) to form an overall cobalt-containing alloy layer.
  • The cobalt-containing alloy layer may include a variety of compositions containing cobalt, tungsten or molybdenum, phosphorus, boron, and combinations thereof. Generally, cobalt-containing alloys have a composition in atomic percent, such as a cobalt concentration in a range from about 85% to about 95%, a tungsten concentration in a range from about 1% to about 6% or a molybdenum concentration in a range from about 1% to about 6%, and a phosphorus concentration in a range from about 1% to about 12%, preferably from about 3% to about 9%. A variable amount of boron may be present in cobalt-containing alloys prepared with the methods of the invention due to the inclusion of a borane reductant. In some embodiments, the substitution of molybdenum for tungsten may have economic advantages during the deposition of cobalt-containing alloys.
  • The concentration of phosphorus and/or boron within a cobalt-containing alloy layer can affect the deposited capping layer final crystalline structure. Generally, the barrier properties such as the ability to prevent diffusion of copper, oxygen or water) increases as the capping layer becomes more amorphous. Alternatively, the effect of phosphorus or boron may result from the “stuffing” of grain boundaries which can tend to inhibit copper diffusion through the capping layer.
  • Generally, oxygen is unintentionally incorporated into cobalt-containing alloys by exposing capping layer to an ambient environment before dielectric film is deposited. The metal oxides are generally near the surface of the cobalt-containing alloy. Substantial amounts of oxygen are not desirable within a cobalt-containing alloy, because barrier properties and conductivity are reduced as oxygen concentration increases. Adhesion of subsequently deposited dielectric material may be diminished. In some embodiments of the invention, oxygen concentration of the cobalt-containing alloy is minimized to range from about 5×1018 atoms/cm3 to about 5×1019 atoms/cm3. The lower oxygen concentration is partially because of the more efficient reduction of the cobalt-containing alloy resulting from the precursors, such as the hypophosphite source and the borane-based co-reductant, and the relative high concentration ratio of metal ions to reductant.
  • FIG. 3 is a sectional view of an additional embodiment of a formed feature. The feature of FIG. 2 may undergo further processing steps. A silicide layer 24 may be selectively deposited on the capping layer 22. The silicide layer 24 may be formed with a silicon containing precursor. The preferred precursor is silane (SiH4). FIG. 3 also illustrates a dielectric layer 29 deposited upon the surface of the silicide layer 24. The dielectric layer may be fluorosilicate glass (FSG), amorphous silicon, or other dielectric selected for its dielectric constant or other properties.
  • FIG. 4 is a sectional view of an additional embodiment of a formed feature. FIG. 4 is comparable to FIG. 2. However, FIG. 4 has a dielectric layer 18 in direct contact with the upper surface of the capping layer 22. The upper surface of capping layer 22 may undergo annealing or plasma treatment to improve the adhesion properties of the interface between the capping layer 22 and the dielectric layer 18.
  • FIG. 5 is a sectional view of an additional embodiment of a formed feature. The dielectric material 14 is deposited by a dual damascene process, thus the interconnect opening 19 has conductive material 13 in a shape that does not have a continuous, smooth sidewall. A capping layer 22 is selectively deposited on the conductive material 13. A dielectric layer 18 is deposited upon the capping layer 22. A layer of photoresist (not shown) is deposited upon the feature. A patterning step is performed to remove material beneath the patterned photoresist and the photoresist is removed from the substrate surface. The resulting feature of FIG. 5 has a revealed conductive material surface and a portion of the conductive material engulfed by a capping layer 22 and dielectric layer 18.
  • FIG. 6 is a flow diagram of an embodiment of a deposition process 100. A capping layer is deposited upon the conductive material in step 102. U.S. patent application Ser. No. 10/967,099, filed Oct. 15, 2005, which is hereby incorporated by reference herein and U.S. patent application Ser. No. 11/040,962, filed Jan. 22, 2005, which is hereby incorporated by reference herein describe process details for depositing a capping layer upon conductive material. Annealing the substrate may optionally be performed to remove impurities and improve crystalline structure in step 104.
  • Step 106 reduces the oxides formed on the capping layer by exposing the substrate to an inert gas plasma such as argon or helium plasma, to a reducing gas such as ammonia or hydrogen plasma, or to plasma with a combination of inert gas and reducing gas, ammonia, and hydrogen gases. Plasma methods and apparatus are described in U.S. Pat. No. 6,905,965, filed on Feb. 17, 2004, which is hereby incorporated by reference herein.
  • In FIG. 6, the step 108 is optional. Depositing an adhesion promoting layer is step 108. The adhesion promotion layer is formed to a thickness of up to 250 Å by introducing silane (SiH4) gas into a chamber. Preferably, a monolayer of about 3 to about 50 Å is deposited. Any silicon containing precursor that will form silicon—cobalt bonds is desirable for forming the adhesion promoting layer. For example, silane may be thermally decomposed to deposit silicon at about 100° C. or more. Forming silicon-cobalt bonds is desirable to improve adhesion between the capping layer and the dielectric deposited thereon.
  • In one alternative embodiment a TEOS deposition process is used to form a film that meets the desired physical and electrical requirements. An example of a typical PECVD TEOS process used on substrates is embodied in a method whereby the substrate is exposed to the plasma formed by flowing tetraethyloxysilane with carrier gas (e.g., helium) and oxygen in a chamber using a total gas pressure within the range of about 0.5 to about 3 Torr, and a substrate temperature in the range of about 100° C. to about 550° C. Preferably, the chamber pressure is about 1 Torr and the substrate temperature is about 400° C.+/−50° C. An RF power of about 1,000 Watts at a frequency of about 13.56 MHz is delivered to the gas distribution plate at desired substrate process spacing. Silicon dioxide films formed by a TEOS deposition process are commonly used in the semiconductor industry as intermetal-dielectric films. The TEOS deposition process is typically performed using a dielectric layer forming gas, such as a gas mixture containing tetraethylorthosilicate, to deposit the dielectric layer. Examples of a typical process for depositing with TEOS are further described in the U.S. Pat. No. 5,462,899, entitled “Chemical Vapor Deposition Method for Forming SiO2”, filed on Oct. 31, 1995, and U.S. Pat. No. 6,451,390, entitled “Deposition of TEOS Oxide Using Pulsed RF Plasma”, filed on Sep. 17, 2002, which are incorporated by reference.
  • Step 109 is a deposition of a dielectric layer selected for its ability to bond with the capping layer. The dielectric constant of the layer may also be tuned to improve the final device properties. Preferably, the layer is deposited to a thickness of about 50 Å to about 800 Å and is a low dielectric constant material, such as silicon carbide or nitrogen containing silicon carbide having a dielectric constant of about 5 or less. An example of a low k material is BLOk™ dielectric material made by a process that is commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • Step 110 is a deposition of a dielectric layer that, like the layer deposited in step 109, is also selected for its dielectric properties. The dielectric material layer may include silicon oxide-based materials. Examples of a dielectric material include silicon oxides, doped silicon oxides, such as PSG, PBSG, and carbon doped silicon oxides. An example of a low k material is Black Diamond™ dielectric material commercially available from Applied Materials, Inc., of Santa Clara, Calif. U.S. patent application Ser. No. 11/145,432, filed Jun. 3, 2005, provides process details for depositing low K materials and is hereby incorporated by reference herein.
  • FIG. 8 is a flow diagram of an embodiment of a deposition process 110A. The step 122 preheats the substrate to about 100° C. to about 500° C. to prepare the substrate for subsequent deposition processes. The silicon or other silicon containing material is introduced into the chamber during step 124. Then, the RF plasma is ignited in step 126. As the plasma is ignited, oxygen is added to the chamber to start the dielectric deposition. Then, in step 130, the bulk dielectric layer is deposited. The final formed feature will resemble the feature in FIG. 3.
  • FIG. 9 is a flow diagram of an additional embodiment of a deposition process 110B. The process of FIG. 9 is similar to the process of FIG. 8, however there is no silicon containing precursor soak step before igniting the plasma. The substrate is preheated in an inert environment in step 122. The plasma is ignited during step 127. The silicon containing precursor and oxygen are introduced to the chamber to form cobalt silicon bonds along the surface of the capping layer in step 128. The bulk layer is deposited across the surface of the substrate in step 130.
  • FIG. 10 is a flow diagram of an additional embodiment of a deposition process 110C. The process of FIG. 10 is comparable to the process of FIG. 9. However, both the alternative silicon containing precursor and oxidizing agent are added to soak the surface of the substrate before striking the plasma. The substrate is heated during step 122. The silicon containing precursor and oxidizing agent are added to the chamber during step 125. The alternative oxidizing agent is chosen for its milder oxidizing capability. Oxygen and/or nitrous oxide may be used as an oxidizing agent. Step 129 is igniting the plasma. Next, the bulk dielectric is deposited in step 130.
  • A capping layer on the conductive material is desirable because it helps prevent electromigration and diffusion. It may even eliminate the need for some dielectric layers such as capping or etch stop layers. Argon or helium is the preferred gases for plasma based processing steps. Protecting the capping layer from oxygen exposure at elevated temperature to prevent cobalt oxide formation is important because it reduces the likelihood of etching away the capping layer during subsequent wet clean processes. Ashing processes for removing photoresist also must be adjusted to use lower oxygen concentration and lower temperatures to minimize oxidation. Alternative ashing processes involving reducing gases, such as ammonia may be used instead of oxygen to remove the photoresist. The processing steps before the deposition of the capping layer prevent oxide formation.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A method for processing a patterned semiconductor substrate, comprising:
depositing a capping layer upon a conductive material formed on the substrate;
hindering oxide formation on the capping layer; and
depositing a dielectric material.
2. The method of claim 1, wherein the hindering the oxide formation is exposing the capping layer to a plasma.
3. The method of claim 2, wherein the plasma is an argon plasma.
4. The method of claim 2, wherein the plasma is a helium plasma.
5. The method of claim 2, wherein the plasma is a hydrogen plasma.
6. The method of claim 2, wherein the plasma is an ammonia plasma.
7. The method of claim 1, wherein the hindering the oxide formation is heating the substrate.
8. The method of claim 7, further comprising heating the substrate to more than about 100° C.
9. The method of claim 1, wherein the hindering the oxide formation is exposing the capping layer to a silicon containing precursor.
10. The method of claim 9, wherein the silicon containing precursor is silane.
11. The method of claim 9, wherein the capping layer comprises cobalt.
12. The method of claim 11, wherein a cobalt-silicide is formed.
13. The method of claim 1, wherein the dielectric material is a low dielectric constant material.
14. The method of claim 1, further comprising depositing a bulk dielectric material.
15. A method for processing a semiconductor substrate, comprising:
depositing a capping layer upon a conductive material formed on the substrate;
heating the substrate to more than about 100° C.;
exposing the capping layer to a plasma; and
depositing a low dielectric constant material.
16. The method of claim 15, further comprising exposing the capping layer to silane while heating the substrate.
17. The method of claim 15, wherein the plasma is an argon plasma.
18. The method of claim 15, wherein the plasma is a helium plasma.
19. A method for processing a semiconductor substrate, comprising:
depositing a capping layer upon a conductive material formed on the substrate;
heating the substrate to more than about 100° C.;
exposing the substrate to a silicon containing precursor;
igniting a plasma;
exposing the substrate to oxidizing gas; and
depositing a bulk dielectric.
20. A method for processing a semiconductor substrate, comprising:
depositing a capping layer upon a conductive material formed on the substrate;
heating the substrate to more than about 100° C.;
igniting a plasma comprising an inert gas;
exposing the substrate to a silicon containing precursor and oxygen; and
depositing a bulk dielectric.
US11/329,785 2005-10-28 2006-01-10 Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop Abandoned US20070099417A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US11/329,785 US20070099417A1 (en) 2005-10-28 2006-01-10 Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
TW095139775A TW200722543A (en) 2005-10-28 2006-10-27 Improving adhesion and minimizing oxidation on electroless Co alloy films for integration with low k inter-metal dielectric and etch stop
KR1020060104898A KR20070045986A (en) 2005-10-28 2006-10-27 Improving adhesion and minimizing oxidation on electroless co alloy films for integration with low k inter-metal dielectric and etch steo
US12/240,744 US7910476B2 (en) 2005-10-28 2008-09-29 Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
KR1020080104689A KR20080100153A (en) 2005-10-28 2008-10-24 Improving adhesion and minimizing oxidation on electroless co alloy films for integration with low k inter-metal dielectric and etch steo

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US73117005P 2005-10-28 2005-10-28
US11/329,785 US20070099417A1 (en) 2005-10-28 2006-01-10 Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/240,744 Continuation US7910476B2 (en) 2005-10-28 2008-09-29 Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop

Publications (1)

Publication Number Publication Date
US20070099417A1 true US20070099417A1 (en) 2007-05-03

Family

ID=37996974

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/329,785 Abandoned US20070099417A1 (en) 2005-10-28 2006-01-10 Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
US12/240,744 Expired - Fee Related US7910476B2 (en) 2005-10-28 2008-09-29 Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/240,744 Expired - Fee Related US7910476B2 (en) 2005-10-28 2008-09-29 Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop

Country Status (3)

Country Link
US (2) US20070099417A1 (en)
KR (2) KR20070045986A (en)
TW (1) TW200722543A (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080182403A1 (en) * 2007-01-26 2008-07-31 Atif Noori Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
US20080182404A1 (en) * 2007-01-29 2008-07-31 Demos Alexandros T Novel air gap integration scheme
US20090017624A1 (en) * 2007-07-09 2009-01-15 Chih-Hung Liao Nodule Defect Reduction in Electroless Plating
US20090093112A1 (en) * 2007-10-09 2009-04-09 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of rc delay
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US20100133648A1 (en) * 2008-11-28 2010-06-03 Robert Seidel Microstructure device including a metallization structure with self-aligned air gaps between closely spaced metal lines
US20130323930A1 (en) * 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US8816472B2 (en) * 2012-10-24 2014-08-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20150056800A1 (en) * 2013-08-20 2015-02-26 Bencherki Mebarki Self-aligned interconnects formed using substractive techniques
JP2015151581A (en) * 2014-02-14 2015-08-24 日立マクセル株式会社 Electroformed article and method for manufacturing the same
US9368448B2 (en) 2013-12-20 2016-06-14 Applied Materials, Inc. Metal-containing films as dielectric capping barrier for advanced interconnects
US9443956B2 (en) 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US9583380B2 (en) 2014-07-17 2017-02-28 Globalfoundries Inc. Anisotropic material damage process for etching low-K dielectric materials
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
US9768058B2 (en) 2015-08-10 2017-09-19 Globalfoundries Inc. Methods of forming air gaps in metallization layers on integrated circuit products
US11384429B2 (en) 2008-04-29 2022-07-12 Applied Materials, Inc. Selective cobalt deposition on copper surfaces

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US20140024213A1 (en) * 2012-07-18 2014-01-23 Globalfoundries Inc. Processes for forming integrated circuits with post-patterning treament
US8603913B1 (en) * 2012-12-20 2013-12-10 Lam Research Corporation Porous dielectrics K value restoration by thermal treatment and or solvent treatment
US10276505B2 (en) 2017-03-08 2019-04-30 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
KR102217242B1 (en) 2017-03-08 2021-02-18 삼성전자주식회사 Integrated circuit device and method of manufacturing the same
US20190148150A1 (en) * 2017-11-13 2019-05-16 Applied Materials, Inc. Methods for forming capping protection for an interconnection structure

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5462899A (en) * 1992-11-30 1995-10-31 Nec Corporation Chemical vapor deposition method for forming SiO2
US6333248B1 (en) * 1999-11-11 2001-12-25 Nec Corporation Method of fabricating a semiconductor device
US20020034867A1 (en) * 2000-04-10 2002-03-21 Chi-Tung Huang Method for manufacturing self-aligned silicide layer
US20020068458A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber
US6451390B1 (en) * 2000-04-06 2002-09-17 Applied Materials, Inc. Deposition of TEOS oxide using pulsed RF plasma
US6521526B2 (en) * 2000-12-05 2003-02-18 Hynix Semiconductor Inc. Method of manufacturing flash memory
US20030129842A1 (en) * 2002-01-10 2003-07-10 Chin-Jung Wang Method for forming openings in low dielectric constant material layer
US20030189208A1 (en) * 2002-04-05 2003-10-09 Kam Law Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US20040056316A1 (en) * 2002-08-29 2004-03-25 Prall Kirk D. Method and apparatus for a flash memory device comprising a source local interconnect
US6734102B2 (en) * 1998-11-17 2004-05-11 Applied Materials Inc. Plasma treatment for copper oxide reduction
US6803297B2 (en) * 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
US20040248404A1 (en) * 1997-12-30 2004-12-09 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6839507B2 (en) * 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US6897131B2 (en) * 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US20050147762A1 (en) * 2003-12-30 2005-07-07 Dubin Valery M. Method to fabricate amorphous electroless metal layers
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050287686A1 (en) * 2004-06-25 2005-12-29 Won Tae K Method to improve water-barrier performance by changing film surface morphology
US20060062897A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc Patterned wafer thickness detection system
US20060276054A1 (en) * 2005-06-03 2006-12-07 Applied Materials, Inc. In situ oxide cap layer development

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271122B1 (en) * 1999-07-12 2001-08-07 Advanced Micro Devices, Inc. Method of compensating for material loss in a metal silicone layer in contacts of integrated circuit devices
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6458722B1 (en) * 2000-10-25 2002-10-01 Applied Materials, Inc. Controlled method of silicon-rich oxide deposition using HDP-CVD
US6573606B2 (en) * 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
JP3820975B2 (en) 2001-12-12 2006-09-13 ソニー株式会社 Semiconductor device and manufacturing method thereof
KR100475931B1 (en) 2002-07-02 2005-03-10 매그나칩 반도체 유한회사 Method for manufacturing a multi metal line in semiconductor device
KR100888199B1 (en) 2002-07-19 2009-03-12 주식회사 하이닉스반도체 Method of forming metal interconnection line for semiconductor device

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5462899A (en) * 1992-11-30 1995-10-31 Nec Corporation Chemical vapor deposition method for forming SiO2
US6905965B2 (en) * 1997-12-30 2005-06-14 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US20040248404A1 (en) * 1997-12-30 2004-12-09 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6734102B2 (en) * 1998-11-17 2004-05-11 Applied Materials Inc. Plasma treatment for copper oxide reduction
US6333248B1 (en) * 1999-11-11 2001-12-25 Nec Corporation Method of fabricating a semiconductor device
US6451390B1 (en) * 2000-04-06 2002-09-17 Applied Materials, Inc. Deposition of TEOS oxide using pulsed RF plasma
US20020034867A1 (en) * 2000-04-10 2002-03-21 Chi-Tung Huang Method for manufacturing self-aligned silicide layer
US6521526B2 (en) * 2000-12-05 2003-02-18 Hynix Semiconductor Inc. Method of manufacturing flash memory
US20020068458A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber
US20030129842A1 (en) * 2002-01-10 2003-07-10 Chin-Jung Wang Method for forming openings in low dielectric constant material layer
US20030189208A1 (en) * 2002-04-05 2003-10-09 Kam Law Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US20040056316A1 (en) * 2002-08-29 2004-03-25 Prall Kirk D. Method and apparatus for a flash memory device comprising a source local interconnect
US6803297B2 (en) * 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
US6897131B2 (en) * 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US6839507B2 (en) * 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050147762A1 (en) * 2003-12-30 2005-07-07 Dubin Valery M. Method to fabricate amorphous electroless metal layers
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050287686A1 (en) * 2004-06-25 2005-12-29 Won Tae K Method to improve water-barrier performance by changing film surface morphology
US20060062897A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc Patterned wafer thickness detection system
US20060276054A1 (en) * 2005-06-03 2006-12-07 Applied Materials, Inc. In situ oxide cap layer development

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080182403A1 (en) * 2007-01-26 2008-07-31 Atif Noori Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
US20080182404A1 (en) * 2007-01-29 2008-07-31 Demos Alexandros T Novel air gap integration scheme
US7670924B2 (en) 2007-01-29 2010-03-02 Applied Materials, Inc. Air gap integration scheme
US20090017624A1 (en) * 2007-07-09 2009-01-15 Chih-Hung Liao Nodule Defect Reduction in Electroless Plating
US20090093112A1 (en) * 2007-10-09 2009-04-09 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of rc delay
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US7879683B2 (en) 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US20110104891A1 (en) * 2007-10-09 2011-05-05 Amir Al-Bayati Methods and apparatus of creating airgap in dielectric layers for the reduction of rc delay
US11384429B2 (en) 2008-04-29 2022-07-12 Applied Materials, Inc. Selective cobalt deposition on copper surfaces
US11959167B2 (en) 2008-04-29 2024-04-16 Applied Materials, Inc. Selective cobalt deposition on copper surfaces
US20100133648A1 (en) * 2008-11-28 2010-06-03 Robert Seidel Microstructure device including a metallization structure with self-aligned air gaps between closely spaced metal lines
US8883610B2 (en) * 2008-11-28 2014-11-11 Globalfoundries Inc. Microstructure device including a metallization structure with self-aligned air gaps between closely spaced metal lines
US20130323930A1 (en) * 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US8816472B2 (en) * 2012-10-24 2014-08-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US9761489B2 (en) * 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US10643895B2 (en) 2013-08-20 2020-05-05 Applied Materials, Inc. Self-aligned interconnects formed using subtractive techniques
US20150056800A1 (en) * 2013-08-20 2015-02-26 Bencherki Mebarki Self-aligned interconnects formed using substractive techniques
US9368448B2 (en) 2013-12-20 2016-06-14 Applied Materials, Inc. Metal-containing films as dielectric capping barrier for advanced interconnects
JP2015151581A (en) * 2014-02-14 2015-08-24 日立マクセル株式会社 Electroformed article and method for manufacturing the same
US9583380B2 (en) 2014-07-17 2017-02-28 Globalfoundries Inc. Anisotropic material damage process for etching low-K dielectric materials
US9443956B2 (en) 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US9768058B2 (en) 2015-08-10 2017-09-19 Globalfoundries Inc. Methods of forming air gaps in metallization layers on integrated circuit products
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films

Also Published As

Publication number Publication date
US20090029544A1 (en) 2009-01-29
US7910476B2 (en) 2011-03-22
KR20070045986A (en) 2007-05-02
TW200722543A (en) 2007-06-16
KR20080100153A (en) 2008-11-14

Similar Documents

Publication Publication Date Title
US7910476B2 (en) Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
US7524755B2 (en) Entire encapsulation of Cu interconnects using self-aligned CuSiN film
US7425506B1 (en) Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US6656840B2 (en) Method for forming silicon containing layers on a substrate
CN101569003B (en) Semiconductor device and method for manufacturing the same
TWI402887B (en) Structures and methods for integration of ultralow-k dielectrics with improved reliability
US7612452B2 (en) Method for manufacturing a semiconductor device and semiconductor device
US6593660B2 (en) Plasma treatment to enhance inorganic dielectric adhesion to copper
US7737052B2 (en) Advanced multilayer dielectric cap with improved mechanical and electrical properties
US9478460B2 (en) Cobalt selectivity improvement in selective cobalt process sequence
US8124532B2 (en) Semiconductor device comprising a copper alloy as a barrier layer in a copper metallization layer
JP5022900B2 (en) Manufacturing method of semiconductor integrated circuit device
US20140127902A1 (en) Method of providing stable and adhesive interface between fluorine based low k material and metal barrier layer
US20070123044A1 (en) Method of forming an insulating capping layer for a copper metallization layer by using a silane reaction
US20050009320A1 (en) Method of forming silicon carbide films
US20060281299A1 (en) Method of fabricating silicon carbide-capped copper damascene interconnect
US6713407B1 (en) Method of forming a metal nitride layer over exposed copper
US7977791B2 (en) Selective formation of boron-containing metal cap pre-layer
US8211795B2 (en) Method of forming a dielectric cap layer for a copper metallization by using a hydrogen based thermal-chemical treatment
US20060286800A1 (en) Method for adhesion and deposition of metal films which provide a barrier and permit direct plating
US20060040490A1 (en) Method of fabricating silicon carbide-capped copper damascene interconnect
US20110081503A1 (en) Method of depositing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
JP2006024668A (en) Process for fabricating semiconductor device
JP2012060148A (en) Manufacturing method of semiconductor integrated circuit device
JP2006147895A (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FANG, HONGBIN;WEIDMAN, TIMOTHY;MEI, FANG;AND OTHERS;REEL/FRAME:017441/0277;SIGNING DATES FROM 20060104 TO 20060106

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION