KR20010033812A - 서브-쿼터 미크론 설계 기술을 적용하기 위한 금속화 공정이전의 예비세정 단계 - Google Patents

서브-쿼터 미크론 설계 기술을 적용하기 위한 금속화 공정이전의 예비세정 단계 Download PDF

Info

Publication number
KR20010033812A
KR20010033812A KR1020007007365A KR20007007365A KR20010033812A KR 20010033812 A KR20010033812 A KR 20010033812A KR 1020007007365 A KR1020007007365 A KR 1020007007365A KR 20007007365 A KR20007007365 A KR 20007007365A KR 20010033812 A KR20010033812 A KR 20010033812A
Authority
KR
South Korea
Prior art keywords
plasma
microstructures
chamber
layer
radicals
Prior art date
Application number
KR1020007007365A
Other languages
English (en)
Inventor
수치트라 서브라만얀
리앙-유 첸
로더릭 크래이그 모셀리
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010033812A publication Critical patent/KR20010033812A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

본 발명은 기판 상의 서브미크론 미세구조물을 금속화하기 전에 수행하는 예비세정 공정을 제공하는 것이다. 이러한 방법은 산소, CF4/O2혼합물, 또는 He/NF3혼합물과 같은 반응성 가스의 플라즈마로부터 발생된 라디칼로 서브미크론 미세구조물을 예비세정시키는 단계를 포함하며, 플라즈마는 원격 플라즈마 소오스에 의해 발생되고, 라디칼은 기판이 배치된 챔버로 이송된다. 서브미크론 미세구조물 내에 잔존하는 천연 산화물은 바람직하게는 수소를 포함하는 플라즈마로부터 발생된 라디칼로 처리하는 제 2단계에서 감소된다. 제 1예비세정 단계 또는 양 예비세정 단계 이후, 미세구조물은 알루미늄, 구리, 또는 텅스텐을 증착하기 전에 노출된 유전성 표면 상에 베리어/라이너 층을 증착하는 단계를 포함한다. 예비세정 및 금속화 단계는 통합식 공정 플랫포옴 상에서 수행될 수 있다.

Description

서브-쿼터 미크론 설계 기술을 적용하기 위한 금속화 공정 이전의 예비세정 단계 {PRECLEANING STEP PRIOR TO METALLIZATION FOR SUB-QUARTER MICRON APPLICATION}
서브-할프 미크론(sub-half micron) 다중레벨 금속화는 차세대 극초대 집적회로(VLSI) 분야의 중요한 기술 중 하나이다. 이러한 기술의 중심에 놓여 있는 다중레벨 상호접속은 콘택, 비아(vias), 또는 다른 미세구조물을 포함하는 높은 종횡비의 개구에 형성된 상호접속 미세구조물의 평탄화를 요구한다. 이들 상호접속 미세구조물의 신뢰성있는 형성은 VLSI의 성공에 매우 중요하며, 회로 집적도를 증가시키기 위한 계속적인 노력, 및 개별적인 기판과 다이의 품질에 매우 중요하다.
회로 집적도의 증가는 주로 비아, 콘택, 및 다른 미세구조물의 폭을 감소시킬 뿐만 아니라 이들 미세구조물들 사이의 유전성 재료의 두께를 감소시킨다. 장치 보전성을 개선시키기 위해, 금속화 이전에 오염물을 제거하기 위한 미세구조물의 세정 공정이 요구된다. 미세구조물 폭의 감소는 미세구조물에 대한 종횡비를 증가시키며, 미세구조물을 금속 또는 다른 재료로 충진하기 전에 미세구조물을 세정시키는 것을 어렵게 한다. 미세구조물 세정 공정을 소홀히 하는 경우에는 미세구조물 내에 공극이 형성되거나, 또는 미세구조물의 저항성을 증가시킨다. 따라서, 높은 종횡비, 특히 높이 대 폭의 비가 4:1 이상인 작은 미세구조물을 세정시키기 위한 많은 시도가 있었다.
작은 미세구조물 내에 천연 산화물 및 다른 오염물의 존재하는 것은 일반적으로 증착 금속을 불균일하게 분포시킴으로써 공극을 형성시킨다. 천연 산화물은 일반적으로 노출된 박막층/기판을 산소에 노출시킴으로써 형성된다. 산소 노출은 기판이 대기 상태에서 공정 챔버들 사이로 이동될 때 발생하거나, 진공 챔버 내에 잔존하는 소량의 산소가 웨이퍼/박막층과 접촉할 때, 또는 층이 에칭에 의해 손상될 때 발생한다. 미세구조물 내의 다른 오염물은 산화물 과대 에칭으로부터 발생된 스퍼터링된 재료, 스트립핑 공정으로부터 발생된 잔류 포토레지스트, 이전 산화물 에칭 단계로부터 발생된 잔존 중합체, 또는 예비세정 스퍼터 에칭 공정으로부터 발생된 재증착 재료일 수 있다. 천연 산화물 및 다른 오염물은 기판 상에 박막 형성을 방해하는 영역을 발생시키는데, 이러한 영역의 발생에 의해 박막 성장이 방해된다. 이러한 영역의 성장이 증착 금속의 충진으로 제한되기 전에, 이러한 영역은 성장하여 작은 미세구조물을 병합하여 밀봉시킨다.
천연 산화물 및 다른 오염물의 존재는 또한 비아/콘택 저항성을 증가시킬 수 있으며, 작은 미세구조물의 전자이동 저항성을 감소시킬 수 있다. 오염물은 유전층, 보조층, 또는 증착된 금속 내로 확산될 수 있으며, 작은 미세구조물을 포함하는 장치의 성능을 변경시킬 수 있다. 오염물이 미세구조물 내의 얇은 경계 영역으로 제한될 수도 있지만, 이러한 얇은 경계 영역은 작은 미세구조물의 실질적인 일부분이다. 미세구조물의 폭이 감소됨에 따라, 미세구조물 내에서의 허용가능한 오염물의 레벨은 감소된다.
스퍼터 에칭 공정을 사용한 미세구조물의 예비세정은 큰 미세구조물 또는 약 4:1 보다 작은 종횡비를 갖는 작은 미세구조물 내의 오염물을 감소시키는데 유효하다. 그렇지만, 스퍼터 에칭 공정은 물리적 충격, 미세구조물의 측벽 상에 증착된 스퍼터 증착물 Si/SiO2, 및 미세구조물의 측벽 상에 증착된 알루미늄 또는 구리와 같은 스퍼터 금속 보조층에 의해 실리콘층을 손상시킬 수 있다. 큰 미세구조물에 대해, 스퍼터 에칭 공정은 일반적으로 미세구조물 내의 오염물의 양을 허용가능한 레벨로 감소시킨다. 큰 종횡비를 갖는 작은 미세구조물에 대해서, 스퍼터 에칭 공정은 미세구조물 내의 오염물을 감소시키는데 효과적이지 못하며, 이에 의해 형성될 장치의 성능이 저하된다.
스퍼터 에칭 공정에 의한 예비세정은 특히 구리 기판에서의 미세구조물에 대해 부적절하다. 이는 유전성 측벽을 통해 스퍼터링된 구리가 확산되기 쉽기 때문이다. 이러한 확산은 TEOS, 열적 산화물, 및 소정의 낮은 K 유전성 재료에서 특히 명백하게 나타난다. 따라서, 기판에 대한 어떠한 바이어스도 없는 신규한 예비세정 공정이 구리 예비세정 분야에서 요구되었다.
습식 화학 세정 공정은 스퍼터 에칭 공정에서 나타난 손상 또는 오염물 없이 금속화 이전에 서브미크론 미세구조물을 세정시키기 위해 개발되었다. 습식 화학 세정 공정은 일반적으로 천연 산화물을 제거하기 위해 불화수소산(HF)으로 미세구조물을 처리하는 단계를 포함한다. 미세구조물 내에 잔존하는 오염물에 따라 여러 다른 습식 화학 공정이 사용될 수 있다. 습식 화학 세정 공정은 건식 세정 공정과 조합하여 사용될 수 있다. 그렇지만, 미세구조물로부터 소량을 오염물을 제거하기 위한 습식 화학 세정 단계의 부가는 실질적으로 금속화 공정 동안 전체 공정 시간을 증가시킨다.
서브미크론 미세구조물을 위한 연성 에칭 세정 공정은 IEDM 94 내지 113쪽에 기술된 수미(Sumi) 등이 쓴 제목 "안정한 오옴 특성을 위한 연성 에칭을 사용한 새로운 콘택 공정 및 그의 0.1미크론 CMOS 장치에의 적용(New Contact Process Using Soft Etch for Stable Ohmic Characteristics and its Application to 0.1 micron CMOS Devices)"에 개시되어 있다. 연성 에칭 공정은 실리콘 하부층으로부터 천연 산화물을 제거하기 위해 유도 접속된 아르곤 플라즈마를 사용한다. 이러한 공정은 콘택홀의 바닥으로부터 콘택홀의 측벽까지 재료가 재증착되는 것을 피하지 못한다.
서브미크론 미세구조물을 위한 건식 세정 공정은 IDEM 95 내지 695쪽에 기술된 타구와(Taguwa) 등이 쓴 제목 "Ar/H2ECR 플라즈마에 의한 완전 건식 세정을 사용한 기가비트 용량 DRAMs를 위한 저콘택 저항성 금속화(Low-Contact Resistance Metallization for Gigabit Scale DRAMs Using Fully-Dry Cleaning by Ar/H2ECR Plasma"에 개시되어 있다. 건식 세정 공정은 바람직하게는 티타늄의 화학 기상 증착 이전에 아르곤과 수소의 혼합물을 포함하는 전자 싸이클로트론 플라즈마(Electron Cyclotron Plasma)로 미세구조물을 세정한다. 세정 공정은 아르곤에 의한 충격을 감소시키며, 실리콘 하부층으로부터 천연 산화물을 제거하며, 실리콘 하부층과 증착된 티타늄 사이에 균일한 TiSiX층의 형성을 촉진시킨다. 그렇지만, 세정 공정에서 아르곤에 수소를 첨가하는 것은 미세구조물 내에서의 재료의 재증착을 완전하게 제거하지 못한다.
높은 종횡비를 갖는 서브쿼터 미크론 미세구조물에 대해, 세정 공정 동안 미세구조물의 바닥으로부터 측벽으로 재료의 재증착은 미세구조물의 폭을 현저하게 좁게하며, 장치의 성능을 저하시키는 미세구조물의 저항성을 증가시킨다. 공지된 건식 세정 공정은 미세구조물의 측벽 상에 재료를 재증착시키지 않으면서 미세구조물로부터 오염물을 효과적으로 제거하지 못한다. 따라서, 미세구조물 내에 오염물을 잔존시키지 않으면서 미세구조물을 효과적으로 세정시키는 작은 미세구조물을 위한 세정 공정을 필요로 하게 되었다.
본 발명은 반도체 장치 제조를 위한 금속화 방법에 관한 것이다. 보다 상세하게는, 본 발명은 금속화 이전에 수행되는 서브미크론 미세구조물(feature)의 예비세정에 관한 것이다.
도 1은 본 발명에 따른 예비세정 단계를 수행하기 위해 원격 플라즈마 소오스를 수용하는 예비세정 반응 챔버를 도시한 도면이다.
도 2는 본 발명에 따른 예비세정 단계를 수행하기 위해 플라즈마를 발생시키는 유도 접속식 플라즈마 반응기의 전체적인 구성을 도시한 개략도이다.
도 3은 가스 분배 시스템을 도시한 도 2의 선 3-3을 따라 취한 개략적인 상단면도이다.
도 4는 도 2의 가스 분배 시스템을 도시한 개략적인 확대도이다.
도 5는 본 발명에 따라 반도체 기판 상에 미세구조물을 예비세정하고 충진하도록 구성된 집적 공정 플랫포옴을 도시한 도면이다.
본 발명은 금속화 이전에 오염물을 제거하기 위해 반도체 기판 상에 미세구조물을 예비세정시키는 방법을 제공한다. 이러한 방법은 측벽 상에 재료를 재증착시키지 않으면서 비아의 바닥으로부터 SiO2알루미늄 산화물 또는 구리 산화물을 제거하는 단계를 포함하는 하부층을 손상시키지 않으면서 콘택의 바닥으로부터 산화물을 제거하는 단계와, 콘택홀의 바닥으로부터 손상된 실리콘 박막층을 제거하는 단계와, 그리고 미세구조물의 측벽으로부터 오염물을 제거하는 단계를 포함한다. 미세구조물의 예비세정은 바람직하게는 오염물이 반응성 세정 가스의 원격 플라즈마로부터 라디칼에 의해 제거되는 제 1단계와, 미세구조물 내에 잔존하는 천연 산화물이 수소 라디칼에 노출됨으로써 감소되는 선택적인 제 2단계를 포함한다. 세정 가스의 플라즈마는 바람직하게는 원격 플라즈마 소오스에 의해 발생되어서, 미세구조물의 바닥에서 보조층이 재증착되거나 손상되지 않는 연성 에칭을 제공한다.
제 1예비세정 단계 또는 두 예비세정 단계 이후에, 알루미늄, 구리, 또는 텅스텐과 같은 금속의 증착 이전에 물리 기상 증착, 화학 기상 증착, 또는 다른 기술을 사용하여 노출된 유전성 표면 상에 베리어/라이너 층을 증착하는 단계를 포함하는 유용한 기술에 의해 미세구조물을 완전히 충진시킬 수 있다. 예비세정 및 금속화 단계는 미국 캘리포니아 산타클라라에 소재한 "어플라이드 머티어리얼스, 인코포레이티드"로부터 생산되는 엔두라(Endura) 시스템과 같은 유용한 집적 처리 플랫포옴 상에서 수행될 수 있다.
본 발명의 일양태에서, 반도체 기판 상의 유전층에 형성된 서브미크론 미세구조물은 산소, CF4/O2혼합물, 또는 He/NF3혼합물과 같은 반응성 가스를 포함하는 플라즈마로부터 발생된 라디칼로 서브미크론 미세구조물을 예비세정시킴으로써 수행되며, 플라즈마는 원격 플라즈마 소오스에 의해 발생되고, 중성의 라디칼은 기판을 수용한 챔버 내로 이송된다. 반응성 가스로 세정한 후에, 서브미크론 미세구조물 내에 잔존하는 천연 산화물은 수소 단독 또는 질소, 아르곤 또는 헬륨의 혼합물을 포함하는 플라즈마로부터 발생된 라디칼로 제거된다. 수소 라디칼은 또한 산화물에 추가하여 탄소 및 불소 오염물을 제거한다. 예비세정 후에, 라이너 또는 베리어층은 바람직하게는 미세구조물의 노출된 표면 상에 증착되며, 미세구조물은 이후 알루미늄, 구리, 텅스텐, 또는 다른 도전성 재료로 충진된다. 라이너 또는 베리어층이 Ti 또는 Ti/TiN인 경우, 본 발명에 따라 예비세정된 실리콘 표면 상에서 650 내지 750℃에서의 티타늄의 증착은 균일한 단일상의 TiSiX층을 형성한다. 예비세정은 챔버로 원격 플라즈마 소오스를 부가함으로써 구리 또는 알루미늄 금속화를 위한 베리어층을 증착하는 CVD TiN 챔버 내에서 정위치(in situ) 수행될 수 있다.
본 발명의 다른 양태에서, 본 발명에 따른 예비세정은 베리어층의 양측부 상에서의 오염물의 양을 감소시키고 미세구조물의 전자이동 저항성을 개선시키기 위해 베리어층을 증착하기 전 및 후 모두에서 수행될 수 있다.
본 발명은 일반적으로 반도체 기판 상의 유전층 내로 에칭된 미세구조물을 예비세정시키는 방법을 제공하는 것으로서, 이러한 방법은 반응성 가스를 포함하는 플라즈마로부터의 라디칼로 서브미크론 미세구조물을 예비세정시키는 단계를 포함하며, 플라즈마는 바람직하게는 원격 플라즈마 소오스에 의해 발생되며, 중성의 라디칼은 내부에 기판에 배치된 챔버 내로 이송된다.
원격 플라즈마 처리 후에 서브미크론 피이터에 잔존하는 천연 산화물은 바람직하게는 미세구조물의 금속화 공정 이전에 수소와 반응함으로써 환원된다. 보다 상세하게는, 본 발명은 서브미크론 미세구조물을 예비세정하고, 구리, 알루미늄, 또는 텅스텐과 같은 금속으로 미세구조물을 충진하는 것이다. 비록, 비집적 기술이 본 발명에 따라 서브미크론 미세구조물을 세정시키고 충진하는데 사용될 수도 있지만, 이러한 공정은 미국 캘리포니아 산타클라라에 소재한 "어플라이드 머티어리얼스, 인코포레이티드"로부터 생산되는 "엔두라" 시스템과 같은 집적 공정 시스템에서 수행된다.
본 명세서가 명료하도록, 이하 본 발명은 구리 및 알루미늄 증착 기술을 참조하여 설명할 것이다. 그렇지만, 현재 공지되었든 아직 개발되지 않았던지간에, 텅스텐 또는 다른 금속 증착 공정이 본 발명의 장점을 달성하는데 사용될 수도 있다.
본 발명은 Ge, Si, Al, Cu, 또는 TiN 보조층과 같은 도전체 보조층 또는 반도체 보조층 상에 증착되는 실리콘 이산화층과 같은 유전층 내로 에칭된 비아, 콘택, 및 다른 미세구조물을 예비세정시키는데 적합한 방법을 제공한다. 미세구조물이 보조층과 유전층 상에 증착될 연속적인 금속 상호접속층을 접속시키는 도전체 또는 반도체 재료로 충진될 수 있도록, 미세구조물은 일반적으로 보조층을 노출시킨다. 유전층에서의 미세구조물의 에칭은 오염물을 남기는데, 이러한 오염물은 미세구조물의 충진성을 개선시키고 궁극적으로 형성된 장치의 보전성 및 신뢰성을 개선시키기 위해 제거되어야 한다.
유전층을 에칭한 후, 유전층의 과에칭으로 인해 미세구조물 내의 실리콘 또는 금속 잔류물이 손상될 수 있다. 미세구조물은 또한 미세구조물 표면 상에 포토레지스트 스트립핑 및/또는 에싱 공정(ashing process)으로부터 발생된 잔류 포토레지스트, 도는 유전층 에칭 단계로부터 발생된 잔류 중합체를 포함할 수 있다. 미세구조물은 또한 미세구조물의 표면 상에 스퍼터링 에칭 예비 공정 후에 발생된 재증착 재료를 포함할 수도 있다. 이들 오염물들은 유전층 내로 이동되거나 증착 금속의 불균일한 분포를 촉진시킴으로써 금속화의 선택성을 방해할 수 있다. 오염물의 존재는 또한 미세구조물의 폭을 실질적으로 좁히고, 비아, 콘택 라인, 또는 다른 도전성 미세구조물을 형성하는 금속 내의 좁은 부분을 형성함으로써, 증착 재료의 저항성을 증가시킬 수 있다.
본 발명에 따라 세정되고 충진된 서브미크론 미세구조물은 반도체 기판 상의 표면 위에 유전성 재료를 증착하는 종래 기술에 의해 형성된다. 공지되었거나 현재까지 발견되지 않은 유전성 재료가 사용될 수도 있으며, 본 발명의 범위에서는 유기 중합체 및 에어로겔(aerogel)과 같은 낮은 유전성 재료를 포함한다. 유전층은 하나 이상의 상이한 층을 포함할 수도 있고, 적절한 증착 강화 보조층 상에 증착될 수도 있다. 바람직한 증착 강화 보조층은 Al 및 Cu와 같은 도전성 금속, TiN과 같은 베리어층, 및 도핑된 실리콘을 포함한다.
일단 증착되면, 유전층은 전형적인 기술에 의해 에칭되어서, 비아, 콘택, 트렌치, 또는 다른 서브미크론 미세구조물을 형성한다. 미세구조물은 일반적으로 가파른 측벽을 갖는 높은 종횡비를 가질 것이다. 유전층의 에칭은 플라즈마 에칭을 포함하는 유전성 에칭 공정으로 달성될 수도 있다. 이산화실리콘을 에칭하기 위한 특별한 기술은 완충된 불화수소산과 아세톤의 화합물을 포함한다. 그렇지만, 패턴은 종래 기술에 공지된 방법을 사용하여 어떠한 층 상에서 달성될 수도 있다.
바람직한 예비세정 장치
본 발명의 예비세정 공정은 바람직하게는 미국 캘리포니아 산타클라라에 소재한 "어플라이드 머티어리얼스, 인코포레이티드"로부터 생산되는 에칭 RPS 챔버와 같은 원격 플라즈마 소오스(RPS) 상에서 수행된다. 챔버는 1) 챔버 내에 기판을 지지하고 고정시키는 정전기적 척을 포함하는 챔버 몸체(바람직하게는 반드시 필요한 것은 아님), 및 2) 원격 플라즈마 소오스로 이루어진 두 개의 주요 조립체를 포함한다. 이들 주요 조립체 사이의 역학적 상호작용을 이해하고 있을지라도, 이들 주요 조립체는 구성 체계를 위해 별도로 설명할 것이다. RPS 챔버에서, 반응성 수소 라디칼은 원격 소오스에 의해 형성되며, 주로 중성종, 즉 전하를 가지지 않고 이온을 가지지 않는 중성종으로써 이웃하는 기판 내로 도입되며, 이에 의해 이온에 의한 웨이퍼 표면의 충격 및 자가 바이어스의 발생이 방지된다. RPS 챔버를 이용한 실험은 2.45 GHz 극초단파 소오스가 보다 효율적이며, 저주파수 RF 소오스 보다 보다 많은 수소 라디칼을 발생시킬 수 있음을 보여준다.
챔버 몸체/정전기적 척 조립체
도 1을 참조하면, 기판 상에 에칭된 미세구조물은 고정식 음극(12)을 갖춘 원격 플라즈마 소오스(RPS) 챔버(10) 내에서 예비세정되는데, 이러한 챔버(10)는 고정식 음극(12)에 기판(도시되지 않음)을 고정시키는 정전기적 척(14)을 포함한다. 챔버(10)는 슬릿 밸브 포트(18)를 갖춘 챔버 몸체(16)를 포함하는데, 이러한 챔버 몸체는 엔두라 플랫포옴과 같은 기판 공정 플랫포옴에 챔버(10)를 연결시킨다.
고정식 음극(12)은 공정 효율을 향상시키기 위해 비고정식 외부 표면을 갖춘 음극 라이너(20)에 의해 공정 가스로부터 밀폐된다. 챔버 몸체(16)는 공정 효율을 향상시키기 위해 비고정식 내부 표면을 갖춘 챔버 라이너(22)에 의해 공정 가스로부터 밀폐된다. 챔버 라이너(22)는 가스 분배판(26)을 지지하는 내부 환형 리지(ledge, 24)를 포함한다. 가스 분배판(26)은 이하에 기술될 원격 플라즈마 소오스로부터 수용된 공정 가스를 분배하는 다수의 이격된 구멍을 갖추고 있다. 공정 가스는 정전기적 척(14) 상에 위치된 기판의 표면 위로 흐른다. 원격 플라즈마 소오스는 일반적으로 공정 가스의 플라즈마를 수용하며, 챔버(22)로 중성 라디칼을 제공한다. 가스 분배판은 공정 가스 내에 잔존하는 이온을 감소시키기 위해 접지될 수도 있다.
고정식 음극(12) 위의 공정 영역(30)은 챔버 몸체(16) 상의 배출 포트(32)와 유체 연통하는 진공 펌프(도시되지 않음)에 의해 낮은 공정 압력으로 유지된다. 다수의 이격된 구멍을 갖는 플레넘(34)은 공정 영역(30)을 배출 포트(32)로부터 분리시켜서, 고정식 음극(12) 둘레로의 균일한 배출을 촉진시킨다. 공정 영역(30)은 챔버 몸체(16) 내에서 밀봉되는 사파이어 윈도우(36)를 통해 챔버(10) 외측으로부터 볼 수 있다.
챔버(10)는 챔버 라이너(22) 상에 놓여지는 제거가능한 챔버 덮개(40)를 갖추고 있다. 챔버 덮개(40)는 이하에 기술된 원격 플라즈마 소오스(50)로부터 공정 가스를 수용하는 중앙 분사 포트(42)를 갖추고 있다.
원격 플라즈마 소오스
도 1을 참조하면, 본 발명의 예비세정 공정을 위한 공정 가스는 전술한 RPS 챔버(10)와 유체 연통하는원격 플라즈마 소오스(50) 내의 플라즈마 내에서 여기된다. 플라즈마 적용기(52)는 공정 가스를 수용하는 가스 유입부(54)를 갖추고 있다. 공정 가스는 적용기(52)를 통해 흐르고, 챔버 덮개(40) 내의 중앙 분사 포트(42) 내로 배출된다. 자켓 도파관(jacket waveguide, 56)은 플라즈마 적용기(52)의 사파이어 관부를 둘러싸고 있으며, 공정 가스로 극초단파 에너지를 공급한다. 플라즈마는 적용기(52) 내에서 발생되며, 일반적으로 적용기(52)로 한정된다.
극초단파 에너지는 2.45 GHz에서 최대 1500W까지 제공하는 마그네트론(60)에 의해 발생된다. 극초단파 에너지는 반향된 전력이 마그네트론(60)을 손상시키는 것을 방지하는 극초단파 아이소레이터(isolator, 62)를 통과한다. 아이소레이터(62)에 의해 발생된 극초단파 에너지는 90°도파관(64)을 통해 적용기(52) 내의 플라즈마의 임피던스를 마그네트론(60)의 임피던스로 자동적으로 조절하는 오토튜너(autotuner, 66)로 전송되어서, 플라즈마 적용기(52)에 최소 반향 전력 및 최대 이동 전력을 발생시킨다.
공정 가스는 플라즈마 포함 반응성(라디칼) 종을 형성하도록 적용기(52) 내의 충분한 에너지를 수용한다. CF4및 O2의 플라즈마는 O 라디칼 및 약간의 F 라디칼을 발생시킨다. 공정 가스는 주로 적용기(52)를 떠나기 전에 중성화된다. 공정 가스는 분배판(26)을 통과한 후에 라디칼의 실질적인 부분을 유지한다. 공정 가스가 챔버로부터 배출되기 전에 라디칼은 기판 위로 전달된다. F 라디칼은 주로 Si와 SiO2를 혼합하여 휘발성 SiF4를 형성한다. O 라디칼은 탄화불소와 혼합하여 CO, CO2, 및 COF2를 형성한다. 수소 라디칼은 천연 산화물과 반응하여 수증기 및 금속을 형성한다. 배출물은 여러 부산물 뿐만 아니라 약간의 재혼합종을 이송한다.
예비세정이 도 1에 도시된 예비세정 챔버에서 수행되더라도, 예비세정은 또한 원격 플라즈마 소오스를 금속 CVD/PVD 챔버로 연결시킴으로써 수행될 수 있다. 예컨대, 가스 유입부는 원격 플라즈마 소오스로부터 반응성 가스 플라즈마 또는 수소 플라즈마를 이송하기 위해 금속 챔버 내에서 기판의 레벨로 제공될 수 있다. 가스 이송 시스템을 갖춘 금속 증착 챔버는 기판 위에 위치된 가스 분배 샤워헤드와 같은 배출 가스 유입부를 통해 예비세정 가스 플라즈마를 이송하도록 개조될 수 있다.
예비세정 공정
본 발명은 금속화 이전에 오염물을 제거하기 위해 반도체 기판 상에서 미세구조물을 예비세정시키는 방법을 제공하는 것이다. 이러한 방법은 실리콘을 손상시키지 않으면서 콘택의 바닥으로부터 이산화실리콘을 제거하는 단계, 측벽 상에 금속을 재증착시키지 않으면서 비아의 바닥으로부터 산화구리 또는 산화알루미늄을 제거하는 단계, 및 미세구조물의 측벽으로부터 오염물을 제거하는 단계를 포함한다.
본 발명에 따르면, 반도체 기판 상의 유전층 내에 형성된 미세구조물은 산소와 같은 반응성 가스, CF4/O2의 혼합물, 또는 He/NF3의 혼합물을 포함하는 플라즈마로부터 발생된 라디칼로 예비세정되는데, 여기서 플라즈마는 바람직하게는 원격 플라즈마 소오스에 의해 발생되며, 기판이 배치된 챔버로 전달된다. 바람직한 반응성 가스는 세정 공정 동안 산소 이온과 불소 이온 모두를 제공하는 CF4/O2이다. 이러한 공정은 일반적으로 고농도 산소를 사용하여 불소 및 탄소 함유 종을 효과적으로 제거하는 비중합 혼합물을 형성한다. 가스 혼합물은 넓은 범위의 제거 에칭 속도(50Å/분 내지 500Å/분) 및 넓은 범위의 Si:SiO2에칭 선택비를 얻기 위해 변화될 수 있다. 세정 공정은 미세구조물 내에 천연 산화물을 남기는데, 이러한 천연 산화물은 바람직하게는 수소 단독, 또는 반응성 라디칼을 희석시키는 작용을 하는 N, Ar, 또는 He과의 혼합물을 포함하는 플라즈마로부터 발생된 라디칼에 의해 제 2단계에서 감소된다. 제 1세정 단계 또는 양 세정 단계 후에, TiN 또는 Ti와 같은 라이너 또는 베리어층이 바람직하게는 미세구조물의 노출 표면 상에 증착되며, 미세구조물은 바람직하게는 PVD, CVD, 또는 전기도금 공정에 의해 Al, Cu, 또는 W와 같은 도전성 재료로 충진된다.
본 발명의 일실시예에서, 반도체 또는 금속 기판 상의 유전층 내에 형성된 서브미크론 미세구조물은 CF4/O2를 포함하는 플라즈마로부터 발생된 라디칼로 서브미크론 미세구조물을 예비세정시킴으로써 처리되며, 여기서 플라즈마는 원격 플라즈마 소오스에 의해 발생되며, 기판을 포함하는 챔버 내로 이송된다. 반응성 가스 라디칼은 미세구조물의 측벽으로부터 잔류 포토레지스트, 탄화수소, 및 탄화수소를 제거한다. 반응성 가스 라디칼은 또한 미세구조물의 바닥 상의 손상된 Si를 제거할 수 있다. CF4/O2의 플라즈마는 불소 라디칼 및 산소 라디칼 모두를 포함한다. 불소 라디칼은 공정 환경 하에서 휘발성인 SiF4를 형성시킴으로써 실리콘을 제거한다. 불소 라디칼은 공정 환경 하에서 휘발성인 SiF4, CO, 및 다른 산소 화합물을 형성시킴으로써 이산화실리콘을 제거한다. 불소 라디칼은 또한 미세구조물 내의 탄화수소 또는 탄화불소 오염물로부터 수소를 제거하고, 산소 라디칼은 휘발성 성분 내의 탄화수소 및 탄화불소가 파손되는 것을 조력한다. 헬륨과 같은 불활성 가스는 반응성 라디칼을 희석시키기를 원하는 경우 플라즈마 내에 제공될 수 있다. 일반적으로 제 1레벨의 실리콘 보조층을 갖춘 미세구조물에 있어서, 불소 라디칼은 바람직하게는 약 50Å의 작은 실리콘층을 제거함으로써 손상된 실리콘을 제거한다.
반응성 가스의 플라즈마로부터 발생된 라디칼로 예비세정한 후, 서브미크론 미세구조물 내에 잔존하는 천연 산화물은 수소 단독, 또는 N, Ar, 또는 He과의 혼합물을 포함하는 플라즈마로부터 발생된 라디칼로 인해 감소될 수 있다. 천연 산화물의 감소는 미세구조물의 저항을 감소시키는 미세구조물와 보조층 사이의 무산소 계면을 제공한다. 수소 또는 수소 함유 혼합물을 사용한 천연 산화물의 열적 감소는 350℃에서 CVD TiN 챔버 및 RPS 챔버 내에서 달성될 수 있다. 천연 산화물의 수소 표면 처리는 미세구조물의 바닥에서 보조층의 표면 상에 수소 결합을 잔존시키는 부가적인 장점을 제공한다. 수소 결합은 전구체 기초 탄소로부터 증착되는 다음의 박막을 감소시키는데 조력한다. 이러한 경우 실리콘 보조층의 수소 처리는 Si 표면을 패시베이션하며, 이에 의해 보조층이 대기에 노출될 때 재산화에 대해 저항성을 가지게 된다.
본 발명에 따른 예비세정 이후, 라이너 또는 베리어층이 바람직하게는 미세구조물의 노출된 표면 상에 증착되고, 미세구조물은 Al, Cu, W, 또는 다른 도전성 재료로 충진된다. 라이너 또는 베리어층이 Ti 또는 Ti/TiN인 경우, 본 발명에 따라 예비세정된 실리콘 표면 상에 증착된 티타늄은 TiSix의 균일한 단일층을 형성한다. 라이너 또는 베리어층이 Ti 또는 Ti/TiN인 경우, 본 발명에 따라 예비세정된 실리콘 표면 상에 650 내지 750℃에서의 티타늄의 증착은 TiSix의 균일한 단일층을 형성한다.
본 발명의 다른 실시예에서, 본 발명에 따른 예비세정은 미세구조물을 충진하는 Al, Cu, W, 또는 다른 도전성 금속의 전자이동 저항성을 증가시키기 위해 베리어층의 증착 이전 및 이후에 수행될 수 있다. 바람직한 베리어/라이닝층은 탄탈륨(Ta), 질화탄탈륨(TaN), 티타늄(Ti), 및 질화티타늄(TiN), 또는 이들 층들의 조합과 같은 층을 포함한다. 원격 플라즈마 또는 열공정에 의해 발생된 수소 라디칼베리어/라이닝 층의 처리는 CVD 증착된 Al 또는 CVD 증착된 Cu에 보다 우수한 접착성을 제공하기 위해 사용될 수 있다. 예컨대, H2또는 He, Ar, 또는 N2와 혼합된 H2가스 혼합물을 사용하여 CVD 증착된 TiN, Ta, 또는 TaN는 구리에 우수한 접착성을 제공한다. 수소 가스 혼합물은 바람직하게는 90 중량% 이상의 수소를 포함한다. 베리어층의 이러한 수소 처리는 CVD Cu 챔버에 원격 플라즈마 소오스를 장착함으로써 금속 증착 챔버(즉, Cu) 내에서 정위치 수행될 수 있다. 이러한 정위치 처리는 보다 우수한 접착성을 제공하며, Ta, TaN, 또는 TiN 표면 상의 화학 기상 증착된 Cu에 낮은 저항성을 제공한다. 이러한 처리는 베리어층 상의 구리의 조직, 결정립 방향, 및 결정립 크기를 개선시킴으로서, 우수한 표면 토포그래피를 제공하고 결정립 방향의 양호한 분포를 제공할 것이다.
본 발명의 예비세정 방법은 특히 미세구조물의 바닥에서 구리 보조층을 갖는 서브미크론 미세구조물의 세정에 특히 유용한데, 이는 구리가 예비세정 챔버에 기초한 스퍼터링 에칭 또는 종래의 ICP 내의 측벽에 용이하게 스퍼터링되기 때문이다. 스퍼터링된 구리는 유전 재료 내로 확산되는데, 이는 장치의 손상을 유발한다. 본 발명은 비아의 베이스를 스퍼터링하지 않고 비아를 세정시킨다.
가정예(Hypothetical Examples)
다음의 가정예는 구리 보조층을 갖는 이산화실리콘층 내로 에칭된 미세구조물의 예비세정을 기술함으로써 본 발명의 바람직한 방법을 기술한다. 예비세정은 원격 플라즈마 소오스 내에서 발생된 CF4/O2의 플라즈마로부터의 라디칼에 미세구조물을 노출시키는 제 1단계를 포함한다. 라디칼은 미세구조물을 갖는 기판이 배치된 챔버로 이송된다. 수소를 포함하는 플라즈마는 이후 H 라디칼을 챔버로 이송되어서, CVD 플라즈마 반응기 내에서 미세구조물을 구리로 충진하기 전에, 천연 산화물, 이전의 산화 에칭 단계에서 플라즈마 손상시에 생성된 산화물, 또는 에칭 단계후에 사용된 습식 화학물로부터 생성된 산화물을 감소시킨다.
예비세정 챔버는 원격 플라즈마 소오스 내의 극초단파 전력을 적용하기 전에 400mTorr의 압력에서 5초 동안 10sccm의 테트라플루오로메탄(CF4) 흐름 및 200sccm 의 산소 흐름을 제공함으로써 안세정된다. 미세구조물은 이후 원격 플라즈마로 1000W의 극초단파 전력(2.45 GHz)을 인가함으로써 30초 동안 세정된다. 이후, 원격 플라즈마 소오스로 1000W의 극초단파 전력(2.45 GHz)을 인가하고, 350 내지 450mTorr의 압력에서 300 내지 500sccm의 수소 흐름 하에서 수소 플라즈마로부터 발생된 라디칼로 30초 동안 처리함으로써 천연 산화물은 미세구조물 내에서 감소된다.
선택적인 실시예
도 2를 참조하면, 본 발명의 공정을 수행하기 위한 선택적인 공정 챔버가 개략적인 단면도로 도시되어 있다. 공정 챔버는 미국 캘리포니아 산타 클라라에 소재한 "어플라이드 머티어리얼스, 인코포레이티드"로부터 생상되는 "예비세정 II 챔버(Preclean II chamber)일 수 있다. 진공 챔버(111)는 측벽(114)을 갖추고 있고 스테인레스강 또는 알루미늄과 같은 금속 구조물로 제조된 베이스 부재(112)에 의해 형성된다. 베이스 부재(112)에 형성된 개구는 챔버(111) 내의 가스압력을 제어하기 위해 사용되는 터보 펌프(116)에 연결되어 있다. 석영 돔(117)은 챔버(111)의 상부를 형성하며, 베이스 부재(112)의 측벽(114)의 상부 둘레와 대응하는 둘레부 주위에 플랜지(18)가 제공되어 있다. 가스 분배 시스템(119)은 석영 돔(117)과 베이스 부재(112)의 접합점에 제공되는데, 이에 대해서는 이하에 상세하게 설명할 것이다. 석영, 세라믹 등으로 제조된 절연 받침대(120)는 챔버(111) 내에 웨이퍼를 유지하도록 배열된 도전성 받침대(122)를 유지하는 석영 덮개(121)를 갖추고 있다. 고주파수 RF 전력 공급기(123)는 받침대(122)에 접속되어 있고, 받침대에 네가티브 바이어스 전압을 공급한다. 이러한 바이어스 전압은 본 발명의 예비세정 단계 동안 사용되지 않는다.
나선형 RF 유도 코일(125)은 석영 돔(117)의 외부에 권취되어 있고, 덮개(127)에 의해 지지된다. 코일(125)은 중공형 구리관으로 형성되어 있다. 선택적인 축선방향 전자기장은 챔버 내에서 코일(125)의 권취부 내부에서 발생된다. 일반적으로, 약 350 내지 450 KHz의 RF 주파수가 적용되며, 이러한 주파수에서 작동하는 RF 전력 공급기의 구성(도시되지 않음)은 매칭 네트워크에 의해 코일(125)에 접속되어서 챔버(111) 내에서 플라즈마를 발생시킨다. RF 전자기장은 받침대 및 웨이퍼로부터 플라즈마를 분리하는 플라즈마 외장 또는 음영 공간을 갖추고 있는 받침대(122) 위의 챔버(111)의 일부분 내에서 글로우 방전 플라즈마를 발생시킨다.
도 3 및 도 4를 참조하면, 이러한 시스템에 사용되는 반응물 가스용 가스 분배 시스템이 개략적으로 도시되어 있다. 금속 측벽 부재(114)의 상부는 다수의 가스 분사 구멍(132)을 형성하도록, 내부로 형성된 가스 공급 트렌치(133) 및 가스 소오스로부터 연장하여 균일하게 이격되게(각이진 상태로) 배치된 12개의 채널을 갖추고 있다. 석영 돔(117)의 플랜지(118)가 베이스 부재(112)의 측벽 위에 배치된 경우, 그루브(133)에 의해 제공되고 석영 돔(117)의 플랜지(118)에 의해 덮혀진 공간 내에 폐쇄된 도넛형 관이 형성된다. 반응물 가스(통상적으로 불소 또는 이와 유사한 가스)는 챔버(111)의 중앙에서 공급되고 웨이퍼를 가로질러 그의 흐름이 균일하다.
본 발명의 예비세정 단계는 Cu 또는 Al 플러그로 미세구조물을 충진하기 전에 구리 보조층을 갖는 유전층 내에 에칭된 미세구조물을 성공적으로 세정시키기 위해 도 2 내지 도 4에 도시된 챔버 내에서 조합되었다. 챔버는 90%의 H2및 10%의 He, Ar, 또는 N2의 혼합물로 5 내지 20mTorr의 압력에서 5초 동안 안세정되었다. 미세구조물은 이후 코일에 300W의 400KHz RF 전력을 인가함으로써 60초 동안 세정되었다. 이들 공정 조건(매우 낮은 바이어스를 갖는)은 측벽 상에 구리 보조층을 증착시키지 않으면서 CuO를 화학적으로 환원시킬 수 있다. 유사한 처리가 고온에서 Si 또는 TiSix보조층에 대해 사용될 수 있다.
통합식 공정 시스템
본 발명의 예비세정 공정은 상기한 예비세정 챔버에서 수행되거나 베리어층 챔버 내의 정위치에서 수행된다. 예비세정 챔버는 바람직하게는 공정 플랫포옴 상의 다른 금속화 공정 챔버와 통합되어서, 기판의 잠정 오염물의 발생을 방지한다. 바람직한 통합 구성은 "어플라이드 머티어리얼스, 인코포레이티드"로부터 생산되는 "엔두라" 플랫포옴 상에 장착된 여러 공정 챔버를 도시한 도 5에 도시되어 있다.
도 5를 참조하면, 개략적인 다이아그램은 기판을 예비세정하기 위한 RPS 챔버와 PVD 및 CVD 챔버를 갖춘 통합식 공정 시스템(160)이 도시되어 있는데, 여기서는 통합식 금속화 공정이 수행될 수 있다. 일반적으로, 기판은 카셋트 로드록(162)을 통해 공정 시스템(160)으로부터 배출되고 도입된다. 블레이드(167)를 갖춘 로봇(164)이 공정 시스템(160) 내에 위치되어서, 시스템(160)을 통해 기판을 이동시킨다. 일반적으로 카셋트 로드록(162), 탈가스 웨이퍼 배향 챔버(170), RPS 예비세정 챔버(172), HP-PVD Ti/TiN 챔버(175), 및 냉각 챔버(176) 사이로 기판을 이송하기 위해, 제 1로봇(164)이 버퍼 챔버(168) 내에 위치되어 있다. 냉각 챔버(176), PVD IMP Ti/TiN 챔버(182), CVD Al 챔버(184), CVD TiN 챔버(186), 및 PVD HTHU Al 챔버(188) 내로 그리고 이들로부터 기판을 이송하기 위해, 제 2로봇(178)이 이송 챔버(178) 내에 위치되어 있다. 통합된 시스템 내의 이송 챔버(180)는 바람직하게는 저압 또는 10-8torr의 고진공으로 유지된다. 도 5에 도시된 챔버의 특별한 구성은 단일 클러스터 기구 내에서CVD 및 PVD 공정을 수행할 수 있는 통합식 공정 시스템을 포함하고 있다. 이러한 특별한 챔버 구성 또는 배열이 도시되어 있지만, 다른 구성 및 배열들이 본 발명에 의해 구현될 수 있다.
일반적으로, 공정 시스템(160) 내에서 처리된 기판은 카셋트 로드록(162)으로부터 버퍼 챔버(168)로 이송되는데, 여기서 로봇(164)이 기판을 탈가스 챔버(170)로 이송시킨다. 기판은 이후 RPS 예비세정 챔버(172), PVD HP TiN 챔버(175) 내로 이송되고, 이후 냉각 챔버(176) 내로 이송된다. 로봇(178)은 일반적으로 기판이 냉각 챔버(176)로 회수되기 전에, 기판을 냉각 챔버(176)로부터 하나 이상의 공정 챔버 내 및 이들 사이로 이송한다. 기판은 알루미늄으로 서브미크론 미세구조물을 충진하기 위해 수시간 동안 하나 이상의 챔버 내에서 처리되거나 냉각될 수도 있다. 기판은 공정 시스템(160)으로부터 제거되고, 처리된 후, 버퍼 챔버(168)를 통해 로드록(162)으로 이송된다. 마이크로프로세서 제어기(190)는 층의 순서 및 형성을 제어한다.
본 발명에 따르면, 공정 시스템(160)은 로드록(162)을 통해 기판은 가스 오염물을 배출하는 탈가스 챔버(170) 내로 기판을 이송한다. 기판은 이후 서브미크론 미세구조물이 오염물을 제거하고 천연 산화물을 감소시키기 위해 세정되는 RPS 예비세정 챔버(172) 내로 이송된다. 기판은 이후 세정된 유전성 표면 상에 Ti/TiN 베리어층을 증착하기 위해 PVD HP Ti/TiN 챔버(175) 내에서 처리되며, 이후 냉각 챔버(176)로 이송된다. 이후, 제 2로봇은 알루미늄 증착을 위해 하나 이상의 CVD 및 PVD 챔버로 기판을 이송한다.
통합식 플랫포옴(160)은 또한 PVD IMP Ti/TiN 챔버(182) 내에서 Ti 증착물을 갖는 실리콘 보조층을 예비세정시킴으로써 티타늄 규화물의 균일한 단일상 증착을 수행할 수 있다. 규화처리 후, 열간 Al 증착, 냉간 Al 증착, 또는 선택적인 Al 증착이 서브미크론 미세구조물의 금속화를 완성한다.
도 5의 통합식 플랫포옴은 CVD TiN 챔버(175), PVD γ-Cu 챔버(182), CVD Cu 챔버(184), PVD HTHU Cu 챔버(186), 및 PVD IMP Ta/TaN 챔버(188)를 제공함으로써 구리를 증착할 수 있는데 적용될 수도 있다. 기판은 세정된 유전 표면 상에 CVD TiN 또는 Ta/TiN 베리어층을 증착하기 위해 CVD TiN 챔버(175) 또는 PVD IMP Ta/TaN 챔버(188) 내에서 처리되며, 이후 기판은 냉각 챔버(176)로 이송된다. 구리를 증착하기 전에 서브미크론 미세구조물을 예비세정하는 것은 RPS 챔버(172) 또는 냉각 챔버(176)를 대신한 예비세정 II 챔버에서 수행될 수 있다. 예비세정 II 챔버는 수소, 아르곤, 헬륨, 및 질소 가스관을 부가함으로써 냉각 챔버를 개조한 것이다. 이후, 제 2로봇(178)은 구리를 증착하기 위해 기판을 하나 이상의 CVD 및 PVD 챔버로 이송한다. 증착된 구리층은 수소로 어닐링되어서 CuO 형성에 보다 우수한 저항성을 갖는 층을 형성한다.
통합식 플랫포옴은 IMP Ti 챔버, 두 개의 CVD TiN 챔버, 및 두 개의 예비세정 챔버를 제공함으로써 텅스텐 증착을 제공하는데 사용될 수 있다. 기판은 세정된 유전성 표면 상에 Ti/TiN 베리어층을 증착하기 위해 IMP Ti 챔버 및 CVD TiN 챔버 내에서 처리되고, 이후 냉각 챔버로 이송된다. 텅스텐 증착 이전에 서브미크론 미세구조물을 예비세정하는 것은 RPS 기초 예비세정 챔버 내에서 수행될 수 있다.
본 발명에 사용하기에 적절한 스테이지식 진공 웨이퍼 공정 방법은 텝만(Tepman) 등에게 1993년 2월 16일자로 허여된 발명의 명칭이 "스테이지식-진공 웨이퍼 공정 시스템 및 방법(Staged-Vacuum Wafer Processing System and Meyhod)"인 미국 특허 제 5,186,718호에 개시되어 있다. 이러한 방법은 본 발명의 예비세정 방법을 용이하게 적용시킨다. 공정 챔버의 조합은 예비세정 챔버로 사용될 수 있다.
이상은 본 발명의 바람직한 실시예에 대해 기술하였지만, 본 발명의 다른 실시예가 본 발명의 범위를 벗어나지 않으면서 개조될 수 있다.

Claims (20)

  1. 반도체 기판 상의 유전층 내에 형성된 미세구조물을 예비세정하는 방법으로서,
    a) 원격 플라즈마 소오스 내에 반응성 가스의 플라즈마를 발생시키는 단계, 및
    b) 상기 기판을 수용하는 공정 챔버로 상기 반응성 가스의 플라즈마로부터 발생된 라디칼을 이송하는 단계를 포함하는 방법.
  2. 제 1항에 있어서, 상기 반응성 가스는 산소, CF4/O2의 혼합물, 또는 He/NF3의 혼합물인 방법.
  3. 제 1항에 있어서, 상기 반응성 가스의 플라즈마는 베리어층을 증착하기 전에 베리어층 증착 챔버로 이송되는 방법.
  4. 제 1항에 있어서, 상기 원격 플라즈마 소오스는 극초단파 소오스인 방법.
  5. 제 1항에 있어서, 수소를 포함하는 플라즈마로부터 발생된 라디칼로 천연 산화물을 감소시키는 단계를 더 포함하는 방법.
  6. 제 5항에 있어서, 상기 천연 산화물은 수소, 또는 수소 및 N2, Ar, 또는 He의 혼합물로 구성된 플라즈마로부터 발생된 라디칼에 의해 감소되는 방법.
  7. 제 1항에 있어서, 상기 플라즈마로부터 발생된 라디칼은 구리를 증착하기 이전에 베리어층의 패시베이션을 위해 구리 증착 챔버로 이송되는 방법.
  8. 반도체 기판 상에 미세구조물을 형성하는 방법으로서,
    a) 전도체 또는 반도체 보조층을 포함하는 표면을 갖춘 반도체 기판 상에 유전층을 증착하는 단계와,
    b) 상기 보조층을 노출시키기 위해 상기 유전층 내의 미세구조물을 에칭하는 단계와,
    c) 산소, CF4/O2의 혼합물, 또는 He/NF3의 혼합물을 포함하는 반응성 가스의 플라즈마로부터 발생된 라디칼로 상기 미세구조물을 세정시키는 단계와, 그리고
    d) 전도체 또는 반도체 재료로 상기 미세구조물을 충진하는 단계를 포함하며,
    상기 플라즈마는 원격 플라즈마 소오스에 의해 발생되며, 상기 라디칼은 상기 기판을 포함하는 챔버로 이송되는 방법.
  9. 제 8항에 있어서, 상기 유전층은 이산화실리콘을 포함하는 방법.
  10. 제 8항에 있어서, 상기 반응성 가스는 CF4/O2의 혼합물인 방법.
  11. 제 8항에 있어서, 상기 미세구조물 내에 TiSix층이 형성되는 방법.
  12. 제 8항에 있어서, 상기 기판으로 약간의 바이어스 전력을 인가하거나 또는 바이어스 전력을 인가하지 않으면서 수소를 포함하는 플라즈마로부터 발생된 라디칼로 상기 미세구조물 내에 잔존하는 천연 산화물을 감소시키는 단계를 더 포함하는 방법.
  13. 제 8항에 있어서, 상기 미세구조물 상의 TiN 베리어층을 증착하는 단계와, 수소, 또는 수소와 N2, Ar, 또는 He으로 구성된 플라즈마로부터 발생된 라디칼로 상기 베리어층을 세정시키는 단계를 더 포함하는 방법.
  14. 반도체 기판 상의 유전층 내에 형성된 서브미크론 미세구조물을 예비세정 및 충진하는 방법으로서,
    a) 반응성 가스를 포함하는 플라즈마로부터 발생된 상기 서브미크론 미세구조물을 세정시키는 단계와,
    b) 수소를 포함하는 플라즈마로부터 발생된 라디칼로 상기 서브미크론 미세구조물 내에 잔존하는 천연 산화물을 감소시키는 단계를 포함하는 방법.
  15. 제 14항에 있어서, 상기 반응성 가스는 CF4/O2의 혼합물인 방법.
  16. 제 14항에 있어서, 상기 유전층의 노출된 표면 상에 베리어/라이너 층을 증착하는 단계를 더 포함하며, 상기 베리어/라이너 층은 티타늄, 질화티타늄, 탄탈륨, 및 질화탄탈륨으로 구성된 그룹으로부터 선택된 재료를 포함하는 방법.
  17. 제 16항에 있어서, 산소, CF4/O2의 혼합물, 또는 He/NF3를 포함하는 반응성 가스의 플라즈마로부터 발생된 라디칼로 상기 베리어/라이너 층을 세정시키는 단계를 더 포함하는 방법.
  18. 제 17항에 있어서, 상기 베리어/라이너 층 상에 알루미늄, 구리, 또는 텅스텐을 증착하는 단계를 더 포함하는 방법.
  19. 제 14항에 있어서, 상기 플라즈마는 원격 플라즈마 소오스 내에서 발생되며, 상기 베리어층을 증착하기 이전에 기판을 포함하고 있는 베리어층 증착 챔버로 상기 라디칼이 이송되는 방법.
  20. 제 14항에 있어서, 상기 플라즈마는 원격 플라즈마 소오스 내에서 발생되며, 구리를 증착하기 이전에 베리어층의 패시베이션을 위해 구리 증착 챔버로 이송되는 방법.
KR1020007007365A 1997-12-30 1998-11-02 서브-쿼터 미크론 설계 기술을 적용하기 위한 금속화 공정이전의 예비세정 단계 KR20010033812A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/000,746 US6107192A (en) 1997-12-30 1997-12-30 Reactive preclean prior to metallization for sub-quarter micron application
US09/000,746 1997-12-30
PCT/US1998/023353 WO1999034424A1 (en) 1997-12-30 1998-11-02 Precleaning step prior to metallization for sub-quarter micron application

Publications (1)

Publication Number Publication Date
KR20010033812A true KR20010033812A (ko) 2001-04-25

Family

ID=21692851

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007007365A KR20010033812A (ko) 1997-12-30 1998-11-02 서브-쿼터 미크론 설계 기술을 적용하기 위한 금속화 공정이전의 예비세정 단계

Country Status (6)

Country Link
US (3) US6107192A (ko)
EP (1) EP1042795A1 (ko)
JP (2) JP4681117B2 (ko)
KR (1) KR20010033812A (ko)
TW (1) TW411497B (ko)
WO (1) WO1999034424A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7169704B2 (en) 2002-06-21 2007-01-30 Samsung Electronics Co., Ltd. Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
KR100898195B1 (ko) * 2006-05-03 2009-05-18 어플라이드 머티어리얼스, 인코포레이티드 캐소드 라이너 및 이를 구비하는 처리 챔버
KR100978407B1 (ko) * 2006-03-06 2010-08-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR101276694B1 (ko) * 2003-02-14 2013-06-19 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US7244677B2 (en) * 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6547934B2 (en) * 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6303505B1 (en) * 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6277733B1 (en) * 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6599829B2 (en) * 1998-11-25 2003-07-29 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
KR100322545B1 (ko) * 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
SG90747A1 (en) * 1999-09-02 2002-08-20 Applied Materials Inc Method of pre-cleaning dielectric layers of substrates
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US7014887B1 (en) * 1999-09-02 2006-03-21 Applied Materials, Inc. Sequential sputter and reactive precleans of vias and contacts
US6313042B1 (en) * 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6303518B1 (en) * 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
AU1218401A (en) * 1999-10-20 2001-04-30 Cvd Systems, Inc. Fluid processing system
US6530380B1 (en) * 1999-11-19 2003-03-11 Chartered Semiconductor Manufacturing Ltd. Method for selective oxide etching in pre-metal deposition
US6727185B1 (en) * 1999-11-29 2004-04-27 Texas Instruments Incorporated Dry process for post oxide etch residue removal
KR100358045B1 (ko) * 1999-12-22 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
US6602793B1 (en) * 2000-02-03 2003-08-05 Newport Fab, Llc Pre-clean chamber
US6471913B1 (en) 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
US6780374B2 (en) 2000-12-08 2004-08-24 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
EP1138804A3 (de) 2000-03-27 2003-06-25 Infineon Technologies AG Bauelement mit zumindest zwei aneinander grenzenden Isolierschichten und Herstellungsverfahren dazu
JP2001298028A (ja) * 2000-04-17 2001-10-26 Tokyo Electron Ltd 半導体デバイス製造方法
CN1249786C (zh) * 2000-04-25 2006-04-05 东京电子株式会社 用于工件的等离子体清洗的方法和装置
KR100383759B1 (ko) * 2000-06-15 2003-05-14 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
KR100407680B1 (ko) * 2000-06-20 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
US6346488B1 (en) * 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100375985B1 (ko) * 2000-08-17 2003-03-15 삼성전자주식회사 반사부를 구비하는 박막 형성 장치
JP4112198B2 (ja) * 2000-09-11 2008-07-02 財団法人地球環境産業技術研究機構 クリーニングガス及びエッチングガス、並びにチャンバークリーニング方法及びエッチング方法
JP4910231B2 (ja) * 2000-10-25 2012-04-04 ソニー株式会社 半導体装置の製造方法
US6573181B1 (en) * 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6455414B1 (en) * 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
US20020106881A1 (en) * 2000-12-07 2002-08-08 Jain Manoj K. Prevention of contact failure by hydrogen treatment
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
US6498108B2 (en) * 2001-02-12 2002-12-24 Fairchild Semiconductor Corporation Method for removing surface contamination on semiconductor substrates
US6641747B1 (en) 2001-02-15 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for determining an etch endpoint
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US6787462B2 (en) * 2001-03-28 2004-09-07 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device having buried metal wiring
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US20040200576A1 (en) * 2001-04-23 2004-10-14 Tokyo Electron Limited Method and apparatus for plasma cleaning of workpieces
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6630406B2 (en) 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
KR100407998B1 (ko) 2001-10-09 2003-12-01 주식회사 하이닉스반도체 금속 배선의 콘택 영역 세정 방법
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6780086B2 (en) 2001-10-12 2004-08-24 Mosel Vitelic, Inc. Determining an endpoint in a polishing process
US6503824B1 (en) 2001-10-12 2003-01-07 Mosel Vitelic, Inc. Forming conductive layers on insulators by physical vapor deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6455814B1 (en) * 2001-11-07 2002-09-24 Applied Materials, Inc. Backside heating chamber for emissivity independent thermal processes
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030116845A1 (en) * 2001-12-21 2003-06-26 Bojkov Christo P. Waferlevel method for direct bumping on copper pads in integrated circuits
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US20030194877A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Integrated etch, rinse and dry, and anneal method and system
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US20040118697A1 (en) * 2002-10-01 2004-06-24 Applied Materials, Inc. Metal deposition process with pre-cleaning before electrochemical deposition
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6627527B1 (en) 2002-10-10 2003-09-30 Taiwan Semiconductor Manufacturing Company Method to reduce metal silicide void formation
US20040069651A1 (en) * 2002-10-15 2004-04-15 Applied Materials, Inc. Oxide treatment and pressure control for electrodeposition
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6992011B2 (en) * 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US6913994B2 (en) * 2003-04-09 2005-07-05 Agency For Science, Technology And Research Method to form Cu/OSG dual damascene structure for high performance and reliable interconnects
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US20040219795A1 (en) * 2003-05-01 2004-11-04 Taiwan Semiconductor Manufacturing Co. Method to improve breakdown voltage by H2 plasma treat
DE10320472A1 (de) * 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
JP4413556B2 (ja) * 2003-08-15 2010-02-10 東京エレクトロン株式会社 成膜方法、半導体装置の製造方法
US6790778B1 (en) * 2003-09-10 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for capping over a copper layer
WO2005055305A1 (ja) * 2003-12-04 2005-06-16 Tokyo Electron Limited 半導体基板導電層表面の清浄化方法
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
JP2005260060A (ja) * 2004-03-12 2005-09-22 Semiconductor Leading Edge Technologies Inc レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
JP2005268312A (ja) * 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
US20050258137A1 (en) * 2004-03-24 2005-11-24 Sawin Herbert H Remote chamber methods for removing surface deposits
DE102004015865B4 (de) * 2004-03-31 2006-05-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reinigen der Oberfläche eines Substrats
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
JP4503356B2 (ja) * 2004-06-02 2010-07-14 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
US7378028B2 (en) * 2004-06-03 2008-05-27 Seagate Technology Llc Method for fabricating patterned magnetic recording media
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US20060106635A1 (en) * 2004-11-18 2006-05-18 Karl Ulrich Emission remediation
US20060144819A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
KR100667561B1 (ko) * 2005-02-18 2007-01-11 주식회사 아이피에스 박막 증착 방법
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20070028944A1 (en) * 2005-08-02 2007-02-08 Sawin Herbert H Method of using NF3 for removing surface deposits
JP2009503271A (ja) * 2005-08-02 2009-01-29 マサチューセッツ インスティテュート オブ テクノロジー Cvd/pecvd−プラズマチャンバーの内部から表面沈着物を除去するためのフッ化硫黄を使用する遠隔チャンバー方法
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US20070099417A1 (en) * 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
US8399360B1 (en) * 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean
US7994047B1 (en) * 2005-11-22 2011-08-09 Spansion Llc Integrated circuit contact system
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7504643B2 (en) * 2005-12-22 2009-03-17 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, a cleaning arrangement and a lithographic apparatus comprising the cleaning arrangement
US7495239B2 (en) * 2005-12-22 2009-02-24 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, a cleaning arrangement and a lithographic apparatus comprising the cleaning arrangement
US20070158181A1 (en) * 2006-01-12 2007-07-12 Seagate Technology Llc Method & apparatus for cathode sputtering with uniform process gas distribution
US9228254B2 (en) * 2006-01-12 2016-01-05 Seagate Technology Llc Cathode sputtering gas distribution apparatus
US7780862B2 (en) * 2006-03-21 2010-08-24 Applied Materials, Inc. Device and method for etching flash memory gate stacks comprising high-k dielectric
US7759249B2 (en) * 2006-03-28 2010-07-20 Tokyo Electron Limited Method of removing residue from a substrate
US8722547B2 (en) * 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
WO2008008319A2 (en) * 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
KR100717374B1 (ko) 2006-07-11 2007-05-11 세메스 주식회사 자기장을 이용한 플라즈마 분사 장치
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
KR100794661B1 (ko) * 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
KR100819096B1 (ko) * 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
US7628866B2 (en) * 2006-11-23 2009-12-08 United Microelectronics Corp. Method of cleaning wafer after etching process
US7977244B2 (en) * 2006-12-18 2011-07-12 United Microelectronics Corp. Semiconductor manufacturing process
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20100151677A1 (en) * 2007-04-12 2010-06-17 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
US8435379B2 (en) 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US8025269B1 (en) 2007-10-16 2011-09-27 National Semiconductor Corporation Chamber lid lifting apparatus
EP2208221A4 (en) * 2007-11-01 2010-12-15 Eugene Technology Co Ltd DEVICE FOR WAFER SURFACE TREATMENT USING AN INDUCTIVE COUPLED HIGH-FREQUENCY PLASMA
JP5006938B2 (ja) * 2007-11-02 2012-08-22 キヤノンアネルバ株式会社 表面処理装置およびその基板処理方法
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP5596265B2 (ja) * 2007-12-27 2014-09-24 株式会社日立ハイテクノロジーズ 真空処理装置
US9502290B2 (en) * 2008-01-11 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation-free copper metallization process using in-situ baking
US7888661B2 (en) * 2008-02-13 2011-02-15 Axcelis Technologies Inc. Methods for in situ surface treatment in an ion implantation system
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8828852B2 (en) * 2009-12-10 2014-09-09 California Institute Of Technology Delta-doping at wafer level for high throughput, high yield fabrication of silicon imaging arrays
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US8420544B2 (en) 2010-06-03 2013-04-16 United Microelectronics Corp. Method for fabricating interconnection structure with dry-cleaning process
NL2007452A (en) * 2010-12-08 2012-06-11 Asml Holding Nv Electrostatic clamp, lithographic apparatus and method of manufacturing an electrostatic clamp.
US8771539B2 (en) * 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP2013201225A (ja) 2012-03-23 2013-10-03 Toshiba Corp 半導体装置の製造方法
WO2013152068A1 (en) * 2012-04-03 2013-10-10 Gvd Corporation Adhesion promotion of vapor deposited films
JP6579953B2 (ja) 2012-07-16 2019-09-25 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 純還元性プラズマ中で高アスペクト比のフォトレジストを除去する方法
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
KR102245729B1 (ko) * 2013-08-09 2021-04-28 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치
US20150118855A1 (en) * 2013-10-30 2015-04-30 Nisene Technology Group Microwave induced plasma decapsulation
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US9412619B2 (en) * 2014-08-12 2016-08-09 Applied Materials, Inc. Method of outgassing a mask material deposited over a workpiece in a process tool
US9312121B1 (en) * 2014-10-09 2016-04-12 United Microelectronics Corporation Method for cleaning contact hole and forming contact plug therein
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
CN109314059B (zh) * 2016-06-20 2023-06-23 东京毅力科创株式会社 被处理体的处理方法
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
JP2019192892A (ja) 2018-04-18 2019-10-31 東京エレクトロン株式会社 処理システムおよび処理方法
US10483091B1 (en) 2018-05-18 2019-11-19 International Business Machines Corporation Selective ion filtering in a multipurpose chamber
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
JP7461923B2 (ja) 2018-07-09 2024-04-04 ラム リサーチ コーポレーション 電子励起原子層エッチング
JP7203531B2 (ja) * 2018-08-08 2023-01-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
TWI698029B (zh) * 2018-11-28 2020-07-01 財團法人金屬工業研究發展中心 形成半導體結構之方法
CN112899615B (zh) * 2019-11-19 2023-02-21 长鑫存储技术有限公司 膜层的形成方法及半导体器件的制备方法
US11380536B2 (en) 2020-05-05 2022-07-05 Applied Materials, Inc. Multi-step pre-clean for selective metal gap fill
US20220108917A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Low resistance and high reliability metallization module

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5248636A (en) * 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
DE3855636T2 (de) * 1987-08-28 1997-03-27 Toshiba Kawasaki Kk Plasma-Entschichtungsverfahren für organische und anorganische Schichten
JPH0279446A (ja) * 1988-09-16 1990-03-20 Hitachi Ltd スルーホールへの金属穴埋め方法
US5043299B1 (en) * 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
JPH03185823A (ja) * 1989-12-15 1991-08-13 Sony Corp 半導体装置の製造方法
US5236868A (en) * 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
JP2814021B2 (ja) * 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
JPH04144135A (ja) * 1990-10-05 1992-05-18 Canon Inc 半導体装置の製造法および装置
DE4135697C2 (de) * 1990-10-26 1994-01-27 Matsushita Electric Works Ltd Verfahren zur Verbesserung von Holzoberflächeneigenschaften
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP3038953B2 (ja) * 1991-02-28 2000-05-08 ソニー株式会社 配線形成方法
FR2677668B1 (fr) * 1991-06-14 1993-10-15 France Telecom Procede de nettoyage de surfaces metalliques oxydees dans la fabrication de reseaux d'interconnexions et plaquettes pour de tels reseaux.
JPH08153783A (ja) * 1991-06-28 1996-06-11 Sony Corp 電気的接続部形成方法及び半導体装置の製造方法
DE69216747T2 (de) * 1991-10-07 1997-07-31 Sumitomo Metal Ind Verfahren zur Bildung eines dünnen Films
JPH05139881A (ja) * 1991-11-18 1993-06-08 Sumitomo Electric Ind Ltd 分子線エピタキシヤル成長法およびその装置
JP3449428B2 (ja) * 1992-06-08 2003-09-22 富士通株式会社 半導体装置の製造方法
JPH0669168A (ja) * 1992-08-18 1994-03-11 Fujitsu Ltd 半導体装置の製造方法
US5627105A (en) * 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP3529849B2 (ja) * 1994-05-23 2004-05-24 富士通株式会社 半導体装置の製造方法
JP3533583B2 (ja) * 1994-07-25 2004-05-31 富士通株式会社 水素プラズマダウンフロー装置の洗浄方法
JP3326974B2 (ja) * 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
JPH08213343A (ja) * 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
US6132564A (en) * 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
US5545592A (en) * 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
KR100208439B1 (ko) * 1995-05-04 1999-07-15 김영환 반도체 소자의 폴리실리콘층 형성방법
JP3517802B2 (ja) * 1995-09-01 2004-04-12 富士通株式会社 埋め込み導電層の形成方法
JPH09115875A (ja) * 1995-10-20 1997-05-02 Texas Instr Japan Ltd 半導体装置の製造方法及びこの方法に用いる処理液
JPH09205070A (ja) * 1996-01-25 1997-08-05 Sony Corp プラズマcvd方法、およびこれにより形成された金属膜を有する半導体装置
US5712207A (en) * 1996-02-29 1998-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Profile improvement of a metal interconnect structure on a tungsten plug
US5660682A (en) * 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US6015761A (en) * 1996-06-26 2000-01-18 Applied Materials, Inc. Microwave-activated etching of dielectric layers
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US5935874A (en) 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7169704B2 (en) 2002-06-21 2007-01-30 Samsung Electronics Co., Ltd. Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
US7452810B2 (en) 2002-06-21 2008-11-18 Samsung Electronics Co., Ltd. Method of forming a barrier layer of a semiconductor device
KR101276694B1 (ko) * 2003-02-14 2013-06-19 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
KR101352995B1 (ko) * 2003-02-14 2014-01-21 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
KR100978407B1 (ko) * 2006-03-06 2010-08-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR100898195B1 (ko) * 2006-05-03 2009-05-18 어플라이드 머티어리얼스, 인코포레이티드 캐소드 라이너 및 이를 구비하는 처리 챔버

Also Published As

Publication number Publication date
US6693030B1 (en) 2004-02-17
JP2009224808A (ja) 2009-10-01
US6107192A (en) 2000-08-22
EP1042795A1 (en) 2000-10-11
US6905965B2 (en) 2005-06-14
JP2002500276A (ja) 2002-01-08
US20040248404A1 (en) 2004-12-09
JP4681117B2 (ja) 2011-05-11
WO1999034424A1 (en) 1999-07-08
JP5385706B2 (ja) 2014-01-08
TW411497B (en) 2000-11-11

Similar Documents

Publication Publication Date Title
US6905965B2 (en) Reactive preclean prior to metallization for sub-quarter micron application
US7053002B2 (en) Plasma preclean with argon, helium, and hydrogen gases
US5399237A (en) Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US7435685B2 (en) Method of forming a low-K dual damascene interconnect structure
US6949450B2 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7115517B2 (en) Method of fabricating a dual damascene interconnect structure
US6380096B2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
US6379574B1 (en) Integrated post-etch treatment for a dielectric etch process
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
KR100842463B1 (ko) 기판의 유전체층을 사전 세정하기 위한 방법
US20040018715A1 (en) Method of cleaning a surface of a material layer
US7713864B2 (en) Method of cleaning semiconductor substrate conductive layer surface
US7014887B1 (en) Sequential sputter and reactive precleans of vias and contacts
JP2006156486A (ja) 基板処理方法および半導体装置の製造方法
US6693040B2 (en) Method for cleaning the contact area of a metal line
KR100670618B1 (ko) 비아 및 컨택트의 순차적인 스퍼터 및 반응적 예비세정

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid