TW201834008A - 用於高模數原子層沉積二氧化矽間隔件的方法 - Google Patents

用於高模數原子層沉積二氧化矽間隔件的方法 Download PDF

Info

Publication number
TW201834008A
TW201834008A TW106139087A TW106139087A TW201834008A TW 201834008 A TW201834008 A TW 201834008A TW 106139087 A TW106139087 A TW 106139087A TW 106139087 A TW106139087 A TW 106139087A TW 201834008 A TW201834008 A TW 201834008A
Authority
TW
Taiwan
Prior art keywords
substrate
tantalum oxide
spacer material
plasma
oxide spacer
Prior art date
Application number
TW106139087A
Other languages
English (en)
Inventor
克洛伊 巴爾達塞羅尼
珊卡 史旺明內森
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201834008A publication Critical patent/TW201834008A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供了藉由使用原子層沉積而形成高模數矽氧化物間隔件之方法及裝置。方法涉及了藉由使用高電漿能量在高溫下進行沉積,及使用紫外線輻射對所沉積之矽氧化物進行後處理。這樣的矽氧化物間隔件係適合做為多重圖案化應用方式中的遮罩以防止節距擺動。

Description

用於高模數原子層沉積二氧化矽間隔件的方法
本發明係關於處理基板的方法及設備。
先進積體電路的製造通常涉及在半導體的大量製造中之小特徵部的圖案化。多重圖案化技術可允許吾人進行基於微影技術(例如,193 nm浸潤式微影術)的特徵部尺寸縮放。自對準雙重圖案化係多重圖案化技術之範例。
本文中提供了用以處理基板的方法。一實施態樣涉及一種在基板上執行多重圖案化的方法,該方法包含:提供一基板,該基板具有圖案化的一芯材;使該基板暴露於一含矽前驅物及一氧化劑的交替暴露;及於該基板暴露於該氧化劑時點燃一電漿,以在圖案化的該芯材上形成具有至少55 GPa之彈性模數的一共形矽氧化物間隔件材料。在一些實施例中,該共形矽氧化物間隔件材料之彈性模數係在約55 GPa與約70 GPa之間。
該共形矽氧化物間隔件材料可在大於50℃且小於約80℃之基板溫度下沉積。在一些實施例中,該方法亦包含將該共形矽氧化物間隔件材料暴露於紫外線輻射以使該共形矽氧化物間隔件材料緻密化。在一些實施例中,該共形矽氧化物間隔件材料係在大於50℃且小於約80℃之基板溫度下沉積且該電漿係使用至少約1000焦耳之射頻電漿能量來點燃,且該方法亦包含將該共形矽氧化物間隔件材料暴露於紫外線輻射以使該共形矽氧化物間隔件材料緻密化。該共形矽氧化物間隔件材料可暴露於該紫外線輻射達在約5分鐘與約30分鐘之間的一持續時間。
該電漿可使用至少約1000焦耳之射頻電漿能量來點燃。在一些實施例中,該共形矽氧化物間隔件材料係在大於50℃且小於約80℃之基板溫度下沉積,且該電漿係使用至少約1000焦耳之射頻電漿能量來點燃。在一些實施例中,該方法亦包含將該共形矽氧化物間隔件材料暴露於紫外線輻射以使該共形矽氧化物間隔件材料緻密化。該共形矽氧化物間隔件材料可暴露於該紫外線輻射達在約5分鐘與約30分鐘之間的一持續時間。
在各種實施例中,該方法可更包含將該共形矽氧化物間隔件材料暴露於紫外線輻射以使該共形矽氧化物間隔件材料緻密化 。該共形矽氧化物間隔件材料可暴露於該紫外線輻射達在約5分鐘與約30分鐘之間的一持續時間。
在一些實施例中,該方法可更包含將該共形矽氧化物間隔件材料暴露於紫外線輻射以使該共形矽氧化物間隔件材料緻密化,且該電漿係使用至少約1000焦耳之射頻電漿能量來點燃。該共形矽氧化物間隔件材料可暴露於該紫外線輻射達在約5分鐘與約30分鐘之間的一持續時間。
在各種實施例中,圖案化的該芯材包含具有小於約45 nm之節距的特徵部。在各種實施例中,該共形矽氧化物間隔件材料係做為自對準雙重圖案化的一遮罩。 在一些實施例中,該氧化劑為氧、二氧化碳、水、一氧化二氮、及其組合其中任一者。在一些實施例中,該含矽前驅物為一胺基矽烷。該芯材可為旋塗碳、類鑽碳、及間隙填充可灰化硬遮罩其中任何一者。在一些實施例中,使該基板暴露於該氧化劑之步驟包含流動一惰性氣體,該惰性氣體係選自於由氬、氫、氮、及氦所組成之群組。
另一實施態樣涉及一種在基板上執行多重圖案化的方法,該方法包含: 提供一基板,該基板具有圖案化的一芯材;使該基板暴露於一含矽前驅物及一氧化劑的交替暴露;於該基板暴露於該氧化劑時點燃一電漿,以在圖案化的該芯材上形成具有至少55 GPa之彈性模數的一共形矽氧化物間隔件材;相對於該共形矽氧化物間隔件材料而選擇性地蝕刻圖案化的該芯材,以形成包含該共形矽氧化物間隔件材料的一遮罩;及藉由使用該遮罩而蝕刻該基板上的一目標層。在一些實施例中,該共形矽氧化物間隔件材料之彈性模數係在約55 GPa與約70 GPa之間。
該共形矽氧化物間隔件材料可在大於50℃且小於約80℃之基板溫度下沉積。在一些實施例中,該方法 更包含將該共形矽氧化物間隔件材料暴露於紫外線輻射以使該共形矽氧化物間隔件材料緻密化。在一些實施例中, 該共形矽氧化物間隔件材料係在大於50℃且小於約80℃之基板溫度下沉積且該電漿係使用至少約1000焦耳之射頻電漿能量來點燃,且該方法更包含將該共形矽氧化物間隔件材料暴露於紫外線輻射以使該共形矽氧化物材料緻密化。該沉積的共形矽氧化物材料可暴露於該紫外線輻射達在約5分鐘與約30分鐘之間的一持續時間。
該電漿可使用至少約1000焦耳之射頻電漿能量來點燃。在一些實施例中,該共形矽氧化物間隔件材料係在大於50℃且小於約80℃之基板溫度下沉積,且該電漿係使用至少約1000焦耳之射頻電漿能量來點燃。在一些實施例中,該方法亦包含將該共形矽氧化物間隔件材料暴露於紫外線輻射以使該共形矽氧化物材料緻密化。該沉積的共形矽氧化物材料可暴露於該紫外線輻射達在約5分鐘與約30分鐘之間的一持續時間。
在各種實施例中,該方法可更包含將該共形矽氧化物間隔件材料暴露於紫外線輻射以使該共形矽氧化物間隔件材料緻密化。沉積的該共形矽氧化物材料可暴露於紫外線輻射達在約5分鐘與約30分鐘之間的一持續時間。
在一些實施例中,該方法可更包含將該共形矽氧化物間隔件材料暴露於紫外線輻射以使該共形矽氧化物間隔件材料緻密化,且該電漿係使用至少約1000焦耳之射頻電漿能量來點燃。沉積的該共形矽氧化物材料可暴露於紫外線輻射達在約5分鐘與約30分鐘之間的一持續時間。
在各種實施例中,圖案化的該芯材包含具有小於約45 nm之節距的特徵部。在各種實施例中,該共形矽氧化物間隔件材料係做為自對準雙重圖案化的一遮罩。 在一些實施例中,該氧化劑為氧、二氧化碳、水、一氧化二氮、及其組合其中任一者。在一些實施例中,該含矽前驅物為胺基矽烷。該芯材可為旋塗碳、類鑽碳、及間隙填充可灰化硬遮罩其中任何一者。在一些實施例中,使該基板暴露於該氧化劑之步驟包含流動一惰性氣體,該惰性氣體係選自於由氬、氫、氮、及氦所組成之群組。
另一實施態樣涉及一種將基板圖案化的設備,該設備包含:一或更多處理腔室;進入該一或更多處理腔室的一或更多氣體入口、及相關聯的流量控制硬體;一低頻射頻(LFRF)產生器;一高頻射頻(HFRF)產生器;及一控制器,具有至少一處理器及一記憶體,其中該至少一處理器及該記憶體係彼此通信連接,該至少一處理器係至少與該流量控制硬體、該LFRF產生器、及該HFRF產生器在操作上連接,且該記憶體儲存用以控制該至少一處理器的電腦可執行指令,用以至少控制該流量控制硬體、該HFRF產生器、及該LFRF產生器以:提供一基板至該一或更多處理腔室,該基板具有圖案化的一芯材;經由該一或更多氣體入口而將一含矽前驅物、及一氧化劑之交替流動導入至該一或更多處理腔室中;及於導入該氧化劑時點燃一電漿,以在圖案化的該芯材上形成具有至少55 GPa之彈性模數的一共形矽氧化物間隔件材料。在一些實施例中,電腦可執行指令更包含指令用以相對於該間隔件材料而選擇性地蝕刻圖案化的該芯材,以形成包含該共形矽氧化物間隔件材料的一遮罩,且使用該遮罩而蝕刻該基板上的一目標層。在一些實施例中,該共形矽氧化物間隔件材料之彈性模數係在約55 GPa與約70 GPa之間。
在一些實施例中,該一或更多處理腔室包含用以固持該基板之加熱的一底座,且該等電腦可執行指令包含複數指令,用以將該底座設定至大於50℃且小於約80℃之溫度。可將該電漿設定為藉由使用至少約1000焦耳之射頻電漿能量來點燃電漿。
在一些實施例中,該設備更包含一紫外線輻射產生器,用以將該基板暴露於紫外線輻射以使該共形矽氧化物間隔件材料緻密化。在一些實施例中,該等電腦可執行指令更包含複數指令,用以將具有該共形矽氧化物間隔件材料在其上之該基板暴露於紫外線輻射達約在5分鐘與約30分鐘之間的一持續時間。
以下參照圖式進一步描述這些及其他實施態樣。
在以下描述中,提出許多的特定細節以提供對所提出的實施例之周密的了解。揭露的實施例可被實行而無須部分或全部的特定細節。在其他情況下,為了不對揭露的實施例造成不必要地混淆,眾所周知的程序運作則沒有被詳述。當配合特定的實施例描述揭露的實施例時,將會了解吾人並非意圖限制所揭露之實施例。
圖案化方法係用於許多半導體製造製程中。具體而言,多重圖案化已用以使微影技術延伸超出其光學極限。雙重圖案化係用以使微影技術延伸超出其光學極限的範例性技術,且現在在業界中係廣泛地用於小於約80 nm之節距。目前的雙重圖案化技術通常使用側壁間隔件以兩個遮罩步驟來圖案化溝槽。雙重圖案化之方法(尤其是線圖案化)在正型及負型雙重圖案化製程兩者中已涉及間隔件及遮罩之使用。間隔件係藉由電漿增強原子層沉積(PEALD)而沉積在圖案化的芯上,且可用以產生更小節距的圖案。隨著元件縮小且節距減少,間隔件傾斜、線彎曲、及圖案化間隔件崩塌發生,而其可因此導致元件失效。具體而言,在芯層係加以烘烤及移除時,觀察到因間隔件傾斜所造成的節距擺動(pitch walking)。圖1A至1C為經歷導致節距擺動之圖案化操作的基板之範例性示意圖。圖2A至2C分別為圖1A至1C中的對應基板之俯視圖 。
圖1A顯示了具有基板102之堆疊100,該基板102具有目標層104、遮罩層106、圖案化之間隔件125、間隙填充材料108、層110、及顯影之光阻112。在圖1B中,間隙填充材料108、層110、及光阻112係加以剝除,從而在遮罩層106、目標層104、及基板102上方留下圖案化的間隔件125。在圖1C中,圖案係轉移至遮罩層106,且圖案化之間隔件125係加以移除。相較於圖1B中的圖案化間隔件125,圖案化之遮罩層106中的柱部之間的間隔係不一致的(由於柱部之間的距離在整個基板上變化)。這在某種程度上是由於圖案化之間隔件125無法承受用以移除間隙填充材料的嚴苛蝕刻條件,且隨後當導入蝕刻劑來將圖案從間隔件125轉移至遮罩106時,蝕刻物種係以會導致在遮罩層上之蝕刻稍微偏離圖案化間隔件之位置的角度而被引導至基板。
圖2A顯示了在圖1A中所示的堆疊 100上方之俯視圖。圖2A顯示了層110之暴露區域及光阻112,其中X'代表圖1A中所描繪之橫剖面。在圖2B中,該俯視圖顯示了在遮罩層106上方的圖案化間隔件125,且Y'代表圖1B中所描繪之橫剖面。在圖 2C中,在圖案係轉移至遮罩層106之後,圖案化之遮罩106係位於目標層104上方。該俯視圖顯示了圖案化之遮罩106,該遮罩106係位於圖案化之間隔件125先前所在位置的稍微偏左處。
當前的方法不足以形成可承受多個後續處理步驟中的機械變形之間隔件,該等後續處理步驟係用以用以移除芯材及/或間隔件之間的間隙填充材料。雖然可針對間隔件使用替代性材料,但矽氧化物材料的原子層沉積製程提供了具有高產量的低成本選項(由於不昂貴的前驅物 ,且原子層沉積製程使用快速的循環時間)。此外,用以沉積矽氧化物的反應器係易於清潔的。然而,用以沉積矽氧化物的現存方法在小於45 nm之節距會導致節距擺動。
本文中提供了使用電漿增強原子層沉積(PEALD)形成具有高彈性模數之間隔件材料的方法及設備,以藉此形成可承受後續處理操作的更堅固間隔件結構。該等方法涉及了以更高的溫度沉積間隔件材料、在PEALD之轉化操作期間使用更高的電漿能量、藉由暴露於紫外線輻射而對沉積的間隔件材料進行後處理、及其組合。根據特定所揭露實施例而加以沉積及/或處理時,間隔件材料具有至少約55 GPa、或在約55 GPa與約70 GPa之間的彈性模數。可藉由使用奈米壓痕測試、並測量奈米壓痕儀進入膜中之位移隨負載之變化而對彈性模數進行測量。在各種實施例中,本文中所述之模數係以30 nm深度之材料壓痕加以測量。在本文中,矽氧化物係做為根據特定所揭露實施例而沉積的間隔件材料之範例。
圖3為一處理流程圖,其描繪了根據特定所揭露實施例而執行之操作。在操作301中,提供具有圖案化之芯材、及目標層的基板。該圖案化之芯材可為光阻,或可由非晶碳材料或非晶矽材料所製成。在一些實施例中,芯材為旋塗碳、類鑽碳、或間隙填充可灰化硬遮罩。在一些實施例中,芯材可為透明的。芯材係藉由一沉積技術(例如,電漿增強化學汽相沉積(PECVD))而沉積,且該沉積技術可涉及在容納該基板之沉積腔室中從包含烴前驅物的沉積氣體產生電漿。該烴前驅物可由化學式Ca Hb 加以定義,其中a係在2與10之間的整數,而b係在2與24之間的整數。範例包含了甲烷(CH4 )、乙炔(C2 H2 )、乙烯(C2 H4 )、丙烯(C3 H6 )、丁烷(C4 H10 )、環己烷(C6 H12 )、苯(C6 H6 )、及甲苯(C7 H8 )。可使用包含高頻(HF)功率及低頻(LF)功率的雙射頻(RF)電漿來源。芯材係於進行圖案化之前沉積在目標層上。目標層可為最終欲圖案化的層。舉例而言,目標層可為半導體、介電質、或其他層,且其可由矽(Si)、矽氧化物(SiO2 )、矽氮化物(SiN)、或鈦氮化物(TiN)所製成。目標層可藉由原子層沉積(ALD)、電漿增強ALD (PEALD)、化學汽相沉積(CVD)、或其他合適之沉積技術而沉積。
在操作303中,將基板暴露於間隔件材料前驅物以使前驅物吸附至基板表面上。操作303-309可構成一ALD循環。ALD為藉由使用依序之自限性反應來沉積材料的薄層之技術。ALD製程使用表面介導的沉積反應以循環地逐層沉積膜。作為範例,ALD循環可包含以下操作:(i) 前驅物的輸送/吸附,(ii) 從腔室吹淨前驅物,(iii )第二反應物之輸送及可選性的電漿點燃,及(iv) 從腔室吹淨副產物。用以在基板表面上形成膜之在第二反應物與吸附的前驅物之間的反應影響了膜之成份及特性,例如非均勻性、應力、濕蝕刻率、乾蝕刻率、電特性(例如崩潰電壓及漏電流)等。
在ALD製程的一範例中,包含一群表面活性部位的基板表面係曝露於提供至容納基板之腔室的一給劑中的第一前驅物(例如,含矽前驅物)之氣相分佈。此第一前驅物的分子被吸附至基板表面之上,其中包含了第一前驅物的化學吸附物種、及/或物理吸附分子。吾人應理解,當一化合物係如本文中所述般吸附至基板表面之上時,所吸附的層可包含該化合物及該化合物之衍生物。舉例而言,含矽前驅物之吸附層可包括含該矽前驅物、及該含矽前驅物之衍生物。在第一前驅物給劑之後,接著將腔室抽空以移除大部分或所有以氣相殘留之第一前驅物,俾使主要是或僅留下吸附之物種。在一些實行例中,可能未將腔室完全抽空。舉例而言,反應器可加以抽空,使得氣相之第一前驅物的分壓係足夠低以使反應緩和。將第二反應物(例如,含氧氣體)導入至腔室,使得這些分子的其中一些與吸附在表面上的第一前驅物反應。在一些製程中,第二反應物立即與吸附的第一前驅物反應。在其他實施例中,第二反應物僅在暫時性施加一活化來源(例如,電漿)之後進行反應。可接著再次將腔室抽空以移除未受束縛的第二反應物分子。如上所述,在一些實施例中,可能未將腔室完全抽空。可使用額外的ALD循環以積累膜厚度。
在一些實施例中,ALD方法包含了電漿活化。如本文中所述,本文中所述之ALD方法及設備可為共形膜沉積(CFD,conformal film deposition)方法及設備,該等方法及設備係大致描述於 2011年4月11日提申且發明名稱為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」的美國專利申請案第13/084,399號(現為美國專利第8,728,956號)中,上述專利申請案之內容係藉由參照而完整納入本文中。
操作303-311係以較高的基板溫度、及/或使用較高的電漿能量來執行。本文中所述之基板溫度及沉積溫度應被理解為於沉積期間內所設定之固持基板的底座的溫度。在各種實施例中,沉積溫度係大於約50℃,但不大於約150℃;或大於約50℃,但不大於約80℃。舉例而言,在一些實施例中,沉積溫度係在約50℃與約 80℃之間,或為約80℃。沉積溫度亦可取決於基板上的其他材料。舉例而言,若基板包含光阻,則該溫度可在約50℃與約80℃之間 。若基板包含硬遮罩,則沉積溫度可高達約150℃。對沉積溫度加以調製,以藉由減少間隔件材料中的雜質及移除懸鍵(dangling bond)而容許在熱力學上更有利的反應來形成間隔件材料。以下參照操作307進一步詳述使用高電漿能量之沉積。
在操作303期間, 間隔件材料前驅物可吸附到至少約80%的表面活性部位上。在一些實施例中,間隔件材料前驅物可吸附至基板上以使基板之表面完全飽和,使得間隔件材料前驅物係吸附至芯材的暴露表面、及目標層的暴露區域上。將基板暴露於間隔件材料前驅物的持續時間可為在約0.1秒與約2秒之間。
在許多實施例中,操作302中所使用的間隔件材料前驅物為含矽 前驅物。根據所揭露之實施例,適用的含矽前驅物包含了聚矽烷(H3 Si‑(SiH2 )n ‑SiH3 ),其中n 0。矽烷的範例為矽烷(SiH4 )、二矽烷(Si2 H6 )、及有機矽烷(例如,甲矽烷、乙矽烷、異丙基矽烷、叔丁基矽烷、二甲基矽烷、二乙基矽烷、二叔丁基矽烷、烯丙基矽烷、二級丁基矽烷、叔己基矽烷、異戊基矽烷、叔丁基二矽烷、二叔丁基二矽烷等)。
鹵矽烷包含至少一鹵基團,且可包含或可不包含氫及/或碳基團。鹵矽烷之範例為碘矽烷、溴矽烷、氯矽烷、及氟矽烷。雖然鹵矽烷(尤其是氟矽烷)於觸發電漿時可形成可蝕刻矽材料的反應性鹵化物物種,但在一些實施例中,當觸發電漿時,鹵矽烷可能不會被引至腔室,所以可減輕來自鹵矽烷之反應性鹵化物物種之形成。具體的氯矽烷係四氯矽烷、三氯矽烷、二氯矽烷、一氯矽烷、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、叔丁基氯矽烷、二叔丁基氯矽烷、氯異丙基矽烷、氯二級丁基矽烷、叔丁基二甲基氯矽烷、叔己基二甲基氯矽烷等。
胺基矽烷包含了鍵結至矽原子的至少一氮原子,但亦可包含氫、氧、鹵素、及碳。胺基矽烷之範例為一、二、三、及四-胺基矽烷(分別是H3 Si(NH2 )、H2 Si(NH2 )2 、HSi(NH2 )3 、及Si(NH2 )4 ),及取代的一、二、三、及四-胺基矽烷,例如:叔丁基胺基矽烷、甲基胺基矽烷、叔丁基矽烷胺、雙(叔丁基胺基)矽烷(SiH2 (NHC(CH3 )3 )2 (BTBAS))、矽基胺甲酸叔丁酯(tert-butyl silylcarbamate)、SiH(CH3 )-(N(CH3 )2 )2 、SiHCl‑(N(CH3 )2 )2 、(Si(CH3 )2 NH)3 等。胺基矽烷之進一步範例為三矽基胺((N(SiH3 )3 )。
在操作305中,可對容納基板之處理腔室進行吹淨以移除未吸附至基板表面上的前驅物。將腔室吹淨可涉及使吹淨氣體或吹掃氣體流動,該吹淨氣體或吹掃氣體可為其他操作中所使用的載體氣體,或可為不同的氣體。範例性吹淨氣體包含氬、氮、氫、及氦。在各種的實施例中,吹淨氣體為惰性氣體。範例性惰性氣體包含氬、氮、及氦。在一些實施例中,吹淨可涉及將腔室排空。在一些實施例中,操作305可包含用以將處理腔室排空的一或更多的排空之子階段。或者,吾人會理解,在一些實施例中可將操作305省略。操作305可具有任何合適的持續時間,例如在約0.1 秒與約2秒之間。
在操作307中,使基板暴露於氧化劑,並在將吸附的前驅物轉化為高模數共形間隔件材料之條件下點燃電漿。舉例而言,在各種實施例中,一共形的矽氧化物間隔件材料係形成於在基板上。當矽氧化物間隔件係加以沉積時,吸附在基板表面上的含矽前驅物與氧化電漿反應以形成矽氧化物。範例性氧化劑包含氧氣、水、二氧化碳、一氧化二氮、及其組合。在各種的實施例中,於電漿點燃時,基板係同時暴露於氧化劑及惰性氣體。舉例而言,在一實施例中,於電漿點燃時將氧及氬之混合物導入至基板。
提供電漿能量以使第二反應物(例如,含氧氣體或氧化劑)活化成與第一前驅物之吸附層相反應的離子與自由基、及其他活化物種。舉例而言,電漿可直接或間接地將含氧的氣相分子活化以形成氧自由基或離子。
高電漿能量係使用於各種實施例中。注意,在一些實施例中,高電漿能量可與高沉積溫度結合使用。在一些實施例中,可使用高沉積溫度,且同時使用常規電漿能量(例如,較低功率能量)。在一些實施例中,使用高電漿能量而沒有使用高沉積溫度(舉例而言,在將高電漿能量用於含矽前驅物至矽氧化物間隔件材料之轉化中的同時,沉積溫度可為約50℃)。然而,吾人應理解,高電漿能量及高沉積溫度之組合具有協同作用,以形成非常高模數之間隔件材料。
電漿能量係藉由射頻電漿開啟的持續時間(RF時間)、及射頻電漿功率(RF功率)而判定。根據特定所揭露實施例,適用於沉積高模數間隔件材料的高電漿能量係取決下方的材料(間隔件材料係沉積於其上)。在一些範例中,電漿能量可為至少約1000 J。
高電漿能量係與形成高密度的膜相關聯,且在緻密化及提高間隔件材料之模數上可具有相較於提高溫度更大的影響。相較於可能導致間隔件材料中形成空隙的低電漿能量沉積處理,使用高電漿能量(例如,以至少約1000 J之電漿能量)沉積的間隔件材料具有至少60 GPa、或至少65 GPa的模數(其係以在膜中的30 nm之位移進行測量)。
在操作309中,對處理腔室進行吹淨,以移除氧化劑與間隔件材料前驅物之間的反應所產生的任何副產物,並移除未與基板表面上的間隔件材料前驅物反應的過量之氧化劑。操作309之處理條件可為上面參照操作305所述的那些處理條件其中任何一者。在一些實施例中,使用一惰性氣體對腔室進行吹淨,該惰性氣體係以在約5 slm與約30 slm之間的流量流動。
在操作309之後,判定間隔件材料是否沉積至足夠的厚度。若沒有,則操作303-309可以可選性地重複二或更多次。若間隔件材料沉積厚度為足夠的,則可以可選性地執行操作311。
在操作311中,可選性地對基板進行後處理以進一步提高間隔件 材料的模數 。在各種實施例中,藉由將基板暴露於紫外(UV)輻射而對基板進行後處理。紫外線輻射係用以將間隔件材料上的懸鍵封端(heal)及觸發間隔件材料中的原子之間的鍵結。舉例而言,對於矽氧化物材料而言,UV輻射係用以觸發矽與氧原子之間的鍵結。吾人應理解,儘管可調製UV輻射以改良模數,然而UV輻射在提高間隔件材料之模數上的影響係小於在沉積期間提高電漿能量的影響。在一些實施例中,UV輻射暴露係在具有在約0托與約100托之間的壓力的腔室壓力(例如,約15托)下以在約25℃與約480℃之間的溫度(例如,約400℃)執行達在約5分鐘與約30分鐘之間的持續持間(例如,約10分鐘)。UV輻射可藉由200 nm至400 nm的He/Hg放射產生,其具有大約200至250 nm的寬波段、及250 nm至360 nm的多個尖銳放射波峰。
吾人應理解,雖然增加間隔件材料中之鍵結的數量可提高膜的模數,然而提高溫度及/或增加電漿能量是否會導致有效的處理則為無法預料的。舉例而言,由於過高的溫度、過量的電漿能量、或UV處理皆可能誘發鍵結斷裂並使膜的機械特性劣化,因此無法預料對溫度及/或電漿能量進行調製是否會導致在模數上的強烈反應。
參照圖3,在操作313中,對基板進行回蝕並選擇性地蝕刻芯材以形成間隔件材料做為遮罩。由於使用操作303-309沉積並在操作311中加以後處理的間隔件材料具有高模數,所以在操作313中執行的蝕刻操作 不會導致節距擺動,且間隔件材料作為高品質的遮罩能夠承受該蝕刻處理。
在操作315中,使用間隔件材料作為遮罩而蝕刻目標層。如上面所述,由於間隔件材料具有高模數,因此幾乎沒有或沒有節距擺動。所屬技術領域中具有通常知識者會理解,雖然本文中所述之範例係涉及使用高模數間隔件作為遮罩而蝕刻目標層,然而在一些實例中,該目標層可為藉由使用高模數間隔件而加以圖案化的一遮罩層,俾使該圖案化之遮罩層可用以蝕刻另一底層。
在一範例中,可藉由在高達80℃之高溫下重複一或更多沉積循環以沉積間隔件,其中一沉積循環包含:將腔室中的基板暴露於胺基矽烷以使胺基矽烷吸附至基板表面,將腔室吹淨,使基板暴露於氧化劑並藉由使用約1000 J的高電漿能量點燃電漿,及將腔室吹淨,及使沉積的間隔件暴露於UV輻射以提高間隔件的密度及提高模數。針對矽氧化物間隔件材料而言,藉由使用特定所揭露實施例而達成的彈性模數可大於約55 GPa、或大於約65 GPa(例如,約70 GPa)。 設備
圖4描繪了原子層沉積(ALD)處理站400之實施例的示意圖,該處理站400具有用以維持低壓環境的處理腔室402。共同的低壓處理工具環境中可包含複數ALD處理站。舉例而言,圖5描繪了多站式處理工具500之實施例。在一些實施例中,可藉由一或更多電腦控制器450而程式化地調整ALD處理站400的一或更多硬體參數(其中包含以下所詳述之參數)。
ALD處理站400與反應物輸送系統401a流體連通,該反應物輸送系統401a係用以將處理氣體輸送至配送噴淋頭406。反應物輸送系統401a包含混合容器404,該混合容器404係用於混合及/或調整處理氣體(例如,含矽氣體、或含氧氣體)以輸送至噴淋頭406。一或更多混合容器入口閥420可控制處理氣體至混合容器404之導入。在各種實施例中,高模數間隔件材料之沉積係在處理站400中執行,且在一些實施例中,圖案化操作可在多站式處理工具500的相同站或另一站中執行(如以下參照圖5進一步描述)。
如一範例,圖4之實施例包含一汽化點403,用以將欲供應至混合容器404的液體反應物汽化。在一些實施例中,汽化點403可為加熱的汽化器。由這樣的汽化器產生之飽和反應物蒸汽可能在下游輸送管路內凝結。不相容之氣體曝露於凝結的反應物可能產生小微粒。這些小微粒可能堵塞管路、妨礙閥操作、污染基板等。解決這些問題的一些手段涉及了吹淨及/或抽空輸送管路以移除殘留的反應物。然而,對輸送管路進行吹淨可能增加處理站的循環時間、降低處理站的產量。因此,在一些實施例中,在汽化點403下游的輸送管路可為伴熱的(heat traced)。在一些範例中,混合容器404亦可為伴熱的。在一非限制性的範例中,在汽化點403下游的管路具有從大約100℃延伸至在混合容器404處大約150℃的漸增溫度分布。
在一些實施例中,液體前驅物或液體反應物可在液體注射器(未顯示)加以汽化。舉例而言,液體注射器可將液體反應物的脈衝注入至在混合容器404上游的一載體氣體流內。在一實施例中,液體注射器可藉由使液體從高壓通至低壓加以驟沸而將反應物汽化。在另一實施例中,液體注射器可將液體霧化成分散的微滴,其隨後在加熱的輸送管內加以汽化。較小的液滴可比較大的液滴更快汽化,從而縮短了液體注入和完全汽化之間的延遲。較快的汽化可降低自汽化點403往下游之管路的長度。在一情況下,液體注射器可直接裝設至混合容器404。在另一情況下,液體注射器可直接裝設至噴淋頭406。
在一些實施例中,可設置在汽化點403上游的液體流量控制器(LFC),以控制用以汽化及輸送至處理腔室402之液體的質量流量。舉例而言,LFC可包含位在LFC下游的熱質量流量計(MFM)。LFC的柱塞閥可接著回應反饋控制訊號而進行調整,該反饋控制訊號係由與MFM電連通的一比例-積分-微分(PID,proportional-integral-derivative)控制器加以提供。然而,使用反饋控制來穩定液體流量可能需要一秒或更長的時間。這可能使將液體反應物進行給劑的時間延長。因此,在一些實施例中,LFC可在反饋控制模式與直接控制模式之間動態切換。在一些實施例中,這可藉由停用PID控制器及LFC的感應管而執行。
噴淋頭406將處理氣體配送向基板412。在圖4中所顯示之實施例中,基板412係位於噴淋頭406下方,且係顯示為安置在底座408上。噴淋頭406可具有任何適合的形狀,且可具有任何適合數量及配置的埠,該等埠係用以將處理氣體配送至基板412。
一些實施例中,底座408可加以升高或降低,以將基板412曝露於基板412與噴淋頭406之間的容積。在一些實施例中,可透過加熱器410對底座408進行溫度控制。在用以執行各種所揭露實施例之操作期間內,可將底座408設置至任何合適的溫度(例如,在約25℃與約650℃之間)。為了沉積高模數的間隔件,可將底座408設定至大於50℃且小於大約80℃的溫度。吾人應理解,在一些實施例中,可藉由合適的電腦控制器450而程式化地調整底座高度。
在另一情況下,調整底座408的高度可容許電漿密度在特定所揭露實施例中所執行的電漿活化循環期間進行改變。在製程階段結束時,底座408在另一基板傳送階段期間降低,以容許自底座408移除基板412。
在一些實施例中,噴淋頭406的位置可相對於底座408而調整,以改變在基板412與噴淋頭406之間的容積。此外,吾人應理解,底座408及/或噴淋頭406之垂直位置可藉由在本揭露內容範圍內之任何適合的機構加以變化。在一些實施例中,底座408可包含用以旋轉基板412之方向的旋轉軸。吾人應理解,一些實施例中,這些範例性調整其中一或更多者可藉由一或更多適合的電腦控制器450而程式化地加以執行。電腦控制器450可包含以下參照圖5之控制器550所述之任何特徵。
在電漿可如上所述般使用的一些實施例中,噴淋頭406及底座408係與用以對電漿供電的射頻(RF)電源供應器414及匹配網路416電連通。在一些實施例中,可藉由控制處理站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序其中一或更多者而控制電漿能量。舉例而言,RF電源供應器414及匹配網路416可以任何適合的功率進行操作,以形成具有期望之自由基物種成分的電漿。根據特定所揭露實施例,可將產生用以沉積高模數間隔件的電漿能量控制為至少約1000 J。同樣地,RF電源供應器414可提供任何適合頻率的RF功率。在一些實施例中,RF電源供應器414可配置成彼此獨立地控制高頻及低頻RF功率源。範例性低頻RF頻率可包含(但不限於)在0 kHz與500 kHz之間的頻率。範例性高頻RF頻率可包含(但不限於)在1.8 MHz與2.45 GHz之間、或大於約13.56 MHz、或大於27 MHz、或大於40 MHz、或大於60 MHz的頻率。吾人應理解,可連續地或不連續地調整任何適合的參數,以提供用於表面反應的電漿能量。
在一些實施例中,可藉由一或更多電漿監控器而對電漿進行原位監控。在一情況下,可藉由一或更多電壓、電流感測器(例如,VI探針)來監控電漿功率。在另一情況下,可藉由一或更多光學放射光譜感測器(OES)來測量電漿密度、及/或處理氣體濃度。在一些實施例中,可基於來自這樣的原位電漿監控器之量測結果而程式化地調整一或更多電漿參數。舉例而言,可在反饋迴路中使用OES感測器以提供電漿功率之程式化控制。吾人應理解,在一些實施例中,可使用其他監控器來監控電漿及其他製程特性。這樣的監控器可包含(但不限於)紅外線(IR)監控器、音訊監控器、及壓力換能器。
在一些實施例中,控制器450的指令可透過輸入/輸出控制(IOC)序列指令加以提供。在一範例中,用以設定製程階段之條件的指令可被包含於製程配方之相對應的配方階段中。在某些實例中,製程配方階段可依序地加以排列,使得製程階段的所有指令係與該製程階段同時執行。在一些實施例中,用以設定一或更多反應器參數的指令可被包含於一配方階段中。舉例而言,第一配方階段可包含用以設定惰性氣體及/或反應物氣體(例如,像含矽前驅物這樣的第一前驅物)之流率的指令、用以設定載體氣體(例如,氬)之流率的指令、及該第一配方階段的時間延遲指令。第二、後續的配方階段可包含用以調製或停止惰性氣體及/或反應物氣體之流率的指令、用以調製載體氣體或吹淨氣體之流率的指令、及該第二配方階段的時間延遲指令。第三配方階段可包含用以調製第二反應物氣體(例如,氧)之流率的指令、用以調製載體氣體或吹淨氣體之流率的指令、用以點燃具有高電漿能量之電漿的指令、及該第三配方階段的時間延遲指令。第四、後續的配方階段可包含用以調製或停止惰性氣體及/或反應物氣體之流率的指令、及用以調製載體氣體或吹淨氣體之流率的指令、及該第四配方階段的時間延遲指令。吾人應理解,在本揭露內容之範圍內,這些配方階段可以任何合適的方式進一步加以細分及/或重複。
此外,在一些實施例中,處理站400之壓力控制可藉由蝶形閥418加以提供。如圖4之實施例所示,蝶形閥418對下游真空泵浦(未顯示)所提供的真空進行調節。然而,在一些實施例中,處理站400之壓力控制亦可藉由改變被導入處理站400的一或更多氣體之流率而進行調整。
如上面所述,多站式處理工具中可包含一或更多的處理站。圖5顯示一多站式處理工具500之實施例的示意圖,該多站式處理工具具有入站裝載鎖定部502及出站裝載鎖定部504,該入站裝載鎖定部及出站裝載鎖定部其中任一者或兩者皆可包含遠距電漿來源(未顯示)。處於大氣壓力的機器人506係用以將晶圓從卡匣(透過晶圓盒(pod) 508裝載)經由大氣壓埠510移動至入站裝載鎖定部502中。藉由機器人506將晶圓(未顯示)放置在入站裝載鎖定部502中的底座512上,關閉大氣壓埠510,並將入站裝載鎖定部502抽空。若入站裝載鎖定部502包含一遠距電漿來源,則晶圓可在被導入處理腔室514之前於入站裝載鎖定部502中暴露於遠距電漿處理。此外,晶圓亦可在入站裝載鎖定部502中被加熱(舉例而言,用以移除濕氣及吸附的氣體)。接著,開啟通往處理腔室514的腔室傳輸埠516,且另一機器人(未顯示)將晶圓放置至反應器中,在該反應器中顯示的第一站之底座上進行處理。雖然圖5中描繪的實施例包含裝載鎖定部,但吾人應理解,在一些實施例中,晶圓可直接進入處理站中。
描繪的處理腔室514包含四個處理站,在圖5所顯示的實施例中編號為1至4。每一站具有加熱的底座(對站1而言顯示於518)、及氣體管線入口。吾人應理解,在一些實施例中,每一處理站可具有不同或多重的目的。例如,在一些實施例中,一處理站可在ALD模式及電漿增強ALD處理模式之間切換。在一些實施例中,暴露於沉積前驅物及暴露於第二反應物與電漿係在相同的站之中加以執行。額外或替代性地,在一些實施例中,處理腔室514可包含一或更多對相匹配的ALD及電漿增強ALD處理站。雖然描繪的處理腔室514包含四站,但吾人應了解根據本揭露內容之處理腔室可具有任何合適數量之站。舉例而言,在一些實施例中,處理腔室可具有五或更多的站,然而在其他實施例中,處理腔室可具有三或更少的站。
圖5描繪了用以在處理腔室514內傳遞晶圓的晶圓搬運系統590之實施例。在一些實施例中,晶圓搬運系統590可在各種處理站之間、及/或在處理站與裝載鎖定部之間傳遞晶圓。吾人應理解,可使用任何合適的晶圓搬運系統。非限制性的範例包含晶圓旋轉料架及晶圓搬運機器人。圖5亦描繪一系統控制器550之實施例,該系統控制器550係用以控制處理工具500的處理條件及硬體狀態。系統控制器550可包含一或更多記憶體元件556、一或更多大量儲存裝置554、及一或更多處理器552。處理器552可包含CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制板等。
在一些實施例中,系統控制器550控制處理工具500的全部活動。系統控制器550執行系統控制軟體558,其中該系統控制軟體558係被儲存於大量儲存裝置554中、載入記憶體元件556中、並在處理器552上執行。或者,控制邏輯可硬編碼在系統控制器550中。針對這樣的目的,可使用特殊應用積體電路、可程式化邏輯裝置(例如,場可程式化閘陣列,亦即FPGA)等。在下面的描述中,無論何處使用「軟體」或「程式碼」,可使用功能性相當的硬編碼邏輯來取代。系統控制軟體558可包含複數指令,用以控制時序、氣體之混合、氣體流率、腔室及/或站壓力、腔室及/或站溫度、電漿暴露持續持間、UV輻射持續持間、晶圓溫度、目標功率位準、RF功率位準、基板底座、卡盤、及/或基座位置、及由處理工具500執行的特定處理之其他參數。系統控制軟體558可以任何合適的方式加以配置。舉例而言,可撰寫各種處理工具元件子程式或控制物件,以對用以實行各種處理工具製程的處理工具元件之操作進行控制。可以任何合適的電腦可讀程式語言對系統控制軟體558進行編碼。
在一些實施例中,系統控制軟體558可包含輸入/輸出控制(IOC)序列指令,用以控制上述的各種參數。在一些實施例中,可使用儲存在與系統控制器550相關聯之大量儲存裝置554及/或記憶體元件556上的其他電腦軟體及/或程式。用於此目的之程式或程式片段之範例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於處理工具元件之程式碼,該等處理工具元件係用以將基板裝載至底座518、及控制基板與處理工具500的其他零件之間的間隔。
處理氣體控制程式可包含程式碼,用以控制氣體成分(例如,如本文中所述之吹淨氣體、用以執行後給劑處理的氣體、含矽氣體、及含氧氣體)與流率、及可選性地用以在沉積前使氣體流入一或更多處理站中以穩定處理站內的壓力。壓力控制程式可包含程式碼,用以藉由調節例如處理站之排氣系統中的節流閥、進入處理站中的氣體流量等而控制處理站中的壓力。
加熱器控制程式可包含程式碼,用以控制至用以加熱基板之加熱單元的電流。或者,加熱器控制程式可控制熱傳氣體(例如氦)至基板之輸送。
電漿控制程式可包含程式碼,用以根據本文中之實施例而設定施加至一或更多處理站中之處理電極的RF功率位準。
壓力控制程式可包含程式碼,用以根據本文中之實施例而維持反應腔室內的壓力。
在一些實施例中,可能有與系統控制器550相關聯的使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件的圖形軟體顯示器、及使用者輸入裝置(例如,指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器550調整的參數可與製程條件有關。非限制性之範例包含了處理氣體成分及流率、溫度、壓力、電漿條件(例如,RF偏壓功率位準)等。這些參數可以配方的形式提供給使用者,而該配方可利用使用者介面而輸入。
用以監控製程的訊號可由系統控制器550的類比及/或數位輸入連接件而從各種處理工具感測器加以提供。用以控制製程的訊號可在處理工具500的類比及數位輸出連接件上加以輸出。可加以監控之處理工具感測器之非限制性範例包含質量流量控制器、壓力感測器(例如壓力計)、熱電偶等。適當程式化的反饋及控制演算法可與來自這些感測器的數據一起使用以維持製程條件。
系統控制器550可提供用以執行上述沉積製程的程式指令。該等程式指令可控制各種製程參數,例如:DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制參數以根據此處描述的各種實施例操作膜堆疊的原位沉積。
系統控制器550一般包含一或更多記憶體元件及一或更多處理器,配置以執行指令使得該設備執行根據所揭示的實施例之方法。包含用以根據所揭示的實施例控制製程操作之指令的機器可讀媒體可連接至系統控制器550。
在一些實施方式中,系統控制器550係一系統的一部分,其可為上述範例的一部分。這樣的系統可包含半導體處理設備,其包含一或更多處理工具、一或更多腔室、用於處理的一或更多平臺、及/或特定處理元件(晶圓底座、氣流系統等)。這些系統可與電子設備整合,用以在半導體晶圓或基板的處理之前、期間、及之後控制這些系統的操作。可將該等電子設備稱為「控制器」,其可控制該一或更多系統的各種元件或子部分。依據系統的處理條件及/或類型,系統控制器550可加以程式化以控制本文中所揭露的任何製程,包含:處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、出入一工具和其他傳遞工具及/或與特定系統連接或介接之裝載鎖定部的晶圓傳遞。
廣義而言,系統控制器550可定義為具有各樣用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能的積體電路、邏輯、記憶體、及/或軟體之電子設備。積體電路可包含儲存程式指令之韌體形式的晶片、數位信號處理器(DSP)、定義為特定應用積體電路(ASIC)之晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式傳遞至系統控制器550的指令,該等指令定義了用以在半導體基板上、或對半導體基板、或對系統實行特定處理的操作參數。在一些實施例中,操作參數可為由製程工程師所定義以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間內完成一或更多處理步驟的配方的一部分。
在一些實施方式中,系統控制器550可為電腦的一部分或連接至電腦,該電腦係與系統整合、連接至系統、以其他方式網路連至系統、或以上方式組合。舉例而言,系統控制器550可為在「雲端」、或為晶圓廠主機電腦系統的整體或部分,其可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監控製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或效能指標,以改變當前處理的參數、以設定當前操作之後的處理步驟、或啟動新的製程。在一些範例中,遠端電腦(例如,伺服器)可經由網路而提供製程配方至系統,該網路可包含區域網路或網際網路。遠端電腦可包含使用者介面,其允許參數及/或設定之輸入或程式化,這些參數及/或設定係接著從遠端電腦被傳遞至系統。在一些範例中,系統控制器550接收數據形式的指令,該數據載明了欲於一或更多操作期間執行之各個處理步驟的參數。吾人應理解,該等參數可專門用於待執行的處理類型、及系統控制器550與其界接或對其進行控制之工具類型。因此,如上所述,系統控制器550可為分散式的,例如藉由包含一或更多分散的控制器,其由網路連在一起且朝共同的目的(例如本文中所述之製程及控制)作業。用於這樣目標的分散式控制器的一範例會是腔室中的一或更多積體電路,該一或更多積體電路與位於遠端(例如,在平台等級或做為遠端電腦的一部分)的一或更多積體電路通信並相結合以控制腔室中的處理。
不受限制地,範例性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理汽相沉積(PVD)腔室或模組、化學汽相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何其他可在半導體晶圓之加工及/或製造中使用或相關聯之半導體處理系統。
如上面所述,依據該工具欲執行的處理操作或複數處理操作,系控制器550可與下述工具其中一或更多者通信:其他工具電路或模組、其它工具元件、群集工具、其它工具介面、鄰接的工具、鄰近的工具、在工廠各處的工具、主電腦、另一控制器、或用於材料運送的工具,該等用於材料運送的工具將晶圓之容器運送至半導體製造工廠中之裝載埠及/或工具的位置、或從半導體製造工廠中之裝載埠及/或工具位置取出晶圓。
用以執行本文中所揭露之方法的適當設備係進一步在下列美國專利申請案中加以討論及描述:於2011年4月11日提申之美國專利申請案第13/084,399號(現為美國專利第8,728,956號),其發明名稱為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」;及於2011年4月11日提申之美國專利申請案第13/084,305號,其發明名稱為「SILICON NITRIDE FILMS AND METHODS」,其中每一者之內容係完整納入本文中。
本文中所述之設備/製程可結合微影圖案化的工具或製程(例如,半導體元件、顯示器、LED、太陽光電板等的製造或生產)加以使用。一般而言,雖然不是必然,但這樣的工具/製程會在共同的製造設施內一起使用或執行。膜的微影圖案化一般包含一些或全部下列操作,各操作以幾個可能的工具達成:(1)工作件(換言之,基板)上光阻的塗佈,使用旋塗式或噴塗式的工具;(2)光阻的固化,使用熱板或加熱爐或UV固化工具;(3)以像晶圓步進機這樣的工具將光阻曝露於可見光或UV或x射線光;(4)將光阻顯影以選擇性地移除光阻並從而使其圖案化,使用例如濕式清洗台的工具;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下面的膜或工作件中;及(6)使用像RF或微波電漿光阻剝除器這樣的工具移除光阻。
處理氣體可透過位在上腔室的一或更多主要氣體流入口660及/或透過一或更多側面氣體流入口670流入至處理腔室。同樣地,雖然未明確顯示,但類似的氣體流入口可用以將處理氣體供應至電容耦合電漿處理腔室。真空泵浦(例如,一或二階段機械乾式泵浦及/或渦輪分子泵浦640)可用以將處理氣體泵出處理腔室601,且在處理腔室601之內維持壓力。舉例而言,泵浦可用以抽空處理腔室601。閥控制的導管可用以將真空泵浦加以流體連接至處理腔室,以選擇性地控制由真空泵浦提供的真空環境之施加。這可藉由在操作之電漿處理期間使用閉路控制的流量限制裝置(例如,節流閥(未顯示)或鐘擺閥(未顯示))而完成。同樣地,亦可使用連接至電容耦合電漿處理腔室的真空泵浦及閥控制流體連接件。
在設備之操作期間,可透過氣體流入口660及/或670供應一或更多處理氣體。在某些實施例中,處理氣體可僅透過主要氣體流入口660,或僅透過側面氣體流入口670加以供應。在一些實例中,可以更複雜的氣體流入口(例如,一或更多噴淋頭)取代圖中所示之氣體流入口。法拉第屏蔽649及/或可選性的格柵650可包含容許將處理氣體輸送至腔室的內部通道及孔洞。法拉第屏蔽649及可選性的格柵650之其中一者或兩者可作為用以輸送處理氣體的噴淋頭。在一些實施例中,液體汽化及輸送系統可位於處理腔室601的上游,使得一旦液體反應物係加以汽化,汽化的反應物係經由氣體流入口660及/或670導入至腔室。
射頻功率係從RF電源供應器641供應至線圈633,以使RF電流流過線圈633。流過線圈633的RF電流在線圈633周圍產生電磁場。該電磁場在上子腔室602內產生感應電流。各種產生的離子及自由基與晶圓619的物理及化學交互作用在晶圓上選擇性地蝕刻特徵部及沉積層。
若使用電漿格柵而使得有上子腔室602及下子腔室603二者,則感應電流對上子腔室602中存在之氣體產生作用以在上子腔室602中產生電子-離子電漿。可選性的內部電漿格柵650限制了下子腔室603中之熱電子的量。在一些實施例中,設備係加以設計及操作,使得下子腔室603中存在的電漿係離子-離子電漿。
上面的電子-離子電漿、及下面的離子-離子電漿兩者皆可包含正及負離子,但是離子-離子電漿會具有較大的負離子對正離子比率。揮發性的蝕刻及/或沉積副產物可透過埠622而從下子腔室603移除。本文中所揭露之卡盤617可以在介於約10℃與約850℃之間的升高之溫度加以操作。該溫度會取決於製程操作及特定的配方。
腔室601可連接至安裝於無塵室或製造設施中的設施(未顯示)。設施包含提供處理氣體、真空、溫度控制、及環境微粒控制的管路。當這些設施係安裝在目標製造設施中時,該等設施係連接至腔室601。此外,腔室601可連接至傳遞腔室,該傳遞腔室允許機器人使用典型自動化技術將半導體晶圓傳送進出腔室601。
在一些實施例中,系統控制器630(其可包含一或更多物理或邏輯控制器)控制處理腔室的一些或全部操作。系統控制器630可包含以上參照電腦控制器550所述的任何一或更多個特徵。
圖7描繪具有各種模組的半導體製程群集架構,該等模組係與一真空傳遞模組738(VTM)介接。在多個儲存設施及處理模組之間「傳遞」晶圓的傳遞模組之配置可稱為「群集工具架構」系統。氣匣730(亦稱為裝載鎖定部或傳遞模組)係顯示於VTM 738中,該VTM具有四處理模組720a-720d,該等處理模組可各別最佳化以執行各種的製造處理。舉例而言,可實行處理模組720a、720b、720c、及720d以執行基板蝕刻、沉積、離子佈植、晶圓清潔、濺射、及/或其他半導體處理。在一些實施例中,ALD及選擇性蝕刻係在相同的模組中加以執行。在一些實施例中,ALD及選擇性蝕刻係在相同工具的不同模組內加以執行。該等基板蝕刻處理模組其中一或更多者(720a-720d其中任何者)可如本文中所揭露加以實行,即,用以沉積共形膜、藉由ALD選擇性地沉積膜、蝕刻圖案、及其他根據所揭露的實施例之適合的功能。氣匣730及處理模組720可被稱為「工作站」。每個工作站具有將該工作站介接至VTM 738的面部(facet)736。在每一面部的內部,感測器1-18係用以於晶圓726在個別的工作站之間移動時偵測其通過。
機器人722在站之間傳遞晶圓726。在一實施例中,機器人722具有一手臂,而在另一實施例中,機器人722具有二手臂,其中每一手臂具有一末端執行器724以拾取晶圓(例如晶圓726)以供傳輸。在大氣傳遞模組(ATM) 740中的前端機器人732係用以將晶圓726由裝載埠模組(LPM) 742中的卡匣或前開式晶圓傳遞盒(Front Opening Unified Pod, FOUP)734傳遞至氣匣730。在處理模組720中的模組中心728係用以放置晶圓726的一個位置。在ATM 740中的對準器744係用以對準晶圓。
在示例性的處理方法中,晶圓係放置於LPM 742中的該等FOUP 734其中一者內。前端機器人732將晶圓由FOUP 734傳遞至對準器744,該對準器744使得晶圓726得以在其被蝕刻或處理之前正確地置中。在經過對準之後,晶圓726係藉由前端機器人732移動進入氣匣730中。由於氣匣模組具有使ATM 與VTM 之間的環境相匹配的能力,因此晶圓726係能夠在不受損傷的情況下於二種壓力環境之間移動。從氣匣730,晶圓726係藉由機器人722而透過VTM 738移動進入處理模組720a-720d其中一者中。為達成此晶圓移動,機器人722使用在其每一手臂上的末端執行器724。一旦晶圓726已進行處理,其係藉由機器人722從處理模組720a-720d移動至氣匣730。從那裡,晶圓726可由前端機器人732移動至FOUP 734其中一者或至對準器744。
吾人應注意,控制晶圓移動的電腦對於群集結構可為本地的,或可位於群集結構之外而在生產車間(manufacturing floor)中,或位於遠端位置中並經由網路連接至群集結構。上面參照圖5所述之控制器可與圖7中的工具一起實行。 圖8顯示了曝光腔室824之範例的示意圖,該曝光腔室824係用以將基板816暴露於紫外線輻射。舉例而言, 圖8中所示的設備800可用以執行操作311(如上面參照圖3所述)。設備800係配製成產生紫外線輻射。設備800具有由噴淋頭組件或面板817分隔開的電漿產生部分811及曝光腔室824 。在曝光腔室824內 ,平臺(或台架)818提供了晶圓支撐。平臺818係裝配有加熱/冷卻元件。在一些實施例中,平臺818亦配置成向基板816施加偏壓。經由導管807透過真空泵浦在曝光腔室824中獲得低壓。氣體處理氣體的來源經由入口812而提供氣體的流量至設備800的電漿產生部分811中。電漿產生部分811可被感應線圈(未顯示)所圍繞。在操作期間,將氣體混合物導入電漿產生部分811中,對感應線圈供電並在電漿產生部分811中產生電漿。噴淋頭組件814可具有施加的電壓,且其終止一些離子的流動並容許中性物種流入曝光腔室824中。設備800包含用以控制設備800之硬體狀態及處理條件的系統控制器850。控制器 850可具有如上面參照圖5所述之控制器550的任何特性。 實驗 實驗1:溫度及RF功率
實行一實驗以評估在不同沉積溫度下之間隔件的矽氧化物材料之模數。該實驗涉及在使用360 J之RF能量時變化溫度。基板係以下面的表1中所提供之沉積溫度(50℃、75℃、80℃、及150℃)、電漿RF功率(900 W)、及持續時間(0.4秒)暴露於胺基矽烷與O2 /Ar電漿的交替脈衝,而基板901、902、903、904、905、及906係分別對應於圖9的點901、902、903、904、905、及906。舉例而言,基板905係在80℃之沉積溫度下藉由使用900 W的電漿RF功率而暴露於胺基矽烷及O2 /Ar電漿之交替脈衝達0.4秒電漿脈衝(其具有360 J之RF能量),且其在圖9中係顯示為點905。
下面的表1中概述了在這些基板之矽氧化物膜的30 nm壓痕深度或位移、及對應之處理條件下所產生的模數。該等溫度係繪製於圖9中。  表1:模數對溫度
如表所示,大體而言,提高溫度使得所沉積之矽氧化物的模數提高。 實驗2:RF能量及模數
實行一實驗以評估將RF能量提高在矽氧化物間隔件材料之模數上的影響。第一測試涉及了四片基板,每一片基板係在50℃下以不同的RF能量加以沉積。兩片基板係各別藉由使用900 W的電漿RF功率而暴露於胺基矽烷與O2 /Ar之交替脈衝達0.4秒脈衝(因此為360 J之RF能量)。第三片基板係藉由使用1600 W的電漿RF功率而暴露於胺基矽烷與O2 /Ar之交替脈衝達0.4秒脈衝(因此為640 J之RF能量)。第四片基板係藉由使用1600 W的電漿RF功率而暴露於胺基矽烷與O2 /Ar之交替脈衝達1.2秒脈衝(因此為1920 J之RF能量)。這些基板在圖10中係繪製成圓形。如圖所示,隨著RF能量提高,模數亦跟著提高。下面的表2中提供了概述這些數據的表格。 表2:在50℃沉積溫度下的模數及RF能量
第二測試涉及了五片基板,每一片基板係在75℃下以不同的RF能量加以沉積。前兩片基板係各別藉由使用900 W的電漿RF功率而暴露於胺基矽烷與O2 /Ar之交替脈衝達0.4秒脈衝(因此為360 J之RF能量)。第三及第四片基板係各別藉由使用1600 W的電漿RF功率而暴露於胺基矽烷與O2 /Ar之交替脈衝達0.4秒脈衝(因此為640 J之RF能量)。第五片基板係藉由使用1600 W的電漿RF功率而暴露於胺基矽烷與O2 /Ar之交替脈衝達1.2秒脈衝(因此為1920 J之RF能量)。這些基板在圖10中係繪製為正方形 。如圖所示,隨著RF能量提高,模數亦跟著提高。下面的表3中提供了概述這些數據的表格。 表3:在75°C沉積溫度下的模數及RF能量
第三測試涉及了兩片基板,每一基板係在80 ℃下以不同的RF能量加以沉積 。第一片基板係藉由使用900 W的電漿RF功率而暴露於胺基矽烷與O2 /Ar之交替脈衝達0.4秒脈衝(因此為360 J之RF能量)。第二片基板係藉由使用1600 W的電漿RF功率而暴露於胺基矽烷與O2 /Ar之交替脈衝達1.2秒脈衝(因此為1920 J之RF能量)。這些基板在圖10中係繪製為菱形。如圖所示,隨著RF能量提高,模數亦跟著提高。下面的表4中提供了概述這些數據的表格。 表4:80°C沉積溫度下的模數及RF能量
第四測試涉及了三片基板,每一片基板係在150℃下以不同的RF能量加以沉積。第一片基板係藉由使用900 W的電漿RF功率而暴露於胺基矽烷與O2 /Ar之交替脈衝達0.4秒脈衝(因此為360 J之RF能量)。第二片基板係藉由使用900 W的電漿RF功率而暴露於胺基矽烷與O2 /Ar之交替脈衝達1.2秒脈衝(因此為1080 J之RF能量)。第三片基板係藉由使用1600 W的電漿RF功率而暴露於胺基矽烷與O2 /Ar之交替脈衝達1.2秒脈衝(因此為1920 J之RF能量)。這些基板在圖10中係繪製為三角形。如圖所示,隨著RF能量提高,模數亦跟著提高。下面的表5中提供了概述這些數據的表格。 表5:在150°C沉積溫度下的模數及RF能量
如上面所述,提高RF能量提高了模數。另外,基於實驗1及實驗2,吾人可推知提高溫度及RF能量二者導致了協同效應,其較單獨提高溫度或單獨提高RF能量使得模數增加地更多。 實驗3:UV處理及模數
實行一實驗以評估使沉積的矽氧化物材料暴露於UV輻射在矽氧化物間隔件材料之模數上的影響。第一測試涉及了每一片係在沒有UV處理之情況下於50℃以不同的RF能量(360 J、640 J、及1920 J)加以沉積的三片基板、及每一片係在有UV處理之情況下於50℃以相同的RF能量加以沉積的三片基板。結果係於圖11中加以繪製,從而對沒有UV處理之基板(實心黑色圓圈)、與具有UV處理之基板(白色圓圈)進行比較。如圖所示,在360 J的RF能量下,具有UV處理之基板展現了更高的模數。在640 J及1920 J下的基板顯示了相同的趨勢。該等結果亦概述在下面的表6中。 表6:以50°C沉積之基板的UV處理
第二測試涉及了每一片係在沒有UV處理之情況下於75℃以不同的RF能量(360 J、640 J、及1920 J)加以沉積的三片基板,及每一片係在有UV處理之情況下於75℃以相同的RF能量加以沉積的三片基板。結果係於圖11中加以繪製,從而對沒有UV處理之基板(實心黑色三角形)、與具有UV處理之基板(白色三角形)進行比較。如圖所示,在360 J的RF能量下,具有UV處理之基板展現了更高的模數。在640 J及1920 J下的基板顯示了相同的趨勢。該等結果亦概述在下面的表7中。 表7:以75°C沉積之基板的紫外線處理
這些結果與實驗1及實驗2之結果相結合,顯示了將提高之沉積溫度、提高之沉積期間的RF能量、及UV處理結合所產生的協同效應導致了吾人所達成的最高模數之膜。 結論
雖然為了清楚理解的目的已詳述上述實施例,顯而易見地,仍可在隨附申請專利範圍之範圍內實施某些改變及修改。吾人應注意,本實施例之製程、系統、及設備具有許多替代的實行方式。因此,本實施例應被認為是舉例性的而非限制性的,且實施例不受限於本文中所述的細節。
100‧‧‧堆疊
102‧‧‧基板
104‧‧‧目標層
106‧‧‧遮罩層
108‧‧‧間隙填充材料
110‧‧‧層
112‧‧‧光阻
125‧‧‧間隔件
X’‧‧‧橫剖面
Y’‧‧‧橫剖面
Z’‧‧‧橫剖面
301‧‧‧操作
303‧‧‧操作
305‧‧‧操作
307‧‧‧操作
309‧‧‧操作
311‧‧‧操作
313‧‧‧操作
315‧‧‧操作
400‧‧‧處理站
401a‧‧‧反應物輸送系統
402‧‧‧處理腔室
403‧‧‧汽化點
404‧‧‧混合容器
406‧‧‧噴淋頭
408‧‧‧底座
410‧‧‧加熱器
412‧‧‧基板
414‧‧‧射頻(RF)電源供應器
416‧‧‧匹配網路
418‧‧‧蝶形閥
420‧‧‧混合容器入口閥
450‧‧‧控制器
500‧‧‧多站式處理工具
502‧‧‧入站裝載鎖定部
504‧‧‧出站裝載鎖定部
506‧‧‧機器人
508‧‧‧晶圓盒
510‧‧‧氣壓埠
512‧‧‧底座
514‧‧‧處理腔室
516‧‧‧腔室傳輸埠
518‧‧‧底座
550‧‧‧系統控制器
552‧‧‧處理器
554‧‧‧大量儲存裝置
556‧‧‧記憶體元件
558‧‧‧系統控制軟體
590‧‧‧基板搬運系統
602‧‧‧上子腔室
603‧‧‧下子腔室
617‧‧‧卡盤
619‧‧‧晶圓
622‧‧‧埠
624‧‧‧處理腔室
630‧‧‧系統控制器
633‧‧‧線圈
640‧‧‧真空泵浦
641‧‧‧RF電源供應器
649‧‧‧法拉第屏蔽
650‧‧‧格柵
660‧‧‧氣體流入口
670‧‧‧側面氣體流入口
720a‧‧‧處理模組
720b‧‧‧處理模組
720c‧‧‧處理模組
720d‧‧‧處理模組
722‧‧‧機器人
724‧‧‧末端執行器
726‧‧‧晶圓
728‧‧‧模組中心
730‧‧‧氣匣
732‧‧‧前端機器人
734‧‧‧前開式晶圓傳遞盒
736‧‧‧面部
738‧‧‧真空傳遞模組
740‧‧‧大氣傳遞模組
742‧‧‧裝載埠模組
744‧‧‧對準器
800‧‧‧設備
807‧‧‧導管
811‧‧‧電漿產生部分
812‧‧‧入口
814‧‧‧噴淋頭組件
816‧‧‧基板
818‧‧‧平臺
824‧‧‧曝光腔室
850‧‧‧控制器
901‧‧‧基板
902‧‧‧基板
903‧‧‧基板
904‧‧‧基板
905‧‧‧基板
906‧‧‧基板
圖1A-1C為顯示了節距擺動現象的基板橫剖面之示意圖。
圖2A-2C分別為圖1A-1C之基板的俯視之示意圖。
圖3為描繪了根據特定所揭露實施例之方法的操作之處理流程圖。
圖4為用以執行特定所揭露實施例的範例性處理腔室之示意圖。
圖5為用以執行特定所揭露實施例的範例性處理工具之示意圖。
圖6為用以執行特定所揭露實施例的範例性處理腔室之示意圖。
圖7為用以執行特定所揭露實施例的範例性處理工具之示意圖。
圖8為用以執行特定所揭露實施例的範例性處理腔室之示意圖。
圖9-11為繪示了由執行特定所揭露實施例收集到的實驗數據之曲線圖。

Claims (32)

  1. 一種在基板上執行多重圖案化的方法,該方法包含: 提供一基板,該基板具有圖案化的一芯材; 使該基板暴露於含矽前驅物及氧化劑的交替暴露;及 於該基板暴露於該氧化劑時點燃一電漿,以在圖案化的該芯材上形成具有至少55 GPa之彈性模數的一共形矽氧化物間隔件材料。
  2. 如申請專利範圍第1項之在基板上執行多重圖案化的方法,其中該共形矽氧化物間隔件材料係在大於50℃且小於約80℃之基板溫度下沉積。
  3. 如申請專利範圍第2項之在基板上執行多重圖案化的方法,其中該電漿係使用至少約1000焦耳之射頻電漿能量來點燃。
  4. 如申請專利範圍第3項之在基板上執行多重圖案化的方法,更包含將該共形矽氧化物間隔件材料暴露於紫外線輻射以使該共形矽氧化物間隔件材料緻密化。
  5. 如申請專利範圍第1項之在基板上執行多重圖案化的方法,其中該電漿係使用至少約1000焦耳之射頻電漿能量來點燃。
  6. 如申請專利範圍第1項之在基板上執行多重圖案化的方法,更包含將該共形矽氧化物間隔件材料暴露於紫外線輻射以使該共形矽氧化物間隔件材料緻密化。
  7. 如申請專利範圍第6項之在基板上執行多重圖案化的方法,其中該共形矽氧化物間隔件材料係在大於50℃且小於約80℃之基板溫度下沉積。
  8. 如申請專利範圍第6項之在基板上執行多重圖案化的方法,其中該電漿係使用至少約1000焦耳之射頻電漿能量來點燃。
  9. 如申請專利範圍第6項之在基板上執行多重圖案化的方法,其中該共形矽氧化物間隔件材料係暴露於該紫外線輻射達在約5分鐘與約30分鐘之間的一持續時間。
  10. 一種在基板上執行多重圖案化的方法,該方法包含: 提供一基板,該基板具有圖案化的一芯材; 使該基板暴露於含矽前驅物及氧化劑的交替暴露; 於該基板暴露於該氧化劑時點燃一電漿,以在圖案化的該芯材上形成具有至少55 GPa之彈性模數的一共形矽氧化物間隔件材; 相對於該共形矽氧化物間隔件材料而選擇性地蝕刻圖案化的該芯材,以形成包含該共形矽氧化物間隔件材料的一遮罩;及 藉由使用該遮罩而蝕刻該基板上的一目標層。
  11. 如申請專利範圍第10項之在基板上執行多重圖案化的方法,其中該共形矽氧化物間隔件材料係在大於50℃且小於約80℃之基板溫度下沉積。
  12. 如申請專利範圍第11項之在基板上執行多重圖案化的方法,其中該電漿係使用至少約1000焦耳之射頻電漿能量來點燃。
  13. 如申請專利範圍第12項之在基板上執行多重圖案化的方法,更包含將該共形矽氧化物間隔件材料暴露於紫外線輻射以使該共形矽氧化物間隔件材料緻密化。
  14. 如申請專利範圍第10項之在基板上執行多重圖案化的方法,其中該電漿係使用至少約1000焦耳之射頻電漿能量來點燃。
  15. 如申請專利範圍第10項之在基板上執行多重圖案化的方法,更包含將該共形矽氧化物間隔件材料暴露於紫外線輻射以使該共形矽氧化物間隔件材料緻密化。
  16. 如申請專利範圍第15項之在基板上執行多重圖案化的方法,其中該共形矽氧化物間隔件材料係在大於50℃且小於約80℃之基板溫度下沉積。
  17. 如申請專利範圍第15項之在基板上執行多重圖案化的方法,其中該電漿係使用至少約1000焦耳之射頻電漿能量來點燃。
  18. 如申請專利範圍第15項之在基板上執行多重圖案化的方法,其中該共形矽氧化物間隔件材料係暴露於該紫外線輻射達在約5分鐘與約30分鐘之間的一持續時間。
  19. 一在基板上執行多重圖案化的方法,該方法包含: 提供一基板,該基板具有圖案化的一芯材; 使該基板暴露於含矽前驅物及氧化劑的交替暴露;及 於該基板暴露於該氧化劑時點燃一電漿,以在圖案化的該芯材上形成具有至少55 GPa之彈性模數的一共形矽氧化物間隔件材料, 其中該電漿係使用至少約1000焦耳之射頻電漿能量來點燃。
  20. 如申請專利範圍第1至19項其中任一項之在基板上執行多重圖案化的方法,其中圖案化的該芯材包含具有小於約45 nm之節距的特徵部。
  21. 如申請專利範圍第1至19項其中任一項之在基板上執行多重圖案化的方法,其中該共形矽氧化物間隔件材料係做為自對準雙重圖案化的一遮罩。
  22. 如申請專利範圍第1至19項其中任一項之在基板上執行多重圖案化的方法,其中該氧化劑係選自於由氧、二氧化碳、水、一氧化二氮、及其組合所組成之群組。
  23. 如申請專利範圍第1至19項其中任一項之在基板上執行多重圖案化的方法,其中該含矽前驅物為胺基矽烷。
  24. 如申請專利範圍第1至19項其中任一項之在基板上執行多重圖案化的方法,其中圖案化的該芯材包含一材料,該材料係選自於由旋塗碳、類鑽碳、及間隙填充可灰化硬遮罩所組成之群組。
  25. 如申請專利範圍第1至19項其中任一項之在基板上執行多重圖案化的方法,其中使該基板暴露於該氧化劑之步驟包含流動一惰性氣體,該惰性氣體係選自於由氬、氫、氮、及氦所組成之群組。
  26. 如申請專利範圍第1至19項其中任一項之在基板上執行多重圖案化的方法,其中該共形矽氧化物間隔件材料之彈性模數係在約55 GPa與約70 GPa之間。
  27. 一種將基板圖案化的設備,該設備包含: 一或更多處理腔室; 進入該一或更多處理腔室的一或更多氣體入口、及相關聯的流量控制硬體; 一低頻射頻(LFRF)產生器; 一高頻射頻(HFRF)產生器;及 一控制器,具有至少一處理器及一記憶體, 其中該至少一處理器及該記憶體係彼此通信連接,該至少一處理器係至少與該流量控制硬體、該LFRF產生器、及該HFRF產生器在操作上連接,且該記憶體儲存用以控制該至少一處理器的複數電腦可執行指令,用以至少控制該流量控制硬體、該HFRF產生器、及該LFRF產生器以: 導致提供一基板至該一或更多處理腔室其中至少一者,該基板具有圖案化的一芯材; 導致經由該一或更多氣體入口而將含矽前驅物、及氧化劑之交替流動導入至該一或更多處理腔室其中該至少一者中;及 導致於導入該氧化劑時產生一電漿,以在圖案化的該芯材上形成具有至少55 GPa之彈性模數的一共形矽氧化物間隔件材料。
  28. 如申請專利範圍第27項之將基板圖案化的設備,其中該等電腦可執行指令更包含複數指令,用以導致相對於該共形間隔件材料而選擇性地蝕刻圖案化的該芯材以形成包含該共形矽氧化物間隔件材料的一遮罩,及導致藉由使用該遮罩而蝕刻該基板上的一目標層。
  29. 如申請專利範圍第27項之將基板圖案化的設備,其中該一或更多處理腔室其中該至少一者包含用以固持該基板之加熱的一底座,且該等電腦可執行指令包含複數指令,用以導致將底座溫度設定為大於50℃且小於約80℃。
  30. 如申請專利範圍第27項之將基板圖案化的設備,其中該電腦可執行指令更包含複數指令,用以導致使用至少約1000焦耳之射頻電漿能量產生該電漿。
  31. 如申請專利範圍第27項之將基板圖案化的設備,更包含一紫外線輻射產生器,用以將該基板暴露於紫外線輻射以使該共形矽氧化物間隔件材料緻密化。
  32. 如申請專利範圍第27項之將基板圖案化的設備,其中該等電腦可執行指令更包含複數指令,用以導致具有該共形矽氧化物間隔件材料在其上之該基板暴露於紫外線輻射達約在5分鐘與約30分鐘之間的一持續時間。
TW106139087A 2016-11-14 2017-11-13 用於高模數原子層沉積二氧化矽間隔件的方法 TW201834008A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/351,221 US10134579B2 (en) 2016-11-14 2016-11-14 Method for high modulus ALD SiO2 spacer
US15/351,221 2016-11-14

Publications (1)

Publication Number Publication Date
TW201834008A true TW201834008A (zh) 2018-09-16

Family

ID=62108035

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106139087A TW201834008A (zh) 2016-11-14 2017-11-13 用於高模數原子層沉積二氧化矽間隔件的方法

Country Status (5)

Country Link
US (1) US10134579B2 (zh)
KR (2) KR102649860B1 (zh)
CN (2) CN116959964A (zh)
TW (1) TW201834008A (zh)
WO (1) WO2018089534A1 (zh)

Families Citing this family (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN110459465B (zh) * 2019-08-30 2022-03-04 上海华力微电子有限公司 自对准双层图形的形成方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11615958B2 (en) * 2020-03-24 2023-03-28 Tokyo Electron Limited Methods to reduce microbridge defects in EUV patterning for microelectronic workpieces
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11024511B1 (en) * 2020-04-21 2021-06-01 Winbond Electronics Corp. Patterning method
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20230022359A1 (en) * 2021-07-22 2023-01-26 Applied Materials, Inc. Methods, apparatus, and systems for maintaining film modulus within a predetermined modulus range
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230260800A1 (en) * 2022-02-15 2023-08-17 Applied Materials, Inc. Methods to reduce uncd film roughness

Family Cites Families (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5528719A (en) 1993-10-26 1996-06-18 Sumitomo Metal Mining Company Limited Optical fiber guide structure and method of fabricating same
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US6225175B1 (en) 1997-06-20 2001-05-01 Texas Instruments Incorporated Process for defining ultra-thin geometries
US5854105A (en) 1997-11-05 1998-12-29 Vanguard International Semiconductor Corporation Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6403416B1 (en) 1999-01-07 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
CA2387341A1 (en) 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
JP5016767B2 (ja) 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. 傾斜薄膜の形成方法
WO2001082368A2 (en) 2000-04-25 2001-11-01 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
KR100366621B1 (ko) * 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7301210B2 (en) 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7491630B2 (en) 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5241499B2 (ja) 2006-09-19 2013-07-17 東京エレクトロン株式会社 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
US7772702B2 (en) * 2006-09-21 2010-08-10 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7488659B2 (en) * 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US8563229B2 (en) * 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
KR20150036815A (ko) 2007-09-18 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
DE102009014418B3 (de) 2009-03-26 2010-04-15 Heraeus Quarzglas Gmbh & Co. Kg Ziehverfahren zur Herstellung zylinderförmiger Bauteile aus Quarzglas
CN102484070B (zh) 2009-06-26 2014-12-10 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8105901B2 (en) 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8354331B2 (en) 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US8021949B2 (en) 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
CN102471885A (zh) 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101225601B1 (ko) 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8901016B2 (en) * 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8883649B2 (en) 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
KR101923167B1 (ko) 2011-04-07 2018-11-29 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5882776B2 (ja) 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
KR20140143151A (ko) 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
KR102052936B1 (ko) 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9209274B2 (en) 2013-07-19 2015-12-08 Globalfoundries Inc. Highly conformal extension doping in advanced multi-gate devices
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
WO2015106261A1 (en) 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9318334B2 (en) 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9449971B2 (en) 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
EP3035379B1 (en) * 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
CN106298519A (zh) 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US9748110B2 (en) 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10103032B2 (en) 2015-11-20 2018-10-16 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer

Also Published As

Publication number Publication date
US10134579B2 (en) 2018-11-20
WO2018089534A1 (en) 2018-05-17
KR102649860B1 (ko) 2024-03-20
US20180138036A1 (en) 2018-05-17
KR102407031B1 (ko) 2022-06-08
CN109937467A (zh) 2019-06-25
KR20220080032A (ko) 2022-06-14
CN109937467B (zh) 2023-06-23
KR20190072671A (ko) 2019-06-25
CN116959964A (zh) 2023-10-27

Similar Documents

Publication Publication Date Title
TW201834008A (zh) 用於高模數原子層沉積二氧化矽間隔件的方法
KR102514839B1 (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
KR102470304B1 (ko) 실리콘 옥사이드의 선택적인 증착
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
CN108630524B (zh) 氮化硅的选择性生长
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
US10629435B2 (en) Doped ALD films for semiconductor patterning applications
TWI695082B (zh) 無氨無氯保形氮化矽膜的沉積方法
TW202113142A (zh) 原子層沉積期間之膜特性的原位控制