TW201319299A - 用於低溫電漿輔助沉積的活化矽前驅物 - Google Patents

用於低溫電漿輔助沉積的活化矽前驅物 Download PDF

Info

Publication number
TW201319299A
TW201319299A TW101131794A TW101131794A TW201319299A TW 201319299 A TW201319299 A TW 201319299A TW 101131794 A TW101131794 A TW 101131794A TW 101131794 A TW101131794 A TW 101131794A TW 201319299 A TW201319299 A TW 201319299A
Authority
TW
Taiwan
Prior art keywords
carbon
substrate
hydrogen
plasma
precursor
Prior art date
Application number
TW101131794A
Other languages
English (en)
Inventor
Timothy W Weidman
Todd Schroeder
David Thompson
Jeffrey W Anthis
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201319299A publication Critical patent/TW201319299A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明提供使用活化之含SiH前驅物低溫沉積含矽膜之製程。含SiH前驅物可具有諸如鹵素或氰基部分之反應性官能基。描述用鹵化或氰化之矽烷來沉積SiN膜之製程。電漿處理條件可用於調整膜之碳、氫及/或氮含量。

Description

用於低溫電漿輔助沉積的活化矽前驅物
本發明之實施例大體而言係關於膜沉積領域,且具體而言係關於用於低溫電漿輔助化學氣相沉積及原子層沉積之前驅物。
在諸如積體電路之電子裝置之製造中,諸如半導體晶圓之靶基板經受各種製程,諸如膜形成、蝕刻、氧化、擴散、重形成、退火及天然氧化膜移除。含矽膜為許多該等製程中之重要部分。
含矽膜用於半導體工業中之多種應用。含矽膜之實例包括磊晶矽、多晶矽(聚Si)及非晶矽、磊晶矽鍺(SiGe)、碳化矽鍺(SiGeC)、碳化矽(SiC)、氮化矽(SiN)、碳氮化矽(SiCN)及羰基化矽(SiCO)。當電路幾何形狀縮到較小特徵大小時,用於含矽膜之較低沉積溫度為較佳,例如以減少熱預算。氮化矽膜具有很好的耐氧化性及絕緣品質。因此,已將該等膜使用在許多應用中,包括氧化物/氮化物/氧化物堆疊、蝕刻終止、氧擴散阻障層及閘絕緣層等等。若干方法因藉由化學氣相沉積(CVD)於半導體晶圓之表面上形成氮化矽膜而眾所周知。在熱CVD中,將諸如單矽烷(SiH4)或聚矽烷之矽烷氣體用作矽源氣體。
亦已使用鹵矽烷及氨經由原子層沉積執行SiN膜形成。然而,此製程要求超過500℃之高溫來實現清洗轉換且消除NH4X副產物。在裝置製造中,由於熱預算及其他原因,通常要求可在較低溫度下執行之製程。
本發明之第一態樣係針對一種在基板表面上形成層之方法,該方法包含:提供與鹵化物或氰基部分反應之基板表面;使與鹵化物或氰基部分反應之基板表面曝露至包括碳、矽及氫之鹵化或氰化之碳矽烷前驅物以形成含有碳、矽及氫之層;使含有碳、矽及氫之層至少局部地脫氫;及使含有碳、矽及氫之層氮化。在此態樣之一個實施例中,使層氮化包含:使含有碳、矽及氫之層曝露至含氮電漿。在另一實施例中,基板表面在層之形成期間處於小於約200℃之溫度下。在又一實施例中,基板為半導體基板。在此態樣之另一實施例中,將碳矽烷前驅物單鹵化。
根據本發明之某些實施例,碳矽烷前驅物可為直鏈、支鏈或環狀的。在具體實施例中,碳矽烷前驅物含有橋聯亞甲基,其中將該亞甲基中之碳鍵結至兩個矽原子。在甚至更具體實施例中,碳矽烷前驅物係選自由以下物質組成之群組:1,3,5-三矽戊烷、1,3-二矽丁烷、1,3-二矽環丁烷及1,3,5-三矽環己烷。在特定實施例中,前驅 物為1,3-二矽丁烷。可將1,3-二矽丁烷單鹵化或單假鹵化。在替代實施例中,碳矽烷前驅物為1,3,5-三矽戊烷。可將1,3,5-三矽戊烷單鹵化或單假鹵化。
在一或更多實施例中,碳矽烷前驅物不含有橋聯兩個亞甲基之矽原子。在一些實施例中,碳矽烷前驅物具有由以下表示之結構:
其中,R1係選自鹵素或假鹵素中之一或更多者,R2為甲基且R3及R4各獨立為鹵素或假鹵素、甲基或氫。
在此態樣之另一實施例中,使含有碳、矽及氫之層脫氫包含:使含有碳、矽及氫之層曝露至含有氫、氦及氬中之至少一者之電漿。在又一實施例中,含有碳、矽及氫之層的氮化作用包含:使含有碳、矽及氫之層曝露至含氮電漿。在一個實施例中,脫氫作用及氮化作用實質同時發生。在替代實施例中,脫氫作用及氮化作用順序地發生。
根據某些實施例,鹵素係選自由Br、I及Cl組成之群組。在特定實施例中,鹵素為Br。在另一實施例中,碳矽烷前驅物在鹵化作用或氰化作用之前為對稱的。
本發明之第二態樣係關於一種在基板表面上形成層之 方法,該方法包含:提供與鹵化物或氰基部分反應之基板表面;使與鹵化物或氰基部分反應之基板表面曝露至包括矽及氫之鹵化或氰化之矽烷前驅物以形成含有矽及氫之層;使含有矽及氫之層至少局部地脫氫;及使包括矽及氫之層氮化,其中使層氮化包含:使含有矽及氫之層曝露至含氮電漿。
在一個實施例中,基板表面在形成層期間處於小於約200℃之溫度下。在另一實施例中,將矽烷前驅物單鹵化。在又一實施例中,矽烷前驅物包含二矽烷、三矽烷、新戊矽烷及三矽烷胺中之至少一者。在更具體實施例中,矽烷前驅物包含三矽烷胺。在甚至更具體實施例中,將三矽烷胺單溴化。
在此態樣之另一實施例中,鹵化或氰化之矽烷前驅物進一步包含碳。在此實施例之一個變體中,碳矽烷前驅物含有橋聯亞甲基,其中將亞甲基中之碳鍵結至兩個矽原子。此實施例之變體係關於以下情況:包含碳之矽烷前驅物係選自由以下物質組成之群組:1,3,5-三矽戊烷、1,3-二矽丁烷、1,4-二矽丁烷及1,3,5-三矽環己烷。在具體變體中,包含碳之矽烷前驅物為1,3-二矽丁烷。將1,3-二矽丁烷單鹵化或單假鹵化。在替代變體中,包含碳之矽烷前驅物為1,3,5-三矽戊烷。可將1,3,5-三矽戊烷單鹵化或單假鹵化。在另一實施例中,矽烷前驅物在鹵化作用或氰化作用之前為對稱的。
此態樣之另一實施例係關於以下情況:處理基板表面 以減少基板表面上氫之數量包含:使基板表面曝露至脫氫電漿。在一個實施例中,脫氫電漿係選自由以下物質組成之群組:Ar電漿、He電漿及H2電漿或以上之組合。在一個實施例中,脫氫及氮化實質同時發生。在替代實施例中,脫氫及氮化順序地發生。
本發明之第三態樣係關於一種在基板上形成層之方法,該方法包含:提供於基板表面上具有矽及氫之基板;使基板表面曝露至含氮電漿以增強基板表面與矽鹵鍵或矽氰鍵之反應性;使基板表面與鹵化或氰化之前驅物反應;及使基板表面曝露至脫氫電漿以減少基板表面上氫的數量。在一個實施例中,鹵化矽物種或氰化矽物種進一步包含碳。
在描述本發明之若干示例性實施例之前,應理解,本發明不局限於下文描述中闡述之構造或製程步驟之細節。本發明可有其他實施例且能夠以各種方式實踐或執行。
在此所使用之「基板表面」係指任何基板或於基板上形成之材料表面,在製造製程期間在該基板或材料表面上執行膜處理。舉例而言,取決於應用,可於基板表面上執行處理之該基板表面包括材料,諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜之氧化矽、氮化矽、 摻雜矽、鍺、砷化鎵、玻璃、藍寶石及諸如金屬、金屬氮化物、金屬合金及其他導電材料之任何其他材料。基板包括但不局限於玻璃片、陶瓷基板及半導體晶圓。可將基板曝露至預處理製程以研磨、蝕刻、還原、氧化、羥化、退火及/或烘焙基板表面。除直接於基板表面本身上處理膜之外,在本發明中,所揭示的任何膜處理步驟亦可對在基板上形成之下層執行,如下文更詳細揭示,且術語「基板表面」意欲包括此下層,如上下文所指出。
如在此所使用,「含SiH前驅物」係指含有複數個Si-H鍵之前驅物分子。含SiH前驅物包括矽烷及碳矽烷。術語「矽烷」係指含有矽原子及氫原子之化合物,包括矽氫鍵。與術語「有機矽烷」可互換地使用之術語「碳矽烷」係指含有矽原子、氫原子及碳原子且含有至少一個碳矽共價鍵之化合物。因此,「鹵化之含SiH前驅物」或「鹵化之矽烷」或「鹵化之碳矽烷」係指將鍵結至矽原子之至少一個氫原子替代為鹵素的前驅物分子。引申開來,「氰化之含SiH前驅物」或「氰化之矽烷」或「氰化之碳矽烷」係指將鍵結至矽原子之至少一個氫原子替代為氰基(CN)的前驅物分子。
如在此所使用,「含有橋聯至少兩個矽原子之至少一個碳原子」係指含有Si-C-Si組分之碳矽烷。碳可具有兩個氫,此將組成亞甲基且產生Si-CH2-Si組分。矽原子可具有多種取代基,包括但不局限於氫原子或額外矽原子及/或碳原子。在一些情況下,碳原子可橋聯三個或四 個矽原子。
如在此所使用,「低溫」係指製程在小於400℃下進行。在具體實施例中,低溫係指小於300℃,且在更具體實施例中,係指小於200℃且在高度具體實施例中,係指小於100℃。
如在此所使用,「低功率能源」係指不會損害沉積在基板表面上之碳矽烷前驅物之能源。舉例而言,在能源為電漿之情況下,RF值小於約200 W。
本發明之態樣係關於在基板上形成層之方法,該方法包括:提供於基板表面上具有矽及氫之基板。可以任何習知方式改質及/或處理基板表面以提供於基板表面上具有矽及氫之表面,諸如藉由電漿處理。然後可將於表面上具有矽及氫之表面曝露至含氮電漿以增強基板表面與矽鹵鍵或矽氰鍵之反應性。接著,使具有與矽鹵鍵或矽氰鍵之增強反應性之基板表面與鹵化或氰化之前驅物反應。在反應之後,使基板表面曝露至脫氫電漿以減少基板表面上氫之數量。
在本發明之另一態樣中,提供基板,該基板具有與鹵化物或氰基部分反應之基板表面。如在此進一步描述,可藉由電漿處理提供此表面。在提供具有與鹵化物或氰基部分反應之表面的基板之後,可將基板表面曝露至包括矽及氫之鹵化或氰化之矽烷前驅物以形成含有矽及氫之層。然後使含有矽及氫之層至少局部地脫氫,例如藉由使用如下文進一步描述之電漿處理使含有矽及氫之層 至少局部地脫氫。同樣,可順序地或同時地進一步處理層以添加氮至包括矽及氫之層。舉例而言,使層氮化可包括:使含有矽及氫之層曝露至含氮電漿。
本發明之更具體態樣係關於SiCN膜於基板上之形成。在一個實施例中,提供與鹵化物或氰基部分反應之基板表面,使該基板表面曝露至包括碳、矽及氫之鹵化或氰化之碳矽烷前驅物以形成含有碳、矽及氫之層。然後將含有碳、矽及氫之層至少局部地脫氫且氮化,例如藉由使膜曝露至電漿,較佳地曝露至低功率電漿。
根據本發明之實施例,使用鹵化或氰化之含SiH前驅物可在低溫下形成且活化SiC膜、SiN膜且SiCN膜。在一些實施例中,膜形成可經由化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、原子層沉積(ALD)或電漿輔助原子層沉積(PEALD)而發生。除熱預算考慮之外,需要在較低溫度下及較低功率下處理電漿活化以防止損害下層的基板表面。根據一或更多實施例,提供製程,該等製程包括於基板上形成之含有SiH之膜或層的脫氫緻密化及氮化作用。此允許N-H官能基之形成以用於促進在此描述之前驅物之自限反應。此等製程可減少或消除氨作為共反應物之使用。消除氨之使用的益處為避免鹵化銨副產物之形成。鹵化銨為反應性的,該等鹵化銨可造成沉積腔室內部之不受控制的生長,特別是在冷壁腔室內。然而,應理解,根據一或更多實施例,可使用氨,特別是在熱壁腔室內。
ALD使用連續自限表面反應以形成具有控制在埃位準或單層位準之精確厚度之層。大多數ALD製程基於二元反應序列,該等二元反應序列沉積二元化合物膜。兩個表面反應中之每一者順序地發生且因為該等反應為自限的,故可用原子位準控制沉積薄膜。因為表面反應為連續的,故兩個氣相反應物不接觸,且可形成且沉積粒子之可能氣相反應受限。表面反應之自限本性亦允許反應在每個反應週期期間經驅動來完成,以產生連續且無針孔之膜。
因為Si-H前驅物在諸如ALD或PEALD序列之低溫沉積製程中有用,故有效製程流程提供經設計以促進與所選擇前驅物有效反應之表面官能基。在一或更多實施例中,製程序列用來轉換SiC膜為顯示殘餘N-H含量之SiCN膜。殘餘N-H含量為下一層之沉積提供反應性「把手」。根據一或更多實施例,使N-H鍵與具有Si-X鍵之前驅物反應,其中X為諸如氯、溴或碘之鹵素或諸如腈(氰基)取代基之假鹵素。該等N-H基與鹵化或氰化之前驅物反應。在具體實施例中,此等製程序列包括曝露至脫氫電漿及/或氮化電漿。因為N-H鍵與Si-X鍵反應,故將更具反應性官能基添加至碳矽烷前驅物或矽烷前驅物以促進該等前驅物與表面N-H鍵之反應。
因此,在一個實施例中,提供一種形成含矽膜之製程,該製程使用鹵化或氰化之前驅物。鹵素可為氯、溴或碘。在具體實施例中,所使用之鹵素為溴。在一個特定實施 例中,將碳矽烷前驅物或矽烷前驅物單鹵化。
在具體實施例中,碳矽烷產生SiC之薄膜。在一些實施例中,接著可藉由自SiC置換一些碳原子來將該等SiC之薄膜轉換為SiCN。即使無鹵化作用/假鹵化作用,已證實碳矽烷前驅物對富矽SiC進行有效脫氫作用。因此,根據各種實施例,將基板表面處之碳矽烷前驅物至少局部地緻密/脫氫。在一個實施例中,電漿誘發脫氫作用。可將含氦、氬或氫的電漿用於脫氫作用。在具體實施例中,脫氫作用包括氫電漿之使用。
除脫氫作用之外,可藉由氮化作用將氮引入至SiC層內以形成SiCN。在具體實施例中,氮化作用經由曝露至諸如含氮電漿之氮化電漿而發生。使用相對低的RF功率條件及在低於先前可用溫度之溫度下可完成該等沉積製程。在先前方法中,超過500℃之較高溫度為必要的。在具體實施例中,在沉積期間之基板溫度可低於約200℃。在一些實施例中,基板溫度可低於100℃。
其他實施例大體係關於使用其他鹵化或氰化之含SiH前驅物,包括矽烷,以使用如上所述之類似製程形成SiN層。根據一或更多實施例,欲在基板表面上與N-H基反應之含SiH前驅物之活化包括:使前驅物反應,以便將至少一個Si-H鍵中之氫替代為鹵素或假鹵素。適合的鹵素包括溴、氯及碘。在具體實施例中,溴為所使用之鹵素。溴提供超出其他鹵素之一些優點。此優點包括在較低溫度下比氯更高的反應性,且溴比Cl更易自前驅物分 子移除,此為使前驅物結合至基板表面所必需的。在替代實施例中,鹵素為碘。碘比溴及氯兩者更易於置換。然而,在鹵素為碘之情況中,在移除副產物碘化氫氣體時可能有潛在困難。
取決於所要求之膜,前驅物可基於用於SiC/SiCN膜之碳矽烷或基於用於SiN膜之矽烷。碳矽烷(有時亦稱為有機矽烷)為含有碳矽共價鍵之化合物。多種碳矽烷適合於產生SiC膜及SiCN膜。然而,根據某些實施例,應選取碳矽烷前驅物以便在沉積膜中減少破碎。膜破碎成揮發性斷片防止緻密化且造成可流動應用中之收縮及裂化。碳矽烷可為直鏈、支鏈或環狀。特別適合類型之碳矽烷為在兩個矽原子之間含有橋聯亞甲基以便將該亞甲基中之碳鍵結至兩個矽原子之碳矽烷。可將兩個矽原子中之任一者、兩者皆或兩者皆不鹵化或假鹵化。具有延伸之Si-C-Si主鏈之較高碳矽烷為尤其適合的,因為該等碳矽烷傾向於脫氫緻密化反應而非破碎。在另一實施例中,碳矽烷分別含有橋聯CH基或介於三個或四個矽原子之間的簡單C原子。或者,碳矽烷前驅物可能不具有直接鍵結至兩個矽原子之橋聯碳原子。無此等橋聯亞甲基之前驅物(諸如最初僅含有末端甲基取代基之彼等前驅物)可能在電漿激發時經歷重排以形成亞甲基橋碳矽烷且因此亦為適合的,儘管在此情況下亦存在Si-CH3取代基之Si-C鍵之實質***。
含有交替Si-C-Si-C-Si鍵之延伸更長主鏈的聚碳矽烷 (諸如1,3,5-三矽戊烷)為尤其適合的。適合的碳矽烷前驅物之實例包括但不局限於1,3,5-三矽戊烷、1,3,5-三矽環己烷、1,4-二矽丁烷、1,3-二矽丁烷、1,3-二矽丙烷及1,3-二矽環丁烷。在特定實施例中,碳矽烷前驅物為1,3-二矽丁烷。在另一特定實施例中,碳矽烷前驅物為1,3,5-三矽戊烷。在要求碳之所要位準且前驅物僅含有末端甲基取代基之情況下,大體必需以具有最終膜中所要之至少兩倍之Si:C比值之前驅物開始。
矽烷為烷烴之矽類似物且可用來沉積SiN膜。矽烷前驅物可為直鏈、支鏈或環狀。該等前驅物亦可具有多種氫化作用。適合的矽烷包括含有至少一個Si-H鍵之任何揮發性含矽前驅物,該至少一個Si-H鍵可用鹵素基或假鹵素基取代。適合的矽烷前驅物之實例包括但不局限於三矽烷胺(TSA)、二矽烷、三矽烷及新戊矽烷。
適合的假鹵素為與Si形成鍵之彼等假鹵素,該假鹵素與Si形成之鍵具有與鹵矽鍵相似的N-H鍵反應性。適合的假鹵素之實例為氰基(CN)取代基。在其他實施例中,可將兩個或三個Si-H鍵鹵化、氰化或替代為另一適合的假鹵素。
可使用諸如氰基取代基之假鹵素代替鹵素。使用假鹵素具有超出使用含鹵素前驅物之潛在優點。在將氨用作共反應物之情況下存在一個此優點。當以此方式使用氨時,形成且聚集固體NH4X(其中X表示鹵素)副產物。在替代使用氰基時,形成類似氰化銨(NH4CN)。NH4CN 為在大約40℃下昇華/***之揮發性固體,且因此不干擾原子層沉積製程。使用氰矽烷類型前驅物之另一優點在於避免強的氫鹵酸副產物,類似HCl、HBr或HI。該等氫鹵酸可對製程裝備或存在於正處理之晶圓中之材料有腐蝕性。然而,氰基之使用可能產生毒氣。因此,若使用氰基,則應採取措施以確保完全破壞所產生之HCN氣體,以及基於矽腈前驅物與水分或質子性溶劑或質子酸之任何意外接觸。應沿著全部前驅物傳遞路徑放置HCN偵測器,且應採用直接或遠端電漿腔室清洗規程以確保在製程腔室對周圍空氣開放以用於檢查或維護之前破壞全部含氰化物之化合物或材料。
舉例而言,碳矽烷1,3,5-三矽戊烷可與適合的鹵化試劑反應以將八個反應性Si-H鍵中之一者替代為鹵素原子。因此,若使用溴,則將形成1-溴-1,3,5-三矽戊烷。當然,有可能反應將取代給定前驅物上之一個以上的溴原子。因此,由於所得產物之不同揮發性,純化可能為必要的。可使用各種方法執行鹵化作用。在一個實施例中,含Si-H前驅物可與鹵素直接反應。因此,在要求溴化之情況下,含Si-H前驅物可與Br2反應以替代氫中之一者且獲得Si-Br鍵。此反應將產生HBr作為對應副產物。在替代實施例中,可藉由使含Si-H前驅物與CuBr2反應實現溴化以獲得Si-Br鍵,產生CuBr/Cu副產物。
在一或更多實施例中,碳矽烷前驅物可基於具有至少一個甲基取代基之鹵化或氰化之矽烷。此等前驅物含有 中央矽原子及四個取代基,該四個取代基包括至少一個鹵素基或氰基及至少一個甲基。在一或更多實施例中,鹵素可能選自F、Cl、Br及I。因此,例如前驅物可包含二甲基氯矽烷。在替代實施例中,將前驅物氰化代替鹵化。因此,在一或更多實施例中,前驅物具有由以下表示之結構: 其中,R1係選自鹵素或假鹵素中之一或更多者,R2為甲基且R3及R4各獨立為鹵素或假鹵素、甲基或氫。在進一步實施例中,鹵素或假鹵素係選自F、Cl、Br、I及氰基中之一或更多者。
儘管此等前驅物不以在至少兩個矽原子之間橋聯亞甲基為特徵,但認為,脫氫作用允許甲基之交聯,從而產生與使用具有橋聯亞甲基之前驅物沉積之膜相似的膜。因此,該等較小前驅物可充當具有橋聯亞甲基之前驅物的相對便宜之替代物。然而,在一些實施例中,相對於使用具有至少一個橋聯亞甲基之前驅物沉積之時,使用基於具有至少一個甲基取代基之鹵化或氰化之矽烷的前驅物可產生更多碳損失。
該等鹵矽烷、鹵碳矽烷、假鹵矽烷、假鹵碳矽烷及相 關衍生物與表面N-H官能基反應。碳矽烷或矽烷附著至表面上之氮原子,且用氫原子消除鹵素或假鹵素。因此,例如,在所使用鹵素為溴之情況下,HBr為反應之副產物。此反應為提供用於自限反應機制之反應,該反應提供具有碳矽烷前驅物或矽烷前驅物之單層表面,可用在此描述之電漿序列處理該單層表面。舉例而言,可使用脫氫電漿,此導致形成SiC層。
可取決於電漿功率、曝露時間及溫度調整矽對碳之比值。舉例而言,藉由使用後處理電漿用氮原子替代碳原子可在SiCN組成物中容易地減少C:Si之比值。可藉由利用含有較高初始C對Si比值之前驅物增加C對Si之比值。通常,可將在兩個矽原子之間的橋聯位置處含有碳之碳矽烷前驅物固結至具有有效碳保持之碳化物類型陶瓷。另一方面,在前驅物不含有橋聯碳原子之情況下,碳不被保持至此程度。舉例而言,基於甲基矽烷之前驅物經歷具有實質上碳損失之固结。
本發明之另一態樣係關於將基板表面曝露至電漿,作為形成膜或層之製程之一部分。將具有結合之含SiH前驅物之表面曝露至脫氫電漿。適合的脫氫電漿包括但不局限於H2、He及Ar。然後將表面曝露至氮化電漿。適合的氮化電漿包括但不局限於N2及氨。可實質同時或順序地進行至電漿之曝露。實質同時意指將基板表面同時曝露至兩個電漿,且一次對一電漿之曝露時間很短。當順序地進行時,可首先施加脫氫電漿,接著施加氮化電 漿。可使用任何數量的序列。在一個實施例中,電漿曝露可發生在製程之每個步驟中。在另一實施例中,電漿曝露可每隔一個步驟而發生。在使用基於碳矽烷前驅物之情況中,曝露至脫氫電漿產生SiC之沉積。隨後曝露至氮化電漿產生SiC膜至SiCN之轉換。脫氫作用及氮化作用在沉積膜上生成N-H官能基,N-H官能基可用於下一個沉積週期。
因此,本發明之一個態樣係關於一種在基板表面上形成層之方法,該方法包含:提供與鹵化物或氰基部分反應之基板表面;使與鹵化物或氰基部分反應之基板表面曝露至包括碳、矽及氫之鹵化或氰化之碳矽烷前驅物以形成含有碳、矽及氫之層;使含有碳、矽及氫之層至少局部地脫氫;及使含有碳、矽及氫之層氮化。在此態樣之一個實施例中,氮化作用經由使含有碳、矽及氫之層曝露至含氮電漿而發生。在另一實施例中,基板表面在形成層期間處於小於約200℃之溫度下。在進一步實施例中,溫度為小於約100℃。
在相關實施例中,使含有碳、矽及氫之層脫氫包含:使含有碳、矽及氫之層曝露至含有氫、氦及氬中之至少一者之電漿。在另一實施例中,含有碳、矽及氫之層的氮化作用包含:使含有碳、矽及氫之層曝露至含氮電漿。
在此態樣之一個實施例中,所使用之碳矽烷為直鏈、支鏈或環狀。在更具體實施例中,碳矽烷係選自由以下物質組成之群組:1,3,5-三矽戊烷及1,3,5-三矽環己烷、 雙(叔丁胺基)矽烷。在又一更具體實施例中,碳矽烷為1,3,5-三矽戊烷。在另一實施例中,將該等碳矽烷鹵化,其中鹵素係選自由以下物質組成之群組:Br、I及Cl。在具體實施例中,鹵素為Br。
在此態樣之一個實施例中,脫氫作用及氮化作用實質同時發生。與之相比,在另一實施例中,脫氫作用及氮化作用順序地發生。
類似製程可用於其他含SiH矽烷以使該等含SiH矽烷在原子層沉積序列期間與表面N-H基反應。含SiH矽烷之活化亦需要用鹵素或假鹵素替代鍵結至矽原子之氫中之一者。在一個實施例中,溴、氯及碘為適合的鹵素。在具體實施例中,所使用之前驅物為用溴鹵化之矽烷。氰基亦為適合的假鹵素。藉由活化及使用該等前驅物可獲得SiN膜。
舉例而言,在一個實施例中,可藉由用鹵素或假鹵素替代九個相同氫原子中之任一者來鹵化三矽烷胺(TSA)。因此可使用Br2將三矽烷胺溴化以形成單溴三矽烷胺。然後可在SiN膜之低溫原子層沉積中使用此等前驅物。
因此,本發明之另一態樣係關於一種在基板表面上形成層之方法,該方法包含:提供與鹵化物或氰基部分反應之基板表面;使與鹵化物或氰基部分反應之基板表面曝露至包括矽及氫之鹵化或氰化之矽烷前驅物以形成含有矽及氫之層;使含有矽及氫之層至少局部地脫氫;及 使包括矽及氫之層氮化,其中使層氮化包含:使含有矽及氫之層曝露至含氮電漿。在一個實施例中,基板表面在形成層期間處於小於約200℃之溫度下。在更具體實施例中,基板表面在形成層期間處於小於約100℃之溫度下。在具體實施例中,矽烷前驅物包含二矽烷、三矽烷、新戊矽烷及三矽烷胺中之至少一者。在此態樣之另一實施例中,鹵化或氰化之矽烷前驅物進一步包含碳。在具體實施例中,包含碳之矽烷前驅物係選自由以下所組成之群組:1,3,5-三矽戊烷及1,3,5-三矽環己烷,即為包括在矽原子之間具有橋聯亞甲基之任何其他前驅物。在此態樣之在一個實施例中,處理基板表面以減少基板表面上氫之數量包含:使基板表面曝露至脫氫電漿。在進一步實施例中,其中脫氫電漿係選自由以下物質組成之群組:Ar電漿、He電漿及H2電漿。在一個實施例中,脫氫及氮化實質同時發生。與之相比,在另一實施例中,脫氫及氮化順序地發生。
特別關注第一遍沉積,因為鹵化之碳矽烷及矽烷之使用依賴於Si-X與諸如N-H基之表面把手之反應。當提供基板表面時,在表面上可能沒有官能基用於與活化之碳矽烷或矽烷反應。在此情況下,將需要以添加官能基之方式處理基板。藉由添加N-H基至表面之方式可獲得官能基。亦可添加O-H基作為替代,或同時添加N-H及O-H基。可藉由用必需官能基沉積另一膜之薄層來執行該等官能基之添加。在一個實施例中,若可容許非保形 性,則可經由另一方法沉積SiC之層。舉例而言,經由化學氣相沉積可沉積約5埃至約10埃。
使表面與鹵化物或氰基部分反應之另一方法為藉由使基板表面曝露至電漿。在一個實施例中,添加約10埃之另一膜。在另一實施例中,添加約5埃。此方法之一個實例為藉由以極低功率含氮電漿開始。儘管不希望受特定理論約束,但將以低功率電漿(例如,20 W)激發含有官能基之前驅物認為是生成可用於驅動表面飽和之移動寡聚物(可能處於液相)。儘管此製程可能不為完全自限的,但實驗證據已顯示沉積速率與溫度具有反比關係,該反比關係可指示較大且局部可逆的縮合組分。此製程可用於沉積起始層及/或可用來模擬自限吸附步驟,從而准許一或更多電漿步驟之系統最佳化以提供所要膜性質且促進真正ALD生長行為。
在一些情況下,使用此等低功率電漿步驟沉積之膜的保形性可為充分保形的,以便甚至在後續緻密化之後,該等膜可提供「類似ALD」之保形性。增強此保形性之有用方式為在活化序列結束時使用電漿活化步驟,諸如產生N-H鍵之形成的步驟,該電漿活化步驟促進在低功率電漿步驟中沉積之前驅物之第一單層的不可逆附著,同時將後續沉積之材料可逆結合,且可再進入氣相且在後續淨化步驟期間淨化掉。儘管在前驅物之引入之前且在電漿緻密化之後立即實行之最終表面活化步驟可包括氮電漿,但該最終表面活化步驟亦可包括非電漿步驟, 諸如表面至氨氣(NH3)流之簡單曝露。應注意,在此等條件下,有可能使用反應性碳矽烷前驅物(諸如1,3,5-三矽戊烷)「原樣」(無包括鹵化作用或氰化作用之改質)以形成用於許多應用之可接受保形膜。在一個實施例中,可將基板曝露至低功率電漿,如含氮電漿。大體而言,含有Si、C及H之「種晶」膜至含有N之電漿的曝露有效用於產生顯示N-H官能基之膜,藉由在FT IR中介於約3200 cm-1與約3600 cm-1之間的特性吸收之生長而可偵測N-H官能基。典型條件需要在0.5托至20托範圍內之壓力及介於25 W與500 W之間的RF功率位準(13.56 MHz,直接電漿),例如在4托之總壓力及介於約1托與3托之間的氮之分壓(平衡為He或Ar)下持續時間達2秒時之100 W的RF功率位準。在正處理之膜含有極少H(例如若已經執行電漿製程以移除H)之情況下,亦可將少量的氫添加至電漿混合物以促進更多N-H鍵之產生。
因此,提供與鹵素部分及假鹵素部分反應之基板表面之一種方式係關於一種在基板表面上形成層之方法,該方法包含:提供基板;使基板表面曝露至含有橋聯至少兩個矽原子之至少一個碳原子之碳矽烷前驅物;使碳矽烷前驅物曝露至低功率能源以在基板表面處提供碳矽烷;使碳矽烷緻密;及使碳矽烷表面曝露至氮源。然後可重複製程以使用未活化前驅物添加額外層。
因此,此沉積方法之實施例在該等實施例相對於當前 矽前驅物之應用而不自限之意義上不為真正的PEALD製程,儘管該等實施例實現類似ALD之結果。沉積膜實現高位準之保形性。另外,可用活化取代基(本質上良好的離去基)之引入實現自限沉積,此將導致該等活化取代基與在最終氮電漿活化序列中產生之表面N-H官能基反應。儘管不希望受任何特定理論約束,但認為,對氮源之曝露誘發氮***Si-H鍵以在表面上得到N-H官能基。該等N-H基然後可能在後續步驟中如上所述與鹵化或氰化之前驅物反應以實現自限反應。因此,本發明之此態樣提供基板,該基板具有與鹵化物或氰基部分反應之基板表面。
在具體實施例中,碳矽烷前驅物用來產生SiC之薄膜,該等碳矽烷前驅物含有橋聯至少兩個矽原子之至少一個碳原子。在一些實施例中,接著可藉由自SiC置換一些碳原子來將該等SiC之薄膜轉換至SiCN。如在此所描述,碳矽烷前驅物用來沉積含矽膜之薄層。儘管不希望受任何特定理論之約束,但認為,將碳矽烷在曝露至低功率能源之後聚合在基板表面上。使碳矽烷前驅物曝露至低功率能源,此舉在基板表面上形成前驅物層。在一個實施例中,使碳矽烷前驅物曝露至低功率能源包含:使碳矽烷前驅物曝露至電子束。在另一實施例中,使碳矽烷前驅物曝露至低功率能源包含:使碳矽烷前驅物曝露至低功率電漿。在具體實施例中,低功率電漿具有約10 W至約200 W之值。在另一實施例中,使前驅 物曝露至低功率電漿長達約0.10秒與約5.0秒之間。
已證明碳矽烷前驅物經歷對富矽SiC之有效緻密化/脫氫作用。在一個實施例中,電漿誘發緻密化/脫氫作用。可將含氦、氬及/或氫的電漿用於脫氫作用。在具體實施例中,脫氫作用包括含有H2之電漿之使用。
除緻密化/脫氫作用之外,可藉由氮化作用將氮引入至SiC層內以形成SiCN。此氮化作用藉由使碳矽烷表面曝露至氮源而發生。在一個實施例中,此氮源包含流動氨。在替代實施例中,氮化作用經由曝露至氮化電漿而發生。在更具體實施例中,此氮化電漿包含N2。在又一替代實施例中,氮化作用不發生。
使用相對低的RF功率條件及在低於先前可用溫度之溫度下可完成該等沉積製程。在先前方法中,超過500℃之較高溫度為必要的。在具體實施例中,在沉積期間之基板溫度可低於約200℃。在一些實施例中,基板溫度可低於100℃。
用於此非鹵化前驅物沉積方法之碳矽烷可為直鏈、支鏈或環狀。特別適合類型之碳矽烷為在至少兩個矽原子之間含有橋聯亞甲基以便將亞甲基中之碳鍵結至至少兩個矽原子之碳矽烷。在進一步實施例中,亞甲基橋聯兩個矽原子。可將兩個矽原子中之任一者、兩者皆或兩者皆不鹵化或假鹵化。具有延伸之Si-C-Si主鏈之較高碳矽烷為尤其適合的,因為該等碳矽烷傾向於脫氫緻密化反應而非破碎。在另一實施例中,碳矽烷分別含有橋聯 CH2基或介於三個或四個矽原子之間的簡單C原子。無此等橋聯碳之前驅物,諸如最初僅含有末端甲基取代基之彼等前驅物,可能在電漿激發時經歷重排以形成亞甲基橋碳矽烷且因此亦為適合的,儘管在此情況下亦可存在Si-CH3取代基之Si-C鍵之實質***。
含有交替Si-C-Si-C-Si鍵之延伸更長之主鏈的聚碳矽烷(諸如1,3,5-三矽戊烷)對於此方法尤其較佳。適合的碳矽烷前驅物之實例包括但不局限於1,3,5-三矽戊烷、1,3,5-三矽環己烷、1,3-二矽丁烷、1,3-二矽丙烷及1,3-二矽環丁烷。在特定實施例中,碳矽烷前驅物為1,3-二矽丁烷。在另一特定實施例中,碳矽烷前驅物為1,3,5-三矽戊烷。在要求碳之所要位準且前驅物僅含有末端甲基取代基之情況下,大體必需以具有最終膜中所要之至少兩倍Si:C比值之前驅物開始。
本發明之另一態樣係關於一種在基板上形成層之方法,該方法包含:提供於基板表面上具有矽及氫之基板;使基板表面曝露至含氮電漿以增強基板表面與矽鹵鍵或矽氰鍵之反應;使基板表面與鹵化或氰化之前驅物反應;及使基板表面曝露至脫氫電漿以減少基板表面上氫的數量。在此態樣之具體實施例中,鹵化矽物種或矽氰基物種進一步含有碳。在一個實施例中,基板表面在形成層期間處於小於約200℃之溫度下。在更具體實施例中,基板表面在形成層期間處於小於約100℃之溫度下。
在一些實施例中,可將於在此所描述之方法期間可使 用之電漿系統及處理腔室或多個處理腔室執行於PRODUCER系統、CENTURA系統或ENDURA系統上,所有此等系統可購自加州聖大克勞拉市之應用材料公司。可在共同讓渡之美國專利第6,878,206號、第6,916,398號及第7,780,785號中發現適合的ALD處理腔室之詳細描述。
如上所述之SiCN膜及SiN膜之低溫原子層沉積之方法亦可用於沉積極薄、無缺陷且保形的膜以應用於電子工業之外。此等應用包括製備阻障層及鈍化層。另外,低溫反應性將使製程適用於有機基板之塗覆,該等有機基板包括植物衍生及動物衍生之組織及材料。
實例:實例1
使用表1中列出之條件使用1,3,5-三矽戊烷沉積三個SiCN膜。以第一步驟中使用之極低功率(20 W)及短時間(0.25秒),使用多步PECVD沉積及處理類型序列形成膜1、膜2及膜3。此極低功率和短曝露時間每週期形成3 Å至4 Å之「種晶」層。在完成此第一步驟後,關閉1,3,5-三矽戊烷前驅物之流動。繼續惰性氣體之流動直至將前驅物之殘餘痕量自製程腔室淨化。一旦完成淨化後,重新調整氣體流動並穩定於選擇用於第一電漿處理步驟之數值,且再次用於第二電漿處理步驟,如表1所示。在完成整個序列之後,接著重複全部週期直至達到 所要膜厚度,在此所報告的對該膜厚度之量測為至少100 Å且更大體為200 Å厚度。
膜1、膜2及膜3相對於所使用之電漿緻密化步驟及氮化作用步驟不同。將膜2以與膜1相同之方式沉積,且以對He/Ar電漿之曝露為特徵。將膜3以與膜2相同之方式沉積,但以100 W的氮電漿為特徵,而非對膜1及膜2所使用之200 W。表1亦顯示在使用拉塞福背向散射決定之各種條件下沉積之所有三個膜之元素組成物。應注意,在此特別情況下不執行對氫含量之膜分析,儘管在該等膜中可能存在餘留下來之殘餘氫。比較實例2所描述之前驅物HMDS所衍生之膜的資料之最相關的為C:Si比值及N:Si比值,可獨立於H含量計算該等比值。因為1,3,5-三矽戊烷不含氮,故可將併入1,3,5-三矽戊烷所衍生之膜內之所有氮歸於在電漿處理期間添加之氮氣之存在。具體處理條件之選擇提供用於調整最終膜組成物之一些手段。
蝕刻行為經決定為非線性的,且儘管不希望受任何特定理論之約束,但該蝕刻行為似乎包括薄氧化表面層之相對快速移除,在此之後延長至蝕刻劑之曝露幾乎不具有效果。然而,為比較結果與實例2之彼等結果之一致性,在100:1 HF中基於5分鐘蝕刻時間報告速度。使用6:1 BOE(6份濃縮之NH4F/1份濃縮之HF)觀察到相似行為。
第1A圖至第1C圖為實例1之SiCN層之傅立葉轉換紅外線(Fourier transform infrared;FTIR)光譜之圖解表示。在第1C圖中表示膜1,膜1為典型基線製程縮合。將膜2表示在第1B圖中。將膜3表示在第1A圖中。將三個資料集中之每一者正規化。在約3300 cm-1處之峰值對應於N-H鍵結。在約2300 cm-1處之峰值對應於周圍空氣中存在之CO2。集中在大約900 cm-1處之寬峰值對應於SiCN及自膜1所見之偏移。自膜1至膜3所見 之偏移可歸因於增加之碳含量,該偏移亦對應於該等膜對濕HF蝕刻化學增加之抗性。
實例2:使用前驅物六甲基二矽氮烷(HMDS)沉積額外之SiCN膜4至SiCN膜6,該前驅物六甲基二矽氮烷具有化學式[(CH3)3Si]2NH。因此,此HMDS不含有橋聯至少兩個矽原子之碳原子。HMDS具有3:1之碳對矽之比值,且各矽原子鍵結至三個甲基取代基及一個氮。使用類似於沉積膜1至膜3中所使用之一系列週期沉積,用於膜4、膜5及膜6之沉積,同時在下文表2中列出結果。在各情況下,類似於對實例1中用於1,3,5-三矽戊烷之條件,使用Ar載氣自壓力控制之蒸汽吸力安瓿傳遞HMDS,在20 W RF、6托壓力下沉積「種晶」層。沉積速度經決定與相對於時間/週期之總電漿成近似線性關係,且在初始步驟後緊跟長時間惰性氣體淨化以自腔室移除殘餘前驅物。僅使用氫電漿處理週期沉積膜4。先使用H2電漿沉積膜5接著再使用N2電漿。使用包含H2及N2之混合物之電漿沉積膜6。
表2亦顯示膜4至膜6之元素含量以及100:1之濕HF蝕刻速度,該含量藉由拉塞福背向散射決定。應注意,與膜1至膜3不同,用於膜4至膜6之拉塞福背向散射分析包括膜中氫含量之決定。因此,在膜1至膜3與膜4至膜6之間的直接比較受限於碳對矽或氮對矽之比值。
第2圖至第4圖分別為膜4至膜6之傅立葉轉換紅外線(FTIR)光譜之圖解表示。第2圖中之結果表示僅使用H2電漿之沉積。第3圖中之結果表示使用H2電漿之沉積,隨後為類似於實例1中所應用之彼處理之N2電漿(處於序列中)處理。第4圖中之結果表示使用包含H2及N2之混合物之電漿的沉積,且導致碳自膜之完全移除。
與用1,3,5-三矽戊烷之操作相對照,發現為在約800 cm-1至1000 cm-1處減少IR光譜中之C-H吸收且誘發SiCN區域中之生長所必需的條件會導致碳之實質上的移除。事實上,無需任何額外處理,藉由RBS所決定的C:Si比值自3:1之初始值跌至僅1.3:1。儘管在100:1 HF中緩慢移除膜4,但包括短N2電漿步驟之額外步驟(如膜5中所見且類似於實例1膜中所使用之彼等步驟)之 應用,或將H2電漿及N2電漿組合成為單個步驟之替代製程(如膜6中所見),具有顯著較高的碳損失,且顯示對100:1 HF蝕刻的低抗性。
令人感興趣的是,添加至用於膜4製程之製程的各週期以產生膜5之N2電漿步驟產生自1.3:1降低至0.72:1之C:Si比值,且結果仍高於對1,3,5-三矽戊烷所衍生之膜1至膜3所量測的在0.38:1與0.58:1之間的比值。然而是1,3,5-三矽戊烷所衍生之膜顯示優越的蝕刻抗性。
儘管不希望受任何特定理論約束,但該等結果表明,相對於最初存在於末端甲基之形式中之碳,存在於前驅物(及自此等前驅物衍生之低功率種晶膜)中之橋聯碳原子經更有效地保持及轉換以蝕刻抗性碳化物。此外,應注意,需要較高RF功率位準及較長H2及/或惰性氣體電漿處理時間以促進HMDS衍生之種晶縮合至近似1,3,5-三矽戊烷衍生之膜的性質的位準。使用最終氮電漿步驟(為該等膜轉換至SiCN所需要之步驟)製備實例1之所有膜,在此之後,該等膜顯示為仍顯示對濕HF蝕刻製程之相當高(及有用)的抗性。然而,在膜5之製備(前驅物HMDS所衍生)中應用相似製程導致膜5之HF蝕刻抗性之損失,儘管最終C:Si比值仍高於(0.75)在1,3,5-三矽戊烷所衍生之膜的任何膜中所量測之比值。可以推斷,最初呈現為介於Si原子之間的「橋聯」亞甲基之碳轉換至對蝕刻行為具有比僅使用組合分析可估計的更大影響之形式。在1,3,5-三矽戊烷之情況下, 氮電漿步驟之添加可有效併入氮而不對C:Si比值(該C:Si比值在膜3之情況下自由前驅物中之比值計算之值0.67:1跌至0.53:1)有大的影響。在用於HMDS膜4之緻密化製程結束時添加類似氮電漿步驟導致對碳含量之更顯著影響(自1.3跌至0.72伴隨蝕刻抗性之嚴重劣化),此表明在各情況下保持碳之鍵結為顯著不同的。
儘管確實有可能藉由將活化離去基併至HMDS分子上而實現具有更優秀自限反應之製程(藉由用鹵化物或氰化物替代甲基取代基中之一者),但此前驅物之穩定性可能受潛在反應嚴重損害,即使已經存在稍微阻礙之N-H鍵。為此,具有橋聯碳及反應性Si-H鍵兩者之前驅物(諸如1,3,5-三矽戊烷)尤其適合作為SiCN前驅物,因為將碳有效率地保持,同時仍准許引入氮(例如藉由***至Si-H鍵或Si-Si鍵內)。此舉導致產生最初不存在於前驅物本身中的反應性官能基,從而使採用在此所描述之各種「活化」衍生物之方案能夠使用,將不期望該等方案中之大多數或所有為用已經存在於分子中之N-H官能基可行的,如HMDS所衍生之材料的情況。
因此,實例2之膜顯示,展示所需要蝕刻性質之組成物要求基於更長時間及更有腐蝕性的H2/惰性電漿的緻密化步驟,在此之後,膜仍非足夠穩定以准許使用氮電漿活化步驟而無碳及蝕刻抗性之顯著損失。此證明根據本發明之各種實施例沉積之實例1膜之優越性。
因此,相對於擁有諸如甲基(-CH3)之非橋聯碳取代基 之更常見前驅物,諸如1,3,5-三矽戊烷之前驅物(該等前驅物在Si原子之間的橋聯位置併入碳)存在明顯優點,當靶向應用要求膜對諸如HF(100:1之H2O/濃縮HF)之化學物品或經設計以快速蝕刻SiO2之諸如緩衝氧化物蝕刻之混合物(6:1之濃縮NH4F與濃縮HF之混合物)顯示高濕蝕刻抗性時,此優點尤其明顯。
本說明書全文對「一個實施例」、「某些實施例」、「一或更多實施例」或「實施例」之引用意指在本發明之至少一個實施例中包括結合實施例描述之特定特徵、結構、材料或特性。因此,本說明書全文各處中之諸如「在一或更多實施例中」、「在某些實施例中」、「在一個實施例中」或「在實施例中」之詞組的出現並非必須指代本發明之相同實施例。此外,可將特定特徵、結構、材料或特性以任何適合方式結合在一或更多實施例中。
儘管在此參考具體實施例描述本發明,但應理解,該等實施例僅說明本發明之原理及應用。熟習此項技術者將顯而易見,可對本發明之方法及設備進行各種修改及變化而不脫離本發明之精神及範疇。因此,意圖為本發明包括修改及變化,該等修改及變化在附加申請專利範圍及該等申請專利範圍之等效物之範疇內。
第1A圖至第1C圖為根據本發明之實施例形成之三個 SiCN膜之傅立葉轉換紅外光譜;第2圖為根據本發明之實施例形成之SiCN膜之傅立葉轉換紅外光譜;第3圖為根據本發明之實施例形成之SiCN膜之傅立葉轉換紅外光譜;及第4圖為根據本發明之實施例形成之SiCN膜之傅立葉轉換紅外光譜。

Claims (20)

  1. 一種在一基板表面上形成一層之方法,該方法包含以下步驟:提供與鹵化物或氰基部分反應之一基板表面;使與鹵化物或氰基部分反應之該基板表面曝露至包括碳、矽及氫之一鹵化或氰化之碳矽烷前驅物以形成含有碳、矽及氫之一層;使含有碳、矽及氫之該層至少局部地脫氫;以及使含有碳、矽及氫之該層氮化。
  2. 如請求項1所述之方法,其中使該層氮化之步驟包含以下步驟:使含有碳、矽及氫之該層曝露至一含氮電漿。
  3. 如請求項1所述之方法,其中該基板表面在形成該層期間處於小於約200℃之一溫度下。
  4. 如請求項1所述之方法,其中將該碳矽烷前驅物單鹵化。
  5. 如請求項1所述之方法,其中該碳矽烷前驅物具有由以下表示之一結構: 其中,R1係選自一鹵素或假鹵素中之一或更多者,R2為一甲基且R3及R4各獨立為一鹵素或假鹵素、甲基或氫。
  6. 如請求項1所述之方法,其中該碳矽烷前驅物含有一橋聯亞甲基,其中將該亞甲基中之該碳鍵結至兩個矽原子。
  7. 如請求項1所述之方法,其中該碳矽烷前驅物係選自由以下物質組成之群組:1,3,5-三矽戊烷、1,3-二矽丁烷、1,3-二矽環丁烷及1,3,5-三矽環己烷。
  8. 如請求項1所述之方法,其中使含有碳、矽及氫之該層脫氫之步驟包含以下步驟:使含有碳、矽及氫之該層曝露至含有氫、氦及氬中之至少一者之一電漿。
  9. 如請求項1所述之方法,其中脫氫作用及氮化作用實質同時或順序地發生。
  10. 如請求項1所述之方法,其中該碳矽烷前驅物在鹵化作用或氰化作用之前為對稱的。
  11. 一種在一基板表面上形成一層之方法,該方法包含以下步驟:提供與鹵化物或氰基部分反應之一基板表面;使與鹵化物或氰基部分反應之該基板表面曝露至包括矽及氫之一鹵化或氰化之矽烷前驅物以形成含有矽及氫之一層;使含有矽及氫之該層至少局部地脫氫;以及使包括矽及氫之該層氮化,其中使該層氮化之步驟包含以下步驟:使含有矽及氫之該層曝露至一含氮電漿。
  12. 如請求項11所述之方法,其中該基板表面在形成該層期間處於小於約200℃之一溫度下。
  13. 如請求項11所述之方法,其中將該矽烷前驅物單鹵化。
  14. 如請求項11所述之方法,其中該矽烷前驅物包含二矽烷、三矽烷、新戊矽烷及三矽烷胺中之至少一者。
  15. 如請求項11所述之方法,其中該鹵化或氰化之矽烷前驅物進一步包含碳以提供一碳矽烷前驅物。
  16. 如請求項15所述之方法,其中該碳矽烷前驅物含有一橋聯亞甲基,其中將該亞甲基中之該碳鍵結至兩個矽原 子。
  17. 如請求項11所述之方法,其中處理該基板表面以減少該基板表面上氫之數量之步驟包含以下步驟:使該基板表面曝露至一脫氫電漿。
  18. 如請求項11所述之方法,其中該脫氫電漿係選自由以下組成之群組:Ar電漿、He電漿及H2電漿。
  19. 如請求項11所述之方法,其中脫氫作用及氮化作用實質同時或順序地發生。
  20. 一種在一基板表面上形成一層之方法,該方法包含以下步驟:提供在基板表面上具有矽及氫之一基板;使該基板表面曝露至一含氮電漿以增強該基板表面與鹵化矽鍵或氰化矽鍵之反應性;使該基板之該表面與一鹵化或氰化之矽烷前驅物或碳矽烷前驅物反應;以及使該基板表面曝露至脫氫電漿以減少該基板表面上氫之數量。
TW101131794A 2011-09-13 2012-08-31 用於低溫電漿輔助沉積的活化矽前驅物 TW201319299A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201161534128P 2011-09-13 2011-09-13

Publications (1)

Publication Number Publication Date
TW201319299A true TW201319299A (zh) 2013-05-16

Family

ID=47880895

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101131794A TW201319299A (zh) 2011-09-13 2012-08-31 用於低溫電漿輔助沉積的活化矽前驅物

Country Status (4)

Country Link
US (1) US8821986B2 (zh)
KR (1) KR20140074942A (zh)
TW (1) TW201319299A (zh)
WO (1) WO2013039866A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI635196B (zh) * 2016-07-20 2018-09-11 美光科技公司 矽硫族化物前驅物、形成矽硫族化物前驅物之方法及形成氮化矽及半導體結構之相關方法
TWI720741B (zh) * 2016-07-27 2021-03-01 美商慧盛材料美國責任有限公司 用於形成碳摻雜氧化矽膜的矽前驅物化合物及方法、使用及容納有該化合物的用途及容器

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US10023958B2 (en) * 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US9577134B2 (en) 2013-12-09 2017-02-21 Sunpower Corporation Solar cell emitter region fabrication using self-aligned implant and cap
US9401450B2 (en) 2013-12-09 2016-07-26 Sunpower Corporation Solar cell emitter region fabrication using ion implantation
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US20160307748A1 (en) * 2015-04-20 2016-10-20 Applied Materials, Inc. Deposition Of Si-H Free Silicon Nitride
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9944829B2 (en) 2015-12-03 2018-04-17 Treliant Fang Halite salts as silicon carbide etchants for enhancing CMP material removal rate for SiC wafer
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
EP3367425A1 (en) * 2017-02-28 2018-08-29 IMEC vzw A method for direct bonding of semiconductor substrates
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10957543B2 (en) * 2017-09-29 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method of dielectric layer
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
WO2021097022A1 (en) * 2019-11-12 2021-05-20 Applied Materials, Inc. Silyl pseudohalides for silicon containing films
US11987882B2 (en) 2020-09-30 2024-05-21 Gelest, Inc. Silicon carbide thin films and vapor deposition methods thereof
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
US11658025B2 (en) * 2021-01-18 2023-05-23 Applied Materials, Inc. Chalcogen precursors for deposition of silicon nitride

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960012710B1 (ko) 1993-10-11 1996-09-24 한국화학연구소 단일 유기규소 화합물을 이용한 탄화규소 막의 제조
JP4049214B2 (ja) 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US7396563B2 (en) * 2002-05-23 2008-07-08 Sixtron Advanced Materials, Inc. Ceramic thin film on various substrates, and process for producing same
US6730802B2 (en) 2002-07-09 2004-05-04 Starfire Systems, Inc. Silicon carbide precursor
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
JP2010519773A (ja) 2007-02-27 2010-06-03 シクストロン アドヴァンスド マテリアルズ、インコーポレイテッド 基板上に膜を形成するための方法
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
JP5459896B2 (ja) * 2007-03-05 2014-04-02 株式会社半導体エネルギー研究所 配線及び記憶素子の作製方法
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US8212337B2 (en) * 2008-01-10 2012-07-03 International Business Machines Corporation Advanced low k cap film formation process for nano electronic devices
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
WO2011056550A1 (en) * 2009-10-27 2011-05-12 Silcotek Corp. Chemical vapor deposition coating, article, and method
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5489950B2 (ja) * 2010-10-20 2014-05-14 株式会社アルバック シリカ膜前駆体組成物の製造方法及びシリカ膜
KR20130135261A (ko) * 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
US8441006B2 (en) * 2010-12-23 2013-05-14 Intel Corporation Cyclic carbosilane dielectric films
US8329599B2 (en) * 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
WO2013039881A2 (en) * 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI635196B (zh) * 2016-07-20 2018-09-11 美光科技公司 矽硫族化物前驅物、形成矽硫族化物前驅物之方法及形成氮化矽及半導體結構之相關方法
TWI720741B (zh) * 2016-07-27 2021-03-01 美商慧盛材料美國責任有限公司 用於形成碳摻雜氧化矽膜的矽前驅物化合物及方法、使用及容納有該化合物的用途及容器

Also Published As

Publication number Publication date
WO2013039866A4 (en) 2013-06-27
KR20140074942A (ko) 2014-06-18
US8821986B2 (en) 2014-09-02
US20130071580A1 (en) 2013-03-21
WO2013039866A2 (en) 2013-03-21
WO2013039866A3 (en) 2013-05-10

Similar Documents

Publication Publication Date Title
TW201319299A (zh) 用於低溫電漿輔助沉積的活化矽前驅物
KR102478568B1 (ko) 질화규소 막을 증착시키는 방법
JP2023182658A (ja) 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
JP6856388B2 (ja) ケイ素含有膜の高温原子層堆積
TWI496934B (zh) 用於沉積碳摻雜含矽膜的組合物及方法
JP5102393B2 (ja) ケイ素含有フィルムの低温堆積
TWI361226B (en) Pretreatment processes within a batch ald reactor
TW200422424A (en) Low temperature deposition of silicon oxides and oxynitrides
US8932674B2 (en) Vapor deposition methods of SiCOH low-k films
JP6343032B2 (ja) 新規なアミノシリルアミン化合物、および原子層蒸着法を用いたSi‐N結合を含む絶縁膜の製造方法
TW201111540A (en) Boron film interface engineering
TW201422630A (zh) 烷氧基甲矽烷基胺化合物及其應用
KR20170019668A (ko) 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
KR20170038023A (ko) SiCON의 저온 분자층 증착
JP7007377B2 (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
TW202035430A (zh) 用於含矽膜的組合物及使用其的方法
TWI733795B (zh) 具碳、氧及氮成分控制之沉積SiCON的方法
JP2004260192A (ja) シロキサン化合物を利用した二酸化シリコン膜の形成方法
JP7256263B2 (ja) ケイ素含有膜の高温原子層堆積
JP7472312B2 (ja) ケイ素含有膜を調製するための前駆体及び方法
JP7164789B2 (ja) 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
KR20170089422A (ko) 저온에서의 실리콘-함유 박막 형성방법
TW202010746A (zh) 含錫之前驅物及沉積含錫薄膜之方法
WO2014152826A1 (en) Deposition of films using disiloxane precursors
TW201137157A (en) Methods to prepare silicon-containing films