TWI595112B - 次飽和之原子層沉積及保形膜沉積 - Google Patents

次飽和之原子層沉積及保形膜沉積 Download PDF

Info

Publication number
TWI595112B
TWI595112B TW102138326A TW102138326A TWI595112B TW I595112 B TWI595112 B TW I595112B TW 102138326 A TW102138326 A TW 102138326A TW 102138326 A TW102138326 A TW 102138326A TW I595112 B TWI595112 B TW I595112B
Authority
TW
Taiwan
Prior art keywords
reactant
film
dose
plasma
substrate
Prior art date
Application number
TW102138326A
Other languages
English (en)
Other versions
TW201430164A (zh
Inventor
珊卡 史旺明內森
康虎
艾里恩 拉芙依
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201430164A publication Critical patent/TW201430164A/zh
Application granted granted Critical
Publication of TWI595112B publication Critical patent/TWI595112B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

次飽和之原子層沉積及保形膜沉積
本申請案主張於西元2012年10月23日申請之美國專利暫時申請案第61/717,576號的優先權,該美國專利暫時申請案的名稱為”SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION”,其內容於此藉由參照全體納入作為本案揭示內容的一部分以及用於所有目的。
本發明係關於沉積膜於基板表面的方法及裝置。
原子層沉積(ALD)製程可用以沉積各種不同薄膜層以生產製造半導體元件。在習知的CFD製程中,每個半反應包含飽和作用。在一些情況下,有些ALD導致晶圓上不完全的膜沉積、膜島狀化、及膜厚度變化。用於處理不完全膜沉積的若干方式可包含過量用劑(overdosing),其藉由使用較長劑量時間來使晶圓表面飽和於膜前驅物。然而,在膜成核階段中,延長的劑量時間可浪費珍貴的前驅物。延長製程時間的附加效應可能會使製程工具生產率縮減而需要安裝與維護額外的製程工具以維持生產線。藉由此種方法所產生的膜亦可具有無法充分提供元件性能的物理、化學、或電特性。
用於沉積膜於基板表面的多種方法和裝置係描述於此。該方法涉及了電漿活化表面介導反應,藉此讓膜經由反應物吸附和反應的多個循環來生長。根據各種不同的實施例,於此描述的方法包含多個循環的以 下操作:提供未飽和基板表面量級之第一反應物以形成次飽和層;接著進行該次飽和層的電漿活化形成次單層的該膜。
在一實施態樣中,提供了一種沉積膜於基板表面上的方法。根據不同的實施例,基板被設置於反應腔室中。在允許第一反應物吸附至基板表面上的條件下,氣相的第一反應物被導入至反應腔室中。在不同的實施例中,次飽和劑量的氣相第二反應物於劑量時間被導入至反應腔室中。在多個實施例中,當第二反應物的劑量停止,基板周期性地曝露於電漿,以驅動位於基板表面上的第一和第二反應物之間的表面反應來形成薄膜。
部份實施例中,當反應物的至少其中一者於氣相中時,電漿會被點燃且曝露於基板。在不同的實施例中,沉積溫度係約小於200℃。在部份實施例中,沉積溫度係約小於150℃。
在不同的實施例中,電漿曝露具有至少50J/ml的轉換效率,其中轉換效率係施加於次飽和劑量中的每單位第二反應物通量的電漿能量的量。在部份實施例中,轉換效率係至少150J/ml。
根據部份實施例,第一反應物係氧化劑。在數個實施例中,在導入第二反應物的劑量之前,第二反應物的飽和劑量係經由劑量飽和曲線來決定。在各種不同的實施例中,次飽和劑量約小於4微升的第二反應物。部份實施例中,次飽和劑量約小於60%的飽和劑量。
根據部份實施例,在曝露基板於電漿之前,進行一段沖洗時間來沖洗掉剩餘的氣相第二反應物,且沖洗時間對次飽合劑量時間比係約小於2。在各種不同的實施例中,第二反應物係帶有至少50Da周圍原子分子量的前驅物分子。部份實施中,第二反應物係帶有至少140Da周圍原子分子量的前驅物分子。
根據不同的實施例,沉積膜係約小於0.5Å厚。在一些實施中,沉積膜係約小於0.1Å厚。許多實施例中,沉積膜具有小於1%的晶圓內不均勻度。
另一實施態樣中,提供了一種沉積膜於基板表面上的方法。基板被設置於單站式或多站式的反應腔室的反應站中。在多個實施例中,在允許第一反應物吸附至基板表面上的條件下,氣相的第一反應物被導入 至腔室中。在不同實施例中,,氣相第二反應物的一劑量接著被導入至反應腔室中,其中第二反應物的該劑量係一次飽和劑量。在許多實施例中,當第二反應物的該劑量已停止,將基板表面係周期性地曝露於電漿,以驅動位於基板表面上的第一及第二反應物之間的表面反應來形成薄膜。在許多實施例中,經產生的電漿具有至少大約每腔室200焦耳的能量。
在許多實施例中,沉積溫度係約小於150℃。在不同的實施例中,在導入第二反應物的該劑量之前,第二反應物的該劑量係經由劑量飽和曲線來決定。根據部份實施例,電漿的曝露約具有至少50J/ml的轉換效率。在許多實施例中,沉積膜係約小於0.1Å厚。
另一實施態樣和用於處理半導體基板的一種裝置相關。根據不同的實施例,該裝置包含:一反應腔室、一進氣口(其用於輸送氣態反應物至反應腔室)、一電漿產生器(其用於提供電漿至反應腔室)、及一控制器。該控制器用於控制裝置中的操作,以及包含了機器可讀指令。該機器可讀指令用於:在允許第一反應物吸附至基板表面上的條件下,將氣相第一反應物導入至反應腔室中;於劑量時間期間,將氣相第二反應物的一劑量導入至反應腔室中,其中第二反應物的該劑量係一次飽和劑量;當第二反應物的該劑量已停止,以至少50J/mL的轉換效率週期性地供給電漿於該反應腔室中,以驅動於基板表面上的第一及第二反應物之間的表面反應以形成膜。
這些和其它的實施態樣會在以下進一步地參照圖式來描述。
500‧‧‧製程站
501‧‧‧反應物輸出系統
502‧‧‧製程腔室本體
503‧‧‧氣化點
504‧‧‧混合槽
506‧‧‧噴淋頭
507‧‧‧微容積
508‧‧‧底座
510‧‧‧加熱器
512‧‧‧基板
514‧‧‧電源供應器
516‧‧‧匹配網路
518‧‧‧蝶形閥
520‧‧‧進氣閥
600‧‧‧製程工具
602‧‧‧入站負載鎖室
604‧‧‧出站負載鎖室
606‧‧‧機器人
610‧‧‧通口
608‧‧‧箱
612‧‧‧底座
614‧‧‧製程腔室
616‧‧‧腔室傳送通口
618‧‧‧底座
690‧‧‧晶圓搬運系統
650‧‧‧系統控制器
652‧‧‧處理器
654‧‧‧儲存裝置
656‧‧‧記憶體裝置
658‧‧‧系統控制軟體
圖1係根據揭露的實施例示意性地說明用於範例電漿活化次飽和原子層沉積(SS-ALD)製程的時序圖。
圖2係根據揭露的實施例來示意性地說明用於另一範例電漿活化次飽和原子層沉積(SS-ALD)製程的時序圖。
圖3A說明根據各種不同實施例的劑量飽和曲線範例。
圖3B說明和使用不同的劑量時間沉積的氧化矽薄膜的沉積速率和非均勻度相關的數據。
圖4A說明關於使用不同劑量時間來沉積的氧化矽薄膜的沉 積速率和非均勻度的數據。
圖4B說明關於於不同溫度下被沉積的氧化矽薄膜之濕蝕刻速率特性的數據。
圖4C說明關於對於400℃下被沉積出的膜之濕蝕刻速率和轉換效率的數據。
圖4D說明關於對於200℃下被沉積出的薄膜之濕蝕刻速率和轉換效率的數據。
圖4E說明關於在50℃不同壓力下所沉積的膜之對熱氧化層的濕蝕刻速率比及高射頻能量的數據。
圖4F說明關於使用不同RF電漿開啟時間的沉積速率和非均勻度的數據。
圖4G說明關於使用不同注入後沖洗時間的沉積速率和非均勻度的相關數據。
圖5根據本揭露內容的實施例示意性地說明一種範例製程工具,該製程工具包含多個製程站和一控制器。
圖6根據本揭露內容的實施例示意性地說明一種範例製程站。
以下敘述中,為提供對所述實施例完整的了解,大量具體的細節會加以闡述。揭露的實施例可在沒有部份或是全部這些具體細節的情況下加以實施。其它情況下,眾所皆知的製程操作不會詳細地描述,以免不必要地模糊了揭露的實施例。雖然揭露的實施例和具體細節一同描述,吾人將能理解這不表示將這些揭露實施例僅限制於這些細節中。
在整合的製造過程中,半導體元件的製程通常包含沉積一個以上的薄膜於非平面的基板上。在整合製程的一些實施態樣中,沉積符合基板表面形態的薄膜可係有用的。譬如:氮化矽膜可沉積於一高架的閘堆疊頂部,以作為一間隔層,該間隔層用以保護輕摻雜源極與汲極區域免於受到後續離子植入製程影響。
原子層沉積(ALD)製程係使用表面介導(surface-mediated)沉積反應使膜逐層沉積。譬如:包含一群表面反應位置的基板表面可曝露 於第一膜前驅物(P1)的氣相分佈。P1的某些分子可在基板表面頂上形成凝相,其包含P1的化學吸附物種以及物理吸附分子。之後將反應器排空以去除氣相與物理吸附的P1,以便僅使化學吸附物種留下。然後將第二膜前驅物(P2)導入反應器,藉以讓P2的某些分子吸附至基板表面。反應器可再次被排空來去除未結合的P2。接著,提供至基板的熱能使P1與P2之吸附分子之間的表面反應活化,以形成膜層。最後,將反應器排空而去除反應副產物和可能未反應的P1與P2,以結束ALD循環。可使用多個ALD循環以建立薄膜的厚度。
保形膜沉積(CFD)或電漿輔助ALD也可用來使膜逐層沉積。使用CFD來形成膜的多種方法係描述於美國專利申請案第13/084,399號中,其藉由參照納入本案揭示內容。用於上下文,提供簡短的CFD敘述。
CFD循環可和本文各種的實施例討論有關。一般而言,一個循環係執行一次表面沉積反應所需之最小的操作組。一個習知CFD循環的結果係在基板表面上產生至少一部分膜層。習知的CFD循環可包含這些將每一反應物輸送並吸附至基板表面,然後使這些所吸附之反應物反應而形成部分膜層所需的步驟。此循環也可包含多個輔助步驟,例如:清掃反應物或副產物其中一者以上,或處理初沉積(as deposited)之部分膜。一個循環之例子可包含下列操作:(1)輸送/吸附一劑反應物A,(2)選擇性的沖洗或清掃,(3)輸送/吸附反應物B,(4)選擇性的沖洗或清掃,(5)施加電漿以驅動A與B的表面反應而在表面上形成部分膜層。在習知的ALD及CFD製程中,每個半反應(用劑和活化)包含飽和作用,因此上述操作(1)及(3)包含飽和作用,其藉由過量用劑來部分地完成。
許多半導體製程技術可需要特定厚度的膜,或膜厚度小於使用習知ALD和CFD方法每循環所沉積出的膜最小值。每循環沉積較薄的膜可允許沉積出任何希望厚度的膜。並且,半導體製造可需要具有較佳特性(如:經改善的濕蝕刻速率調節)的較密集膜。
本文所提供的係低劑量含矽前驅物製程,其用以形成藉由使用次飽合作用的保形膜沉積來形成含矽層。次飽和可定義為注入一劑反應物,其劑量小於一般用來達成飽和作用的反應物劑量,或小於藉由習知ALD或CFD方法來達成飽和作用的過量用劑劑量。用於揭露實施例,使用次飽 和作用的所述方法稱為「次飽和ALD」(SS-ALD)方法,其中SS-ALD方法也可包含了次飽和CFD方法和電漿增強PE-ALD方法。
藉由SS-ALD方法來沉積出的膜可具有以下特性:(1)藉由每沉積循環沉積非常薄的次飽和層,膜厚度可精確地被調整,在部份情況下,每循環的厚度係小於期望膜的最大鍵長;(2)聚集起來,可沉積出連續性的薄膜;(3)所沉積膜可具有改良的特性,如:改良的濕蝕刻速率控制;及(4)使用較少的前驅物且增加生產率,藉以讓清掃時間被減少或是排除。可藉由限制對表面之反應物物種的流量或劑量來控制未飽和。額外的變數可包含壓力、溫度、用劑流量、用劑時間及反應物物種的選擇。
圖1示意性地說明用於電漿活化SS-ALD製程的範例實施例的時序圖100。兩個完整的SS-ALD循環描繪於此。如圖示,每個循環包含反應物A的曝露階段120,緊接著為對反應物B的次飽和曝露階段140、反應物B的清掃階段160、及最後電漿活化階段180。在該描繪的實施例中,在一個反應物(反應物A)輸送後,沒有清掃階段被執行。事實上,反應物連續地流動於膜沉積製程期間。因此,當反應物A於氣相中時,電漿被點燃。在描述的實施例中,反應物氣體A和B可共存於氣相中卻不會起反應。於是,描述於SS-ALD製程中的一個以上製程步驟可於此範例的SS-ALD製程中被減短或是刪去。譬如:在A曝露階段120A和120B之後的清掃步驟可被刪去。
圖1也說明對不同SS-ALD製程參數的範例SS-ALD階段之時間進程的實施例。儘管吾人明白任何合適數量的沉積循環可包含在SS-ALD製程中,以便沉積出期望的膜厚度,但圖1描繪兩個範例沉積循環110A和110B。範例的SS-ALD製程參數包含(但非限制於此):次飽和的程度、電漿功率和頻率、惰性物種和連續流動反應物物種的流率、基板溫度及製程站壓力。
SS-ALD循環通常包含各反應物的曝露階段。在這個「曝露」階段期間,反應物被輸送至製程腔室,以便造成基板表面上的反應物吸附。通常,在曝露階段的初期,基板表面可不具有任何可察覺的被吸附反應物的量。圖1中,在反應物A曝露階段120A和120B,反應物A以受控的流率來供給至製程站,以便和基板曝露面上的反應位來起反應。反應物A可 係任何合適的沉積反應物,且於使用SS-ALD方法來沉積氧化矽膜的範例中,反應物A可係氧氣。
顯示於圖1的實施例中,在整個沉積循環110A及110B之中反應物A連續性地流動。反應物A和B被允許於SS-ALD製程的部份實施例中氣相混和,並且於任一循環中通常具有一氣相的次飽和反應物B量。部份實施例中,選擇反應物A與B,以便在電漿能量施加或表面反應活化之前,使其在反應腔室所遭遇到的條件下不會明顯相互產生反應而可在氣相中共存。在一些情況中,選擇這些反應物,藉以讓(1)反應物間的反應係熱力學有利(即:吉布斯自由能<0)以及(2)反應具有足夠高的活化能,因此在缺乏電漿活化的期望溫度下僅存在可忽略的反應。
雖然圖1顯示的實施例將反應物A曝露階段120A和B描述成具有不變的流率,但吾人能明白反應物A的任何合適流量(包含可變的流量)可應用於本揭露內容的範圍中。此外,雖然圖1於整個SS-ALD循環(沉積循環110A)期間顯示具有不變流率的反應物A,但這卻非必須的情況。譬如:於B曝露階段140A和140B期間,反應物A的流率可降低。此可增加反應物B的分壓且從而增加吸附於基板表面上的反應物B的驅動力。
選用性地,反應物A的曝露階段120A包含惰性氣體的受控流率。範例的惰性氣體包含(但非限制於此)氮氣、氬氣、及氦氣。惰性氣體可提供來協助製程站的壓力及/或溫度控制、液態前驅物的蒸發、前驅物更快速的輸送、以及/或作為清掃氣體(其用於從製程站及/或製程站管路中來移除製程氣體)。
在圖1中顯示的實施例之反應物B曝露階段140A,反應物B以受控的流率供給至製程站,以便於次飽合程度和曝露的基板表面上的反應位起反應。在範例的二氧化矽薄膜中,反應物B可係雙(第三丁基氨基)矽烷(SiH2(NHC(CH3)3)2)(BTBAS)。雖然圖1的實施例將反應物B的曝露階段140A描述成具有不變的流率,但吾人能明白反應物B的任何合適流量(包含可變流量)可應用於本揭露內容的範圍中。此外,吾人將能理解反應物B的曝露階段140A可具有任何合適的持續時間。選用性地,反應物B的曝露階段140A可包含合適惰性氣體的受控流量,該惰性氣體(就如以 上描述)可協助製程站的溫度及/或壓力控制、液態前驅物的蒸發、前驅物更快速的輸送,以及可預防製程站氣體的逆擴散。
另外或代替地,在部分實施例中,在反應物B的連續曝露之間可包含一個以上的清掃階段。例如:圖2的實施例示意性地顯示沉積循環210的示範SS-ALD製程時序圖200。在反應物B曝露階段260A,將次飽合劑量的反應物B接觸基板表面。接著,在清掃階段260A,將反應物B關閉,並且從製程站將反應物B的氣相物種去除。在一情況下,可藉由反應物A及/或惰性氣體的持續流動來移走氣相反應物B。在另一情況下,可藉由排空此製程站而去除氣相反應物B。氣相反應物B的去除可改變「吸附/脫附」製程平衡,以使配位基脫附,促進已吸附之反應物B的表面重排而合併已吸附之反應物B的不連續島狀部。在反應物B曝露階段260B,將反應物B再次接觸基板表面。雖然圖2所示之實施例包含一個反應物B清掃與曝露循環的一個實例,但吾人可明白在本發明的範圍內可使用任何適當重複數量的交替清掃與曝露循環。
回到圖1的實施例,在階段180A藉由電漿活化之前,於部分實施中,可在清掃階段160A中將氣相反應物B從製程站去除。除了上述曝露階段以外,一個SS-ALD循環可包含一個以上清掃階段。清掃製程站可避免反應物B易受電漿活化影響的氣相反應。此外,清掃製程站可去除可以以其他方式留下而污染此膜的表面吸附配位基。範例的清掃氣體包含(但不限制於此)氬、氦、以及氮。在圖1所示的實施例中,清掃階段160A用的清掃氣體係藉由惰性氣體流加以供應。在一些實施例中,清掃階段160A可包含用以排空製程站的一個以上排空子階段。或者,在許多實施例中可省略清掃階段160A。
清掃階段160A可具有任何適當的持續期間。在部分實施例中,增加一個以上清掃氣體的流率可減少清掃階段160A的持續期間。例如:可依照各種反應物熱力學性質、及/或製程站和/或製程站管道的幾何性質來調整清掃氣體流率,以修改清掃階段160A的持續期間。在一範例中,清掃階段可被省略。如此可減少沉積循環時間,進而可改善基板產量。
除了上述曝露階段以及選擇的清掃階段以外,一SS-ALD循環一般包含「活化階段」。活化階段用以驅動吸附在基板表面上之一個以上 反應物的反應。在圖1所示之實施例的電漿活化階段180A,提供電漿能量以活化表面吸附反應物A與B之間的表面反應。例如:電漿可直接或間接活化反應物A的氣相分子以形成反應物A自由基。這些自由基之後可與次飽和表面吸附反應物B產生交互作用,以產生膜形成表面反應。沉積循環110A係結束於電漿活化階段180A,且於圖1實施例的沉積循環110A之後為沉積循環110B,其係起始於反應物A曝露階段120B。
在部分實施例中,於電漿活化階段180A中所點燃的電漿可在基板表面上方被直接形成。此可提供更大的電漿密度以及反應物A與B之間增加的表面反應速率。例如:可藉由使用兩個電容耦合板來對低壓氣體施加射頻(RF)場而產生用於SS-ALD製程的電漿。在替代實施例中,遠端產生的電漿可在主要反應腔室的外部被產生。
電漿活化階段180A可具有任何合適的持續時間。在部份實施中,電漿活化階段180A可具有超出一段時間的持續時間,該段時間用於使電漿活化的自由基和全部的曝露基板表面及吸附物來交互作用,因此最終形成一連續性薄膜於基板表面上。
雖然習知的CFD方法將基板曝露於反應物,藉以讓表面為飽和或過飽和狀態,但此處提供使用降低之流量之沉積膜的改良方法。降低流量已被發現能產生足夠的飽和狀態以及沉積速率。特別是,吾人發現在部分實施例中,使用SS-ALD的電漿活化階段(如圖1中的階段180A和180B以及圖2中的階段280A和280B)具有大於使用習知CFD或電漿輔助ALD方法的轉換率。如以下進一步地描述,此可提供具有改良特性的膜。
為了開始使用SS-ALD方法處理半導體晶圓,特定的次飽和劑量可係已知的。如此的劑量可藉由多種方法的其中一種來決定。譬如:劑量飽和曲線可用於決定使用於各個SS-ALD沉積循環的前驅物量值。劑量飽和曲線可針對任何特定的前驅物及製程條件而取得。譬如:圖3A顯示一般的劑量飽和曲線。對任何特定的前驅物而言,例如顯示於圖3A中的曲線將以每循環埃為單位的相對沉積速率顯示為取決於劑量值,該劑量值被計量成100%飽和量的百分比,其中飽和量係以完全覆蓋一特定晶圓表面所需要的前驅物反應物的量加以定義。劑量值通常藉由流率和劑量時間來測量。譬如:劑量值可被測量為每劑前驅物的前驅物通量(其以微升為單位)。 可藉由將流率(mL/min)乘以劑量時間(秒)且將量值轉換成微升以確定通量,計算出劑量值。
習知的CFD方法通常使用至少100%飽和量的劑量值。習知的方法也使用過度飽和方法或過量用劑法,如:125%或150%或甚至更多。本文提供的實施例中,劑量值可係圖3A次飽和狀態中的小於100%飽和點的任何量值。譬如:劑量值可係用於前驅物(如:BTBAS)的40%至60%的一般用量。在一具體的例子中,根據揭露的實施例,在一SS-ALD製程中,BTBAS的0.5mL/min流率可和0.2秒的用劑一起使用於300mm的晶圓。對於使用BTBAS於300mm晶圓上的沉積作用而言,小於每劑量4微升的前驅物通量可構成次飽和狀態。
由實驗結果獲得的劑量飽和曲線範例顯示於圖3B中。將以秒為單位的BTBAS的前驅物劑量相對於以Å/循環為單位的沉積速率作圖,以及由鑽石形狀的點和連接的曲線來呈現。沉積速率約於0.5秒的劑量時間之1.52Å/循環達到穩定。非均勻度(NU%)在0.3秒劑量時間及1.480Å/循環的沉積速率時係最低。這可係根據揭露的實施例可使用的次飽合狀態。
SS-ALD製程可應用於沉積任何數量不同類型的薄膜。氮化物和氧化物係作為主要探討的介電質材料,但碳化物、氮氧化物、碳摻雜的氧化物、硼化物等亦可形成。氧化物包含範圍廣泛的材料,其包括未經摻雜的矽酸鹽玻璃(USG)和經摻雜的矽酸鹽玻璃。經摻雜的玻璃例子包含:硼摻雜的矽酸鹽玻璃(BSG)、磷摻雜的矽酸鹽玻璃(PSG)、和硼磷摻雜矽酸鹽玻璃(BPSG)。譬如:SS-ALD製程可用以沉積氧化矽的薄膜。於此的實施例並未限制於特別的反應物或是薄膜類型。
提供反應物的例子。於此的敘述使用術語「主要」和「輔助」反應物。如本文所用,主要反應物包含在室溫下為固態的元素,該成分用於藉由SS-ALD形成的薄膜。這種元素的範例為金屬(如:鋁、鈦等)、半導體(如:矽和鍺)、和非金屬或類金屬(如:硼)。如本文所用,輔助反應物係非主要反應物的任何反應物。術語「共同反應物」有時用於意指輔助反應物。輔助反應物的範例包含氧、臭氧、氫、一氧化碳、一氧化二氮、氨、及烷基胺等。
任何合適的含矽反應物和氧化劑可用於氧化矽的沉積。同樣地,任何合適的含矽反應物和含氮反應物可用於矽氮化物的沉積。範例的流率可提供給300mm晶圓,且可對於其他尺寸的晶圓來適當地縮放。譬如:矽化合物可以為矽烷、鹵代矽烷、或氨基矽烷。
矽烷類包含氫基團及/或碳基團,但不會包含鹵素。矽烷類的例子為矽烷(SiH4)、乙矽烷(Si2H6)、以及特別是有機矽烷,例如:甲基矽烷、乙基矽烷、異丙基矽烷、第三丁基矽烷、二甲基矽烷、二乙基矽烷、二第三丁基矽烷、烯丙基矽烷、第二丁基矽烷、叔己基矽烷(thexylsilane)、異戊基矽烷、第三丁基二矽烷、以及二第三丁基二矽烷等。
鹵代矽烷類包含至少一個鹵基團以及可包含或不包含氫和/或碳基團。鹵代矽烷類的範例為碘矽烷、溴矽烷、氯矽烷、和氟矽烷。雖然鹵代矽烷(特別是氟矽烷)可形成可以蝕刻矽材料的反應性鹵化物物種,但在於此描述的某些實施例中,當電漿點燃時含矽反應物係不存在的。具體的氯矽烷為四氯矽烷(SiCl4)、三氯矽烷(HSiCl3)、二氯矽烷(H2SiCl2)、一氯矽烷(ClSiH3)、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、第三丁基氯矽烷、二第三丁基氯矽烷、氯異丙基矽烷、氯第二丁基矽烷、第三丁基二甲基氯矽烷、叔己基二甲基氯矽烷等等。
氨基矽烷類包含至少一個和矽原子鍵結的氮原子,但也可包含氫、氧、鹵素、和碳。氨基矽烷類的例子為一氨基矽烷、二氨基矽烷、三氨基矽烷、及四氨基矽烷(分別為H3Si(NH2)4、H2Si(NH2)2,、HSi(NH2)3、及Si(NH2)4)以及被取代的一氨基矽烷、二氨基矽烷、三氨基矽烷、及四氨基矽烷,譬如:第三丁基氨基矽烷、甲基氨基矽烷、第三丁基矽烷胺、雙(第三丁基氨基)矽烷(SiH2(NHC(CH3)3)2(BTBAS)、第三丁基矽烷基氨基甲酸酯、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3、二異丙基氨基矽烷、雙(二乙基氨基)矽烷、三(二甲基氨基)矽烷(TDMAS)等等。氨基矽烷類的進一步例子為三甲矽烷基胺(N(SiH3)3)。
在其它例中,沉積膜包含金屬。可被形成的含金屬膜之範例包含了鋁、鈦、鉿、鉭、鎢、錳、鎂、鍶等的氮化物和氧化物,以及元素金屬膜。範例的前驅物可包含金屬烷基胺、金屬烷氧化物、金屬烷基醯胺、金屬鹵化物、金屬β-二酮化合物、金屬羰基化合物、有機金屬化合物等。 適當的含金屬前驅物將包含希望被合併進膜中的金屬。譬如:含鉭層可藉由將五(二甲氨基)鉭與氨或是其它作為輔助反應物的還原劑來起反應而加以沉積。可被應用的含金屬前驅物的進一步範例包含三甲基鋁、四乙氧基鈦、四(二甲基胺基)鈦、四(乙基甲基胺基)鉿、雙(環戊二烯基)錳、和雙(正丙基環戊二烯基)鎂等。
在部份實施例中,沉積膜包含氮,且含氮反應物被使用。含氮反應物包含至少一個氮原子,譬如:氨、聯氨、胺類(例如帶有碳的氨類),如:甲基胺、二甲基胺、乙基胺、異丙基胺、第三丁基胺、二第三丁基胺、環丙基胺、第二丁基胺、環丁基胺、異戊基胺、2-甲基丁烷-2-胺(2-methylbutan-2-amine)、三甲基胺、二異丙基胺、二乙基異丙基胺、二第三丁基聯胺,以及包含胺的芳香族(如:苯胺、吡啶、和芐胺)。胺可為一級胺、二級胺、三級胺、或四級銨(例如:四烷基銨化合物)。含氮反應物可以含有非氮的雜原子,例如:羥基胺、第三丁氧羰基胺、及正第三丁基羥基胺均為含氮的反應物。
SS-ALD可特別地適用於沉積使用大前驅物分子的膜。在許多實施例中,主要反應物包含圍繞著每個金屬中心或類金屬中心的一大型官能基。大官能基可使用下列一個以上的特徵來定義:圍繞每個中心的原子數量、圍繞一圍繞中心而形成之鍵結的數量、圍繞一金屬中心的原子的分子量、內在的立體障礙效應(如:三級基團的蓬鬆度(bulkiness))、或是官能基的凡得瓦體積。每個圍繞原子的凡得瓦半徑可被判定以評估凡得瓦體積(其為被個別原子所佔據的體積)的值。凡得瓦體積也可藉由使用凡得瓦常數、極化率、莫耳折射率的實驗測量方法來測定出。
一大官能基可具有至少約50Da(道耳吞或統一原子量單位)、或至少約100Da、或至少約150Da的圍繞原子的分子量。譬如:BTBAS係一相當大的分子,其帶有圍繞單一矽原子的多個原子,包含兩個第三丁基胺(NHC(CH3)3)基團,具有約146Da圍繞原子的總分子量。
以SS-ALD方法的沉積允許使用大前驅物來沉積薄膜。不束縛於任何特定的理論,吾人相信因為被釋放於大前驅物反應物分子吸附上的配位基可阻礙表面上的一些反應位,因此更進一步地妨礙前驅物的吸附作用,所以習知ALD和CFD方法中的立體障礙可能妨礙沉積出非常薄、 無針孔的膜。在習知ALD和CFD方法中,且在任一個循環中,因為晶圓被反應物飽和,表面反應發生之後,先前被阻礙的反應位現在可被使用,但卻被更多已和鄰近反應位起反應的分子圍繞。
藉由使用描述於此的SS-ALD方法,可形成比使用習知方法還更薄且無針孔的膜。不束縛於任何特定理論,吾人相信下列機制可促進這些使用大前驅物之薄膜的沉積。首先,在一個循環中,將較少的前驅物導入反應空間中,藉以讓較高百分比的前驅物分子可以佔據反應位。接著,在電漿活化時(電漿活化進一步地增強表面上分子物種的移動性),一部份的配位基可被釋出,且之前被阻礙的反應位可供下個循環的大前驅物反應於此。和習知的ALD以及CFD相比,在任何一個循環中,因為晶圓係次飽和於前驅物分子,在表面反應發生後,剩餘的多個反應位(其現在可供前驅物分子和其起反應)被較少的鄰近反應分子圍繞。這現象增加了在下個循環中引入的前驅物分子在這些位置起反應的機率。並且,因為每個循環係次飽和的,所以會有較少的前驅物分子於反應空間中,以及因此會有較少前驅物分子(其反應於任一特定反應位)之間的競爭作用。不束縛於任何特定理論,吾人相信這樣的情形在每個次飽和劑量曝露期間導致較高的反應位覆蓋性,因此將降低了針孔形成的機率以及增加了膜的密度。因此,當操作SS-ALD一段時間時,能夠沉積出甚至更薄、更密集、且無針孔及變得均勻的膜。
在部份實施例中,連續性地流動的反應物係一輔助反應物,如:含氧氧化反應物。輔助反應物可在超飽和或飽和狀態水平下來流動。含氧氧化反應物的例子包含:氧、臭氧、一氧化二氮、一氧化碳等。雖然討論於此的多個例子包含兩個反應物(如:A反應物和B反應物、或一主要反應物和輔助反應物),但吾人將能理解任何合適數量的反應物可應用於本揭露內容的範圍中。在部份實施例中,可使用一單一反應物和一惰性氣體(該惰性氣體用於供給電漿能量於反應物的表面分解反應)。另外,有些實施例可使用三個以上的反應物來沉積薄膜。
連續性流動的反應物可以以不變的流率或是可變但受控的流率來提供。在後者的情形中,作為一個例子,當主要反應物被輸送時,輔助反應物的流率可於曝露階段期間下降。譬如:在氧化物沉積中,氧化 劑可在完整的沉積順序期間連續性地流動,但氧化劑的流率可在主要反應物(如:BTBAS)被輸送時下降。點燃電漿前不久,氧化劑的流量可被增加,以便減少BTBAS出現在電漿曝露階段期間的可能性。部份實施例中,在兩個以上的沉積循環的過程中,連續性流動的反應物以不同的流率來流動。譬如:反應物可以在第一SS-ALD循環期間以第一流率來流動,而在第二SS-ALD循環期間以第二流量速率來流動。
作為一個具體的例子,氧化物膜可藉由使用主要反應物(如:雙(第三丁基氨基)矽烷(BTBAS))的SS-ALD製程來沉積。在這個例子中,氧化物沉積製程包含輸送一種氧化劑(如:氧氣或一氧化二氮),該氧化劑初始地及連續性地流動在不同曝露階段中的主要反應物輸出期間。該氧化劑在不同的電漿曝露階段期間也持續流動。譬如:參見描述於圖1的順序。
如果多個氧化劑化合物被使用,那麼這些氧化劑就可以在輸送至反應腔室之前加以混合,或是輸送成個別的氧化劑流(stream)。在部份實施例中,氧化劑與用於清掃或沖洗操作以脈衝形式輸送的惰性氣體流一起連續性地被輸送。在部份實施例中,惰性氣體流動可係連續性的,無論是否為了沖洗操作增加惰性氣體流率。可選擇的清掃或是沖洗可以發生於電漿熄滅之後。
SS-ALD的「清掃」或「沖洗」的步驟或階段的概念出現在本文討論的不同實施例中。一般而言,清掃階段係從反應腔室去除或沖洗其中一氣相反應物並且通常僅在完成此種反應物的輸送之後發生。換言之,在清掃階段期間,此反應物不再被輸送到反應腔室。然而,在清掃階段期間,反應物仍然吸附在基板表面上。通常,此清掃用以在反應物吸附於基板表面上而達到期望程度後去除腔室中的任何殘留氣相反應物。清掃階段亦可從基板表面去除弱吸附物種(例如:某些前驅物配位基或反應副產物)。一般來說,除非在此以其他方式具體指定,否則清掃/沖洗階段可藉由下列方式加以實現:(i)排空反應腔室,及/或(ii)使不包含待清掃之物種的氣體通過反應腔室。在(ii)的情況下,此種氣體可例如為惰性氣體或輔助反應物,例如:持續流動的輔助反應物。
不同的實施例於不同的時間來執行清掃階段。譬如:在某些 情形中,一清掃步驟可發生於任何以下時間:(1)主要反應物的輸送之後,(2)輸送主要反應物的脈衝之間,(3)輔助反應物的輸送之後,(4)電漿曝露之前,(5)電漿曝露之後,以及(6)任何(1)至(5)的組合。若干這些時段可重疊。
在多個實施例中,清掃階段可實質上地減少或是省略。在SS-ALD期間,將較少量的前驅物或反應物導入至反應空間中,且因此在反應物被吸附於基板表面上之後,可有較少的剩餘氣相反應物位於腔室中。因此,較短的清掃階段可被使用,而不會有氣相反應之沉積的風險。
圖4A說明對於0.2秒劑量後沖洗及0.5秒劑量後沖洗,使用SS-ALD於不同劑量時間的沉積速率以及非均勻度。如圖所示,在0.2秒以及0.5秒的劑量後沖洗時間之間的變化並無顯著的不同;因此,0.2秒的劑量後沖洗時間係足夠用於沉積製程。最小化的沖洗時間意味著整體的生產率可增加。
在部份實施例中,高頻射頻(HFRF)電漿係被使用。範例的高頻RF頻率可包含(但非限制於此)介於1.8MHz以及2.45GHz之間的頻率。在部份實施中,使用雙重HF/LF RF的電漿。範例的低頻RF頻率可包含(但非限制於此)介於50kHz以及500kHz之間的頻率。
用於驅動電漿產生以及用於膜形成的RF電源可以以各種方式來進行說明。在一些情形中,會使用多站式的反應腔室,於此狀況下,則可有多個RF產生器來作用於多個基板上。於此列舉的RF功率位準反映了於帶有四個站的多站式工具中被輸出的功率。此外,當以瓦特為單位來敘述時,輸出的RF功率之絕對位準係關於當處理300mm晶圓時所輸出的功率。本文的技術可用於處理任何尺寸的基板,且功率位準隨基板的面積縮放。因此,RF功率位準也可以以功率密度(如:輸出的功率除以基板的面積)來敘述。基板的面積被計算為基板鍍面的表面積,但不考慮任何非平面的特徵部,換句話說,不論是否具有存在於表面上的特徵部(其將技術性地將表面積增加至基線量以上),一300mm直徑的基板被認為具有約707cm2的基板面積。
在各種不同揭露的實施例中,功率係介於約每站400瓦和每站10千瓦之間。在部份實施例中,功率係分佈於四個站的6kW。一些情況 中,RF功率係約介於每站1000-3000瓦之間(約介於每站1.4-4.3W/cm2之間),譬如:約介於每站1000-2500瓦之間(約介於每站1.4-3.5W/cm2之間)。然而,在高RF功率沒有被應用的情形中,RF功率可低至12瓦/站。除了其它優點外,這些高RF功率位準允許電漿曝露時間最小化,因而減少了製程時間且增加了生產率。由於使用次飽和等級的主要反應物而造成反應位的活化以及物種增加的移動性,因此高RF功率也可造成膜均勻度的改善。
在部分實施例中,可控制電漿產生器,以在電漿活化階段期間提供電漿能量的間歇脈衝。譬如:可以以一種以上頻率脈衝輸送電漿,其包含但(但非限制於此)介在10Hz與500Hz之間的頻率。相較於連續電漿,此可藉由降低離子轟擊的定向性而增加階梯覆蓋性。此外,如此可降低對基板的離子轟擊損傷。譬如:在連續電漿期間可藉由離子轟擊來侵蝕光阻基板。以脈衝方式來輸送電漿能量可降低光阻侵蝕。
雖然描述於圖1的SS-ALD製程實施例係電漿活化的,但吾人能明白其它非熱能來源可使用於本揭露內容的範圍中。非熱能來源的非限制性的例子包含(但非僅限於此)紫外線燈管、下游或遠端電漿源、感應耦合電漿、和微波表面波電漿。
可使用任何適當的氣體來形成電漿。在第一範例中,可使用像是氬或氦的惰性氣體來形成電漿。在第二範例中,可使用像是氧或氨的反應物氣體來形成電漿。在第三範例中,可使用像是氮的清掃氣體來形成電漿。當然,可使用這些種類氣體的組合。在這些板之間藉由RF場的氣體游離化點燃電漿,以在電漿放電區域內產生自由電子。這些電子藉由RF場進行加速並且可與氣相反應物分子碰撞。這些電子與反應物分子的碰撞可形成參與沉積製程的自由基物種。吾人可明白RF場可經由任何適當的電極而加以耦合。電極的非限制性範例包含製程氣體分佈噴淋頭以及基板支座。吾人可明白用於SS-ALD製程的電漿可藉由除了RF場對氣體之電容耦合以外的一種以上適當方法加以形成。
不像許多其他沉積製程,尤其係需要熱活化者,SS-ALD製程可在相對低的溫度下執行。一般而言,SS-ALD溫度可介於約20℃與400℃之間。可選擇此種溫度以允許在溫度敏感製程之情況下的沉積,例如:光阻核心(photoresist core)上的沉積。在一具體實施例中,將介於約20℃ 與100℃之間的溫度用於雙重圖案化應用(例如:使用光阻核心)。在另一實施例中,將介於約200℃與350℃之間的溫度用於記憶體製造製程。。
在各種不同的溫度下,SS-ALD沉積方法可用於完成不同濕蝕刻速率特性的膜。圖4B表示描述各種不同溫度下對於藉由SS-ALD沉積的膜的熱氧化沉積的各種濕蝕刻速率比(WERR)之實驗數據。譬如:在50℃下,顯示帶有8.0至16.0相對濕蝕刻速率比的膜,以及在100℃的沉積溫度下,SS-ALD膜呈現一個更加廣泛範圍(從2.0至15.0)的濕蝕刻速率比。當習知製程無法在低溫下沉積出低WERR的薄膜時,這樣的情形係值得注意。然而,使用本文描述的SS-ALD方法,利用壓力和電漿功率來調整WERR,具有不同WERR的膜可以在大範圍的溫度下加以沉積。根據不同的實施例,反應腔室中的壓力於至少電漿活化期間可介於約1Torr以及約100Torr之間。這樣的壓力也可被使用於循環的剩餘期間。在一些情形中,在電漿熄滅之後,於電漿後沖洗(若執行的話)之前、期間、或之後,可選用性抽真空至低於約1Torr(如:使用設定點0)。不受限於特定理論,吾人相信基於在高壓下支座和噴淋頭之間較佳的電漿侷限,以及於腔室遠端區域中的寄生電漿的減少,使得沉積膜具有較佳的效能。這樣的狀況可減少於遠端腔室區域中粒子剝落的可能性。
藉由SS-ALD所沉積的膜可以為任何期望的厚度,且可藉由測量於晶圓上不同點處的厚度以及計算整個晶圓上的平均厚度來測量厚度。因為並非全部的反應位在任何一個循環中具有沉積膜,所以一SS-ALD循環厚度可小於期望膜的最大鍵長。因此,SS-ALD膜甚至可比藉由習知ALD或CFD沉積出的最薄膜更薄,具有可調整至約0.1Å的厚度。譬如:於表1顯示的範例中,對於使用BTBAS之氧化矽膜的沉積而言,使用SS-ALD達成小於0.57Å/循環的膜沉積。此厚度甚至小於在氧化矽的Si和O之間的平均鍵長(1.62Å)。實驗數據收集到帶有約0.57Å、0.71Å、0.76Å、0.81Å、0.9Å、或0.97Å厚度之所達成的SS-ALD膜。在一例中,SS-ALD膜的沉積厚度係約小於0.1Å。實驗顯示SS-ALD方法可用於沉積約0.95Å厚的膜,該SS-ALD方法係藉由使用0.57Å/循環層的兩個循環,從而使用更多的循環來沉積出習知ALD於一個循環中所沉積出的量。溫度以及電漿功率的條件將習知的沉積ALD方法限制於每循環至少鍵長距離的沉積循 環。以ALD與SS-ALD沉積出的膜厚度的比較顯示於表1中。
如表1所示,與0.57Å/循環的使用BTBAS的SS-ALD沉積出的SiO2膜相比,習知0.95Å/循環的使用BTBAS的ALD沉積出的SiO2膜每循環產生較厚的膜。最小的循環厚度可依據使用的前驅物系統而變化。譬如:使用一特定輸送系統,使用相當未飽和(starved)劑量BTBAS的氧化矽之SS-ALD的循環厚度可低至0.4Å,而使用相同的輸送系統,其他ALD金屬氧化層沉積的循環厚度可低至0.1Å。最小的膜循環厚度可僅被用於供給主要反應物劑量的硬體來限制。
連續性的膜沉積也可藉由SS-ALD方法來完成。一非預期的SS-ALD益處係晶圓內非均勻度的降低。雖然膜可能沒有在任一個循環中連續地沉積,但總體來說,連續性膜的沉積由於重複SS-ALD循環的性質加以達成。不束縛於任何特定理論,吾人相信在每個次飽和循環期間,前驅物反應於各種不同分散的反應位,但隨著時間推移,每個循環造成前驅物反應於剩餘的反應位,以及總體來說,一密集、均勻的薄膜可被完成。此外,雖然主要反應物的吸附於任何一個次飽和循環中係分散的,但其在整個基板上實質上是均勻的。
不束縛於任何特定理論,吾人也相信因為RF電漿活化了反應位以及沉積膜,增加了物種的移動性而以較快的速率連續性地沉積膜,所以使用循環之間的RF電漿形成這些藉由SS-ALD所沉積薄而均勻的膜。使用次飽和層的RF活化作用的效率和轉換係大於使用飽和層者。
此外,不束縛於任何特定理論,因為由在較高流量下的不完全清掃階段所給予的寄生電漿輔助化學氣相沉積(PEVCD)被減少了,所以均勻連續性的膜可被完成。因為較少的前驅物量使用在每個循環中,所以較少的剩餘前驅物必須被移除,且寄生PEVCD發生於基板上的機率較 小。
SS-ALD具有多個應用。SS-ALD相當適合用於沉積在先進技術節點中的膜。因此,例如,SS-ALD製程可與32nm節點、22nm節點、16nm節點、11nm節點、以及超出任何這些節點的製程相結合。這些節點係被描述在國際半導體技術路線圖(ITRS,International Technology Roadmap for Semiconductors)、及多年之微電子技術需求的業界共識中。其通常參照記憶單元(memory cell)之1/2節距。在一具體範例中,SS-ALD製程係應用於「2X」裝置(其具有在20-29nm之範圍內的裝置特徵部)以及超出此裝置者。
雖然在此所描述之SS-ALD膜的大部分範例係關於以矽為基礎的微電子裝置,但這些膜亦可供應其它領域的應用。使用非矽半導體(例如:GaAs與其他III-V族半導體、以及例如HgCdTe之II-VI族材料)的微電子元件或光電子元件可從使用在此所揭露的SS-ALD製程而得到益處。對於太陽能領域(例如:光伏裝置)、電致變色領域、以及其他領域之保形介電膜的應用係可行的。
SS-ALD膜的其他示範應用包含(但非限制於此)用於後段製程互連隔離應用的保形低介電常數(low-k)膜(例如:在某些非限制性範例中,k約為3.0或以下)、蝕刻中止與間隔層應用的保形氮化矽膜、保形抗反射層、以及銅附著與阻障層。可使用SS-ALD來製造用於後段製程(BEOL)處理之許多不同組成的低介電常數介電質。範例包含:矽氧化物、摻氧碳化物、摻碳氧化物、氮氧化物、以及類似物。
在一情況下,SS-ALD製程可將保形二氧化矽膜沉積在非平面基板上。舉例而言,SS-ALD二氧化矽膜可用於結構的間隙填充,例如:淺溝渠隔離(STI)結構的溝渠填充。雖然以下所述之各種範例係關於間隙填充應用,但吾人可明白其僅為非限制性、例示性的應用,而利用其他適當膜材料的其他適當應用可在本發明的範圍內。SS-ALD二氧化矽膜的其他應用包含(但不限制於此)層間介電(ILD,interlayer dielectric)應用、金屬間介電(IMD,intermetal dielectric)應用、前金屬介電(PMD,pre-metal dielectric)應用、穿矽通孔(TSV,through-silicon via)之介電襯墊應用、電阻式RAM(ReRAM)應用、及/或DRAM中之堆疊電容製造應用。
經摻雜的氧化矽可被使用作為硼、磷、或甚至砷摻雜物的擴 散來源。例如,可使用摻雜硼之矽酸鹽玻璃(BSG)、摻雜磷之矽酸鹽玻璃(PSG)、或甚至摻雜硼磷之矽酸鹽玻璃(BPSG)。經摻雜之SS-ALD層可用以提供如三維電晶體結構(例如:多閘極鰭式FET之結構)以及三維記憶體裝置中的保形摻雜。習知離子植入器無法輕易對側壁進行摻雜,尤其係高縱橫比結構。
作為擴散來源的SS-ALD摻雜氧化物具有各種優點。首先,其在低溫下提供高保形度。相較之下,以低壓CVD所產生的摻雜TEOS(四乙基正矽酸鹽(tetraethylorthosilicate))係為人所知,但其需要高溫沉積,而次大氣壓(sub-atmospheric)CVD與PECVD摻雜氧化物膜在較低溫度下雖為可能得但會具有不充分的保形度。摻雜的保形度係重要的,然而此膜本身的保形度亦係如此,因為此膜一般為犧牲應用並且之後必須被去除。非保形膜在去除方面一般會面臨到更多的挑戰,即,某些區域可能會被過度蝕刻。
此外,SS-ALD提供極佳的受控摻雜濃度。如所敘述,SS-ALD製程可從之後進行摻雜單層的幾層未經摻雜氧化物層開始提供。摻雜的程度可藉由用來沉積摻雜層的頻率以及摻雜循環的條件而被嚴格控制。在某些實施例中,摻雜循環係藉由例如使用具有明顯立體阻礙的摻雜物來源而被加以控制。除了習知以矽為基礎的微電子元件以外,SS-ALD摻雜的其他應用包含基於III-V族半導體(例如:GaAs)以及II-VI族半導體(例如:HgCdTe)的微電子元件與光電子元件、光伏元件、平面顯示器、以及電致變色技術。
雖然上述各種SS-ALD沉積製程係針對單一膜類型的沉積、處理、及/或蝕刻,但吾人可明白在本發明之範圍內的某些SS-ALD製程可包含多個膜類型的原位沉積。例如,可原位沉積交替的膜類型層。在第一個情況下,可藉由氮化矽/氧化矽間隔堆疊的原位沉積來製造用於閘極裝置的雙間隔。此可減少循環時間並且增加製程站產量,以及可避免因潛在膜層不相容性所形成的層間缺陷。在第二個情況下,用於微影圖案化應用的抗反射層可被沉積成具有可調光學特性之SiON或非晶矽與SiOC的堆疊。
如以下表2所示,在CFD製程A中,氧化矽薄膜藉由習知 的CFD製程來沉積。
氧氣連續地流進腔室中。將前驅物(BTBAS)以2mL/min的流率間歇性流動0.3秒的劑量時間,接著進行0.3秒的沖洗、0.25秒的RF電漿供電階段、以及0.09秒的RF後沖洗。用於2000Å晶圓的BTBAS量係13.5g。
為了比較,進行四個試驗的製程,以沉積使用SS-ALD的氧化矽膜。對於四個製程而言,氧氣連續性地流進腔室中。如CFD製程A,在SS-ALD製程A、B、C、及D中,BTBAS間歇地流動0.3秒的劑量時間,接著進行0.3秒的沖洗、之後0.25秒的RF電漿供電階段、以及0.09秒的RF後沖洗。
在SS-ALD製程A中,BTBAS的流率係1.5mL/min且用於2000Å晶圓的BTBAS總量係10.125g,其小於使用在CFD製程A中的BTBAS量。生產率被測量出為6.5晶圓/小時(wph)。在SS-ALD製程B中,BTBAS的流率更降至1.0mL/min,以及總計6.7g的BTBAS被使用。生產率降至6.1wph。在SS-ALD製程C中,BTBAS的流率再降至0.5mL/min,以及總計僅3.38g的BTBAS被使用。生產率降低至5.4wph。
在SS-ALD製程D中,流率和SS-ALD製程C中的0.5mL/min相同、但劑量時間降低至0.2秒、沖洗時間降低至0.2秒、以及RF電漿供電時間降低至僅係0.15秒。這樣的結果為大幅降低的2.83g化學品的使用,以及相當顯著增加的7.0wph的生產率。
如表2數據表示,因為每循環使用較少的前驅物,所以藉由SS-ALD方法的沉積整體上可使用較少的前驅物。如此的沉積也可造成生產率改善。當清掃時間在SS-ALD製程D中降低時,晶圓產率係大幅增加。
在另一系列的實驗中,對各種不同的製程來測量生產率,其中膜沉積係使用帶有縮減的劑量後沖洗時間或RF電漿開啟時間的SS-ALD。這些實驗的結果顯示在以下表3中。
對於1mL/min的BTBAS流率的方法而言,使用具有0.3秒的劑量後沖洗時間和0.25秒的RF電漿開啟時間的習知的CFD來沉積膜。沉積速率係0.86Å/cycle,且整體的生產率係大於6.0wph。對540循環/站,不均勻度係1.45%。
使用相同流率但具有0.2秒的劑量後沖洗時間的SS-ALD來沉積膜。RF電漿時間和CFD製程1的電漿時間相同,以及生產率並未實質上地改變。不均勻度係1.47%而無實質改變。使用相同流量速率但具有降至 0.2秒的劑量後沖洗時間、及降低至0.15秒的RF電漿時間的SS-ALD來沉積膜。沉積速率係0.9Å/循環,且生產率仍然係超過6.0wph。同時,不均勻度降至1.36%。
在另一系列的實驗中,BTBAS以0.5mL/min來流動。使用習知的CFD來沉積膜,該CFD具有0.3秒劑量後沖洗時間、及0.25秒的RF電漿開啟時間、及0.76Å/循環的沉積速率。生產率係5.4wph,以及不均勻度係1.03%。
藉由使用相同0.5mL/min流量速率的SS-ALD來沉積薄膜,且劑量後時間降至0.2秒,RF電漿時間維持0.25秒,且沉積速率係0.76Å/循環。生產率係6.0wph以及不均勻度係1.12%。最後,使用具有降低的劑量後沖洗時間0.2秒、降低的RF電漿開啟時間0.15秒、及0.79Å/循環的沉積速率之SS-ALD來沉積薄膜。生產率增加至7.0wph,且具有相當顯著的不均勻度0.85%。
這些結果暗示可能由於降低的寄生PECVD以及/或每吸附分子的較大RF活化作用,而使得SS-ALD製程減少了化學物品的使用,提供較佳的晶圓內均勻度,且減少了缺陷的數量。可能由於減少了沖洗時間,而使得化學物品流量的減少也改進了生產率。
進行數個實驗,以測量在各種不同溫度下對熱氧化物的濕蝕刻速率比。實驗結果顯示於表4中。
轉換效率係相對於每單位在一劑量中的前驅物通量對每站所供應的RF能量。如由表4中的前兩個數據欄表示,在400℃下對於每劑量前驅物通量10微升,使用習知的CFD。被使用的前驅物的近似飽和劑量係4微升,其代表每劑的前驅物通量。如以上所示,藉由使用次飽合方法,對於高能的RF功率,對熱氧化物的濕蝕刻速率比改善了9%至14%。這些在400℃和200℃下的習知CFD和次飽和ALD方法顯示於圖4C和圖4D中。注意因為當轉換效率增加時,濕蝕刻速率則下降,所以降低的前驅物通量和RF活化的改變影響了藉由SS-ALD所沉積的連續薄膜的改良效能。轉換效率可係至少45J/mL、或至少100J/mL、或至少200J/mL。在部份實施例中,轉換效率可係至少225J/mL。
多個實驗被進行來判定於在由SS-ALD所沉積的薄膜中調節壓力及RF能量的影響。該結果顯示於圖4E中。RF能量的計算為「(RF功率)乘以(RF電源開啟的時間)」。在50℃低壓下進行沉積,達到對應調整的RF能量的對熱氧化物的廣範圍的濕蝕刻速率比。對在50℃及高壓下的SS-ALD而言,濕蝕刻速率比的範圍亦為寬廣的,其範圍從8.0至16.0。因此RF功率可被調整至所期望的濕蝕刻速率。較高的RF總能量導致較低的濕蝕刻速率,暗示基板表面上的RF活化有助於形成以SS-ALD沉積出的密集的、連續性的薄膜。
依據於800W RF功率下RF電漿暴露時間和沖洗時間,單變數測試(SVT)實驗等被進行來決定沉積速率以及非均勻度百分比。該結果表示於圖4F中。如圖所示,沉積速率穩定於RF電源開啟0.5秒,意味使用 0.5秒的RF電源,全部的次飽和沉積係完全地被轉化。在0.3秒的非均勻度係於0.28%的低點,其表示沉積出一平滑、均勻的薄膜。晶圓內非均勻度可藉由一些不同的方法來計算出。半範圍非均勻度係計算為:(最大值-最小值)/(2×平均值)。
RF沖洗時間的結果表示於圖4G中。該圖指出沉積速率在0.15秒的沖洗之後係穩定的,意味0.15秒的沖洗係足夠來清除任何剩餘的前驅物,因此減少了沖洗時間以及增加了生產率。非均勻度在0.15秒的沖洗後係穩定的,這與最佳的最小化沖洗時間一致。
裝置
吾人可明白任何適當的製程站可與上述一或多種實施例一起被使用。例如,圖5示意性地顯示SS-ALD製程站500的一實施例。為簡化之目的,將SS-ALD製程站500描繪成具有用以維持低壓環境之製程腔室本體502的獨立製程站。然而,吾人可明白在共同製程工具環境內可包含多個SS-ALD製程站500。例如,圖6描繪多站式製程工具600的一實施例。此外,吾人可明白在某些實施例中,可藉由一個以上電腦控制器以編程方式來調整包含以下所詳述之SS-ALD製程站500的一個以上的硬體參數。
SS-ALD製程站500係與反應物輸送系統501流體連通,以將製程氣體輸送到分佈噴淋頭506。反應物輸送系統501包含混合槽504,用於混合及/或調整製程氣體以輸送到噴淋頭506。一個以上的混合槽進氣閥520可控制製程氣體導入混合槽504。
一些反應物(例如:BTBAS)於氣化及後續輸送至製程站之前可以以液體形式加以儲存。例如,圖5的實施例包含用以氣化待供應至混合槽504之液體反應物的氣化點503。在一些實施例中,氣化點503可為加熱氣化器。由此種氣化器所產生的反應物蒸氣可在下游輸送管路中凝結。不相容氣體曝露於此凝結反應物可能會產生小顆粒。這些小顆粒可能會堵塞管路、妨礙閥操作、污染基板等等。用來對付這些問題的某些方法包含清掃及/或排空輸送管路以去除殘留的反應物。然而,清掃輸送管路可能會增加製程站循環時間,而降低製程站產量。因此,在某些範例中,氣化點503下游的輸送管路可為伴熱的(heat traced)。在一些實例中,混合槽504亦可為伴熱的。在一非限制性範例中,氣化點503下游的管路具有從約 100℃延伸到在混合槽504處約150℃的漸增溫度分佈。
在部分實施例中,反應物液體可在液體注入器進行氣化。例如,液體注入器可在混合槽的上游將液體反應物的脈衝注入到載氣流內。在一情況下,液體注入器可藉由使液體從較高壓力急驟轉至較低壓力而將反應物氣化。在另一情況下,液體注入器可將液體霧化成分散微滴,此分散微滴接著在加熱輸送管路中被氣化。吾人可明白較小的液滴可氣化得比較大的液滴更快,減少液體注入與完全氣化之間的延遲。較快的氣化可減少氣化點503下游之管路的長度。在一情況下,液體注入器可直接安裝於混合槽504。在另一情況下,液體注入器可直接安裝於噴淋頭506。
在部分實施例中,氣化點503上游的液體流量控制器可被設置來控制液體的質量流量,以氣化並輸送到製程站500之。例如,液體流量控制器(LFC)可包含位於此LFC下游的熱質量流量計(MFM)。於是,此LFC的柱塞閥可響應由與此MFM電連通之比例積分微分(PID,proportional-integral-derivative)控制器所提供的反饋控制信號而受到調整。然而,使用反饋控制來穩定液體流量可能會花上一秒以上的時間。此可能會延長注入液體反應物的時間。因此,在某些實施中,此LFC可動態地在反饋控制模式與直接控制模式之間進行切換。在一些實施例中,可藉由將此LFC的感測管以及此PID控制器去能而將此LFC動態地從反饋控制模式切換至直接控制模式。
噴淋頭506朝向基板512分佈製程氣體。在圖5所示之實施例中,基板512係設置在噴淋頭506的下方,並且被顯示置於底座508上。吾人可明白噴淋頭506可具有任何適當的形狀,以及可具有用以將製程氣體分佈至基板512之任何適當數量與排列的通口。
在部分實施例中,微容積507係位在噴淋頭506的下方。在微容積中而非在製程站的整個容積中執行SS-ALD製程可減少反應物曝露與清掃時間,可減少修改SS-ALD製程條件(例如:壓力、溫度等等)的時間,可限制製程站機器人對製程氣體的曝露等等。示範的微容積尺寸包含(但非限制於此)介於0.1升與2升之間的容積。這樣的情況也影響生產生產率。當每循環的沉積速率在SS-ALD中下降時,則循環時間也同時地減少。在某些例子中,後者的影響係相當足夠來改善用於指定目標膜厚度之 模組的整體生產率。
在部分實施例中,底座508可被升起或降下,以將基板512曝露於微容積507及/或改變微容積507的容量。例如,在基板傳送階段中,底座508可被降下,以允許基板512被裝載至底座508上。在SS-ALD製程階段期間,底座508可被升起,以將基板512定位在微容積507內。在一些實施例中,微容積507可完全包圍基板512與一部分的底座508,以便在SS-ALD製程期間產生高流阻抗的區域。
選用性地,在部分SS-ALD製程期間,底座508可被降下及/或升起,以調節微容積507內的製程壓力、反應物濃度等等。在製程腔室本體502於SS-ALD製程期間維持在基礎壓力的一情況下,降下底座508可允許微容積507被排空。微容積比上製程腔室容積的示範比例包含(但非限制於此)介於1:500和1:10之間的容積比例。吾人可明白在某些實施例中,可藉由適當的電腦控制器以編程方式來調整底座高度。
在另一情況下,調整底座508的高度可允許在SS-ALD製程中所包含的電漿活化及/或處理循環期間改變電漿密度。在SS-ALD製程階段結束時,底座508可在另一基板傳送階段期間被降下,以允許從底座508移除基板512。
雖然在此所述的示範微容積變化係關於高度可調式底座,但吾人可明白在某些實施例中,噴淋頭506的位置可相對於底座508而被調整,以改變微容積507的容量。此外,吾人可明白在本揭露內容的範圍內可藉由任何適當的機構來改變底座508及/或噴淋頭506的垂直位置。在部分實施例中,底座508可包含用以轉動基板512之方向的轉軸。吾人可明白在某些實施例中,可藉由一個以上適當的電腦控制器以編程方式來執行這些示範調整的其中一或多者。
返回到圖5所示之實施例,噴淋頭506及底座508係與RF電源供應器514及匹配網路516電性連通,以對電漿供電。在有些實施例中,可藉由控制製程站壓力、氣體濃度、RF來源功率、RF來源頻率、以及電漿功率脈衝時序之其中一者以上而控制電漿能量。譬如:RF電源供應器514以及匹配網路516可在任何適當的功率下操作,以形成具有期望自由基物種組成的電漿。前面敘述包含了合適的功率範例。同樣地,RF電源供應器 514可提供任何適當頻率的RF功率。在一些實施例中,RF電源供應器514可用以控制相互獨立的高頻與低頻RF電源。示範的低頻RF頻率可包含(但非限制於此)介於50kHz與500kHz之間的頻率。示範的高頻RF頻率可包含(但非限制於此)介於1.8MHz與2.45GHz之間的頻率。吾人可明白任何適當的參數可不連續或連續地調節,以便對表面反應提供電漿能量。在一非限制性範例中,可使電漿功率產生間歇性脈衝,以相對於連續供電電漿降低對基板表面的離子轟擊。
在部份實施例中,電漿可藉由一個以上的電漿監視器來原位監控。在一情形中,電漿功率可藉由一個以上的電壓、電流感應器(如:VI探針)來監控。在另一情形中,電漿密度及/或製程氣體的濃度可藉由一個以上的光放射光譜感測器(OES)來測量。一些實施例中,可基於出自此種原位電漿監視器的量測值而以編程方式來調整一個以上的電漿參數。例如:OES感測器可被使用在用以提供電漿功率編程控制的反饋迴路。吾人可明白在一些實施例中,其他監視器可用以監視電漿與其他製程特性。此種監視器可包含(但不限制於此)紅外線(IR)監視器、聲波監視器、以及壓力傳感器。
在部份實施例中,電漿可經由輸出/輸入(IOC)序列指令來控制。在一範例中,用以設定電漿製程階段之電漿條件的指令可被包含在SS-ALD製程配方的相對應電漿活化配方階段中。在某些情況下,可相繼安排製程配方階段,以便使SS-ALD製程階段的所有指令與此製程階段並行地被執行。在若干實施例中,設定一個以上電漿參數的指令可包含於在一電漿製程階段之前的一配方階段。例如:第一配方階段可包含用以設定惰性及/或反應物氣體之流率的指令、用以將電漿反應器設定至功率設定點的指令、以及用於第一配方階段的時間延遲指令。第二後續的配方階段可包含用以對電漿反應器賦能的指令以及用於第二配方階段的時間延遲指令。第三配方階段可包含用以將電漿反應器去能的指令以及用於第三配方階段的時間延遲指令。吾人可明白在本發明的範圍內可以任何適當的方式進一步細分及/或重複這些配方階段。
在習知沉積製程中,電漿點燃維持大約數秒以上等級的持續期間。在於此所述的各種執行中,在SS-ALD循環期間使用更加短暫的電漿 點燃。上述電漿點燃操作可在大約10ms到1秒等級,一般來說約20到80ms,在一具體範例中為50ms。此種非常短的RF電漿點燃需要極快的電漿穩定化。為達成此要求,電漿產生器可設置成使阻抗匹配被設定成預設於特定電壓,而頻率則被允許浮動。按慣例,高頻電漿係以約13.56MHz的RF頻率所產生。在於此所揭露的不同實施例中,頻率被允許浮動至與此標準值不同的值。藉由允許頻率浮動,並且將阻抗匹配固定至一預定電壓,電漿可更加快速地穩定化,此結果在使用與SS-ALD循環相關之非常短暫的電漿點燃時可係重要的。
在一些實施例中,可經由加熱器510而對底座508進行溫度控制。此外,在部份實施例中,可藉由蝶形閥518來提供對於SS-ALD製程站500的壓力控制。如圖5之實施例所示,蝶形閥518調節由下游真空幫浦(未圖示)所提供的真空。然而,在一些實施例中,亦可藉由改變導入到SS-ALD製程站500之一個以上氣體的流率來調整製程站500的壓力控制。
如上所述,一個以上製程站可被包含在一多站式製程工具內。圖6顯示具有入站負載鎖室602以及出站負載鎖室604之多站式製程工具600之一範例的示意圖,這些負載鎖室的其中一者或兩者可包含遠端電漿源。在大氣壓力下的機器人606用以將晶圓從透過箱(Pod)608所承載的卡匣(cassette)經由大氣通口610而移動到入站負載鎖室602內。藉由機器人606將晶圓放置在位於入站負載鎖室602中的底座612上,將大氣通口610關閉,並且對此負載鎖室進行抽氣。此處的入站負載鎖室602包含遠端電漿源,此晶圓可在被導入製程腔室614之前於此負載鎖室中曝露於遠端電漿處理。此外,舉例來說,此晶圓亦可在入站負載鎖室602中被加熱,以便去除水分以及吸附之氣體。接著,開啟通往製程腔室614的腔室傳送通口616,而另一個機器人(未圖示)則將此晶圓放置到反應器內並使其位於反應器所示之第一站的底座上以進行製程。雖然圖6所繪的實施例係包含負載鎖室,但吾人可明白在某些實施例中,晶圓可直接進入到製程站內。
所繪之製程腔室614包含四個製程站,在圖6所示之實施例中編號為1到4。每一站皆具有加熱底座(於站1顯示成618)、以及氣體管線入口。吾人可明白在部份實施例中,每一製程站可具有不同或多種目的。譬如:在一些實施例中,製程站可在CFD與PECVD製程模式之間進行切 換。或者或此外,在某些範例中,製程腔室614可包含一或多個配對的CFD與PECVD製程站。雖然所繪之製程腔室614係包含四個站,但吾人可瞭解根據本發明的揭露內容製程腔室可具有任何適當數量的站。例如,在一些實施例中,製程腔室可具有五個以上的站,但在其他實施例中,製程腔室可具有三個以下的站。
圖6描繪用以在製程腔室614內傳送晶圓之晶圓搬運系統690的一實施例。在一些實施例中,晶圓搬運系統690可在各種製程站之間及/或在製程站與負載鎖室之間傳送晶圓。吾人可明白任何適當的晶圓搬運系統可被使用。非限制性實施例包含晶圓旋轉料架以及晶圓搬運機器人。圖6亦描繪系統控制器650的一實施例,其用以控制製程工具600的製程條件與硬體狀態。系統控制器650可包含一個以上記憶體裝置656、一個以上大量儲存裝置654、以及一個以上處理器652。處理器652可包含CPU或電腦、類比及/或數位輸入/輸出連接部、步進馬達控制器電路板等等。
在部份實施例中,系統控制器650控制製程工具600的所有作業。系統控制器650執行系統控制軟體658,此軟體係被儲存在大量儲存裝置654中、被載入到記憶體裝置656中、以及在處理器652上被執行。系統控制軟體658可包含多個指令,該等指令用以控制時序、氣體之混合、次飽合氣體流量、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板底座、夾具及/或基座位置、以及由製程工具600所執行之特定製程之其他參數。系統控制軟體658可以以任何適當的方式加以設置。例如:可對各種製程工具元件子程序(subroutines)或控制物件進行編寫,以便控制執行各種製程工具製程所需之製程工具元件的操作。系統控制軟體658可以任何適當的電腦可讀程式化語言加以編碼。
在部分實施例中,系統控制軟體658可包含用以控制上述各種參數的輸入/輸出控制(IOC)序列指令。例如:SS-ALD製程的每一個階段可包含由系統控制器650所執行的一個以上的指令。用以設定SS-ALD製程階段用之製程條件的指令可被包含在對應的SS-ALD配方階段中。在部份實施例中,可相繼安排SS-ALD配方階段,使得SS-ALD製程階段的所有指令與此製程階段並行地被執行。
儲存在大量儲存裝置654及/或記憶體裝置656上而與系統 控制器650相關的其他電腦軟體及/或程式可應用於一些實施例中。用於此目的之程式或程式區段的範例包含基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。
一基板定位程式可包含用於製程工具元件的程式碼,該製程工具元件用於將基板裝載至底座618上以及用於控制基板和製程工具600其它部件之間的間距。
一製程氣體控制程式可包含程式碼,用於控制氣體成分以及流率,以及選擇性地用於在沉積前流動氣體至一個以上製程站中,以穩定製程站中的壓力。一壓力控制程式可包含程式碼,用以藉由調節像是製程站之排放系統中的節流閥、進入到製程站內的氣體流動等等來控制製程站內之壓力。
一加熱器控制程式可包含用以控制通往用來加熱基板之加熱單元之電流的程式碼。或者是,加熱器控制程式可控制通往基板之熱傳送氣體(如:氦)的輸送。
一電漿控制程式可包含程式碼,用於根據本文的實施例設定施加至一個以上製程站中的製程電極的RF功率位準的。
一壓力控制程式根據本文的實施例可包含用於維持反應腔室中壓力的程式碼。
在一些實施例中,可存在有與系統控制器650相關的使用者介面。此使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、以及使用者輸入裝置,例如:指向(pointing)裝置、鍵盤、觸控螢幕、麥克風等等。
在部份實施例中,藉由系統控制器650調整的參數可和製程條件相關。非限制性的例子包含製程氣體成分及流率、壓力、溫度、電漿條件(如:RF偏壓功率位準)、壓力、溫度等。這些參數可以以配方型式來提供於使用者,且可利用使用者介面來輸入這些參數。
可藉由系統控制器650之類比及/或數位輸入連接部來從各種製程工具感測器提供用以監視製程的信號。用以控制製程的信號可在製程工具600的類比與數位輸出連接部上被輸出。可被監視之製程工具感測器的非限制性範例包含質量流量控制器、壓力感測器(例如:壓力計)、熱 電偶等等。適當程式化之反饋與控制演算法可與來自這些感測器的資料一起使用,以便維持製程條件。
系統控制器650可提供用以實現上述沉積製程的程式指令。這些程式指令可控制種種製程參數,例如:DC功率位準、RF偏壓功率位準、壓力、溫度等等。這些指令可控制參數,以依照在此所述之各種實施例操作膜堆疊之原位沉積。
系統控制器通常包含一個以上的記憶體裝置以及用於執行該等指令的一個以上處理器,使得裝置執行符合本發明的方法。含有用於根據本發明控制製程操作的指令的機器可讀媒體可連接至該系統控制器。
用於執行揭露於本文方法的一適當裝置係進一步地討論以及描述於:西元2011年4月11號申請的美國專利申請案第13/084,399號中,名稱為“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”;以及西元2011年4月11日申請的美國專利申請案第13/084,305號中,名稱為“SILICON NITRIDE FILMS AND METHODS”,其中每一者內容於此藉由參照納入作為本案揭示內容的一部分。
描述於上文中的裝置/製程可結合如用於半導體裝置、顯示器、LEDs、太陽能板等製造或生產的微影圖案化工具或製程來使用。通常,雖然非必須,這樣的工具/製程將一起使用或實施在普遍的共同的設施中。薄膜的微影圖案化通常包含部份或全部的以下步驟(使用一些可能的工具來執行每個步驟):(1)使用旋塗或噴塗工具來將光阻塗佈在工件上(即:基板);(2)使用加熱板、爐、UV硬化工具來硬化光阻;(3)使用像是晶圓步進機的工具來將光阻曝露於可見光、或UV光或X射線光;(4)使用像是濕台(wet bench)的工具來顯影光阻,以便選擇性地移除光阻且藉此圖案化光阻;(5)藉由使用乾式或電漿輔助蝕刻工具來轉移光阻圖案至下層的薄膜或工件中;及(6)使用像是RF或微波電漿光阻去除器這類的工具來移除光阻。
雖然前述的實施例已相當詳盡地描述以便於清楚理解,但於隨附申請專利範圍中可執行若干改變及修改係明顯的。吾人應注意有許多替代方式實現本實施例的製程、系統、裝置。因此,本實施例應被認為是說明性的和非限制性的,並且本實施例並不限制於本文特定的細節。

Claims (22)

  1. 一種沉積膜於基板表面的方法,該方法包含:設置包含表面形態特徵部的一基板於一反應腔室中;在允許一第一反應物吸附至該基板表面上的條件下,導入氣相的該第一反應物至該反應腔室中;於一劑量時間中,導入氣相的一第二反應物的一劑量至該反應腔室中,其中該第二反應物的該劑量係一次飽合劑量;並且當該第二反應物的該劑量已終止時,週期性地曝露該基板表面於電漿,以驅動該基板表面上的該第一反應物和該第二反應物之間的一表面反應來形成該膜,使得其符合該表面形態特徵部。
  2. 如申請專利範圍第1項的沉積膜於基板表面的方法,其中當該等反應物其中至少一者係氣相時,將該電漿點燃且接觸該基板。
  3. 如申請專利範圍第1項的沉積膜於基板表面的方法,其中沉積溫度係小於約200℃。
  4. 如申請專利範圍第1項的沉積膜於基板表面的方法,其中沉積溫度係小於約150℃。
  5. 如申請專利範圍第1項的沉積膜於基板表面的方法,其中電漿曝露具有至少50J/mL的轉換效率,其中該轉換效率係施加於該次飽和劑量中的每單位第二反應物通量的電漿能量的量。
  6. 如申請專利範圍第1項的沉積膜於基板表面的方法,其中電漿曝露具有至少150J/mL的轉換效率,其中該轉換效率係施加於該次飽和劑量中的每單位第二反應物通量的電漿能量的量。
  7. 如申請專利範圍第1項的沉積膜於基板表面的方法,其中該第一反應物係一氧化劑。
  8. 如申請專利範圍第1項的沉積膜於基板表面的方法,更包含:在導入該第二反應物的該劑量之前,藉由一劑量飽和曲線來決定該第二反應物的一飽和劑量。
  9. 如申請專利範圍第1項的沉積膜於基板表面的方法,其中該次飽合劑量係小於約4微升的該第二反應物。
  10. 如申請專利範圍第1項的沉積膜於基板表面的方法,其中該次飽合劑量係小於飽和劑量的約60%。
  11. 如申請專利範圍第1項的沉積膜於基板表面的方法,其中曝露該基板於電漿之前,更包含於一沖洗時間沖洗剩餘氣相的第二反應物,其中該沖洗時間對次飽合劑量時間的比係約小於2。
  12. 如申請專利範圍第1-11項中任一項的沉積膜於基板表面的方法,其中該第二反應物係具有至少50Da的圍繞原子分子量的一前驅物分子。
  13. 如申請專利範圍第1-11項中任一項的沉積膜於基板表面的方法,其中該第二反應物係具有至少140Da的圍繞原子分子量的一前驅物分子。
  14. 如申請專利範圍第1-11項中任一項的沉積膜於基板表面的方法,其中所沉積的該膜係小於約0.5Å厚。
  15. 如申請專利範圍第1-11項中任一項的沉積膜於基板表面的方法,其中所沉積的該膜係小於約0.1Å厚。
  16. 如申請專利範圍第1-11項中任一項的沉積膜於基板表面的方法,其中該膜具有小於1.0%的一晶圓內不均勻度。
  17. 一種沉積膜於基板表面的方法,該方法包含:設置包含表面形態特徵部的一基板於單站式或多站式的反應腔室的一站中;在允許一第一反應物吸附至該基板表面上的條件下,導入氣相的該第一反應物至該反應腔室中;導入氣相的一第二反應物的一劑量至該反應腔室中,其中該第二反應物的該劑量係一次飽合劑量;並且當該第二反應物的該劑量已終止時,週期性地曝露該基板表面於電漿,以驅動該基板表面上的該第一反應物和該第二反應物之間的一表面反應來形成該膜,使得其符合該表面形態特徵部;其中該電漿以每腔室至少約200焦耳的能量來產生。
  18. 如申請專利範圍第17項的沉積膜於基板表面的方法,其中沉積溫度係小於約150℃。
  19. 如申請專利範圍第17項的沉積膜於基板表面的方法,更包含:在導入該第二反應物的該劑量之前,藉由一劑量飽和曲線來決定該第二反應物的該劑量。
  20. 如申請專利範圍第17項的沉積膜於基板表面的方法,其中電漿曝露具有至少50J/mL的轉換效率。
  21. 如申請專利範圍第17-20項中任一項的沉積膜於基板表面的方法,其中沉積出的該膜係小於約0.1Å厚。
  22. 一種沉積膜於基板上的裝置,該裝置包含:一反應腔室;一進氣口,其用於輸送氣相反應物等至該反應腔室;一電漿產生器,其用於提供電漿至該反應腔室;及一控制器,包含指令,該等指令用於: 在允許一第一反應物吸附至基板表面上的條件下,將氣相的該第一反應物流入該反應腔室;於一劑量時間將氣相的一第二反應物的一劑量流入該反應腔室中,其中該第二反應物的該劑量係一次飽和劑量;以及當該第二反應物的該劑量已終止時,以至少50J/mL的轉換效率週期性地供給電漿於該反應腔室中,以驅動該基板表面上的該第一反應物和該第二反應物之間的一表面反應以形成該膜,使得其符合表面形態特徵部;其中該轉換效率係施加於該次飽和劑量中的每單位第二反應物通量的電漿能量的量。
TW102138326A 2012-10-23 2013-10-23 次飽和之原子層沉積及保形膜沉積 TWI595112B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201261717576P 2012-10-23 2012-10-23

Publications (2)

Publication Number Publication Date
TW201430164A TW201430164A (zh) 2014-08-01
TWI595112B true TWI595112B (zh) 2017-08-11

Family

ID=50547644

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102138326A TWI595112B (zh) 2012-10-23 2013-10-23 次飽和之原子層沉積及保形膜沉積

Country Status (3)

Country Link
US (1) US9355839B2 (zh)
KR (2) KR102207992B1 (zh)
TW (1) TWI595112B (zh)

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9018068B2 (en) * 2013-04-24 2015-04-28 Intermolecular, Inc. Nonvolatile resistive memory element with a silicon-based switching layer
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9263350B2 (en) * 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
KR102323248B1 (ko) * 2015-03-25 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) * 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
FI127503B (en) * 2016-06-30 2018-07-31 Beneq Oy Method of coating a substrate and device
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR101882733B1 (ko) * 2016-12-07 2018-07-30 영남대학교 산학협력단 사산화삼코발트 박막 형성 방법
US11396698B2 (en) * 2017-01-07 2022-07-26 Applied Materials, Inc. ALD process for NiO film with tunable carbon content
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
CN110546302B (zh) * 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
KR20240029787A (ko) 2018-03-30 2024-03-06 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
KR20200002519A (ko) * 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US20210335606A1 (en) * 2018-10-10 2021-10-28 Lam Research Corporation Continuous plasma for film deposition and surface treatment
US10896823B2 (en) * 2018-11-21 2021-01-19 Thomas E. Seidel Limited dose atomic layer processes for localizing coatings on non-planar surfaces
TW202028504A (zh) * 2018-12-03 2020-08-01 德商馬克專利公司 高度選擇性沉積金屬膜之方法
US11482413B2 (en) * 2019-10-08 2022-10-25 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
CN113574456B (zh) 2020-01-15 2023-05-26 朗姆研究公司 用于光刻胶粘附和剂量减少的底层

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200701341A (en) * 2005-03-15 2007-01-01 Asm Inc Method and apparatus of forming non-conformal layers
TW201144475A (en) * 2010-04-15 2011-12-16 Novellus Systems Inc Plasma activated conformal film deposition

Family Cites Families (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
TW201848B (zh) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
AU3229600A (en) 1999-02-12 2000-08-29 Gelest, Inc. Chemical vapor deposition of tungsten nitride
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
KR100474847B1 (ko) * 2001-05-07 2005-03-08 삼성전자주식회사 다성분계 박막 및 그 형성 방법
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
AU2003228402A1 (en) * 2002-03-28 2003-10-13 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
CN102191483B (zh) * 2003-04-23 2012-10-03 艾克斯特朗公司 瞬时增强原子层沉积
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US7785658B2 (en) * 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
TWI424498B (zh) 2006-03-31 2014-01-21 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
KR101542267B1 (ko) 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8946672B2 (en) 2009-11-11 2015-02-03 Nec Corporation Resistance changing element capable of operating at low voltage, semiconductor device, and method for forming resistance change element
WO2011072143A2 (en) 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR20120103719A (ko) 2009-12-22 2012-09-19 어플라이드 머티어리얼스, 인코포레이티드 연속 플라즈마에 의한 pecvd 다단계 공정
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
EP2388833B1 (en) 2010-05-21 2019-11-13 ASM International N.V. Solar cell, and method of manufacturing the same
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
KR102381463B1 (ko) 2010-11-10 2022-04-01 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20140120270A1 (en) * 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
KR101975071B1 (ko) 2011-09-23 2019-05-03 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
JP2017501530A (ja) 2013-10-17 2017-01-12 ナノシス・インク. 発光ダイオード(led)デバイス
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200701341A (en) * 2005-03-15 2007-01-01 Asm Inc Method and apparatus of forming non-conformal layers
TW201144475A (en) * 2010-04-15 2011-12-16 Novellus Systems Inc Plasma activated conformal film deposition

Also Published As

Publication number Publication date
KR102207992B1 (ko) 2021-01-26
US9355839B2 (en) 2016-05-31
KR20210013633A (ko) 2021-02-04
US20140120737A1 (en) 2014-05-01
KR20140051807A (ko) 2014-05-02
TW201430164A (zh) 2014-08-01
KR102328850B1 (ko) 2021-11-19

Similar Documents

Publication Publication Date Title
KR102328850B1 (ko) 서브-포화된 원자층 증착 및 등각막 증착
US10741458B2 (en) Methods for depositing films on sensitive substrates
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
US10903071B2 (en) Selective deposition of silicon oxide
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
TWI567225B (zh) 電漿活化保形膜沈積
US20140030444A1 (en) High pressure, high power plasma activated conformal film deposition
TWI612172B (zh) 用於電漿活化保形膜沉積之前驅物
KR20160118968A (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
KR20160131943A (ko) 박막들의 잔여 응력을 조절하는 방법들
US20220238325A1 (en) In-situ control of film properties during atomic layer deposition
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films