CN107799390B - 用于半导体图案化应用的高干法蚀刻速率材料 - Google Patents

用于半导体图案化应用的高干法蚀刻速率材料 Download PDF

Info

Publication number
CN107799390B
CN107799390B CN201710772400.8A CN201710772400A CN107799390B CN 107799390 B CN107799390 B CN 107799390B CN 201710772400 A CN201710772400 A CN 201710772400A CN 107799390 B CN107799390 B CN 107799390B
Authority
CN
China
Prior art keywords
substrate
spacers
plasma
core material
radio frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710772400.8A
Other languages
English (en)
Other versions
CN107799390A (zh
Inventor
阿潘·马霍罗瓦拉
伊时塔克·卡里姆
普鲁肖坦·库马尔
尚卡尔·斯娃米纳森
阿德里安·拉瓦伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN107799390A publication Critical patent/CN107799390A/zh
Application granted granted Critical
Publication of CN107799390B publication Critical patent/CN107799390B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0041Photosensitive materials providing an etching agent upon exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及用于半导体图案化应用的高干法蚀刻速率材料。本文提供了使用用于负图案化方案的原子层沉积来沉积低密度间隔物的方法和装置。方法包含以下操作中的一个或多个:(1)在沉积前体和氧化等离子体的交替脉冲的每个循环中将衬底暴露于等离子体持续小于约300ms的持续时间;(2)在小于约0.2W/cm2的射频功率密度下将所述衬底暴露于所述等离子体;以及(3)将所述衬底暴露于由氩比氧化剂之比为至少约1:12的工艺气体产生的等离子体。

Description

用于半导体图案化应用的高干法蚀刻速率材料
技术领域
本发明涉及用于处理衬底的方法和装置,更具体地,涉及用于半导体图案化应用的高干法蚀刻速率材料。
背景技术
先进集成电路的制造通常涉及半导体大批量制造中图案化小特征。多重图案化技术可以使得能够基于光刻技术(例如193nm浸没光刻)进行特征尺寸缩放(scaling)。自对准双重图案化是多重图案化技术的一个示例。
发明内容
本文提供了用于处理衬底的方法和装置。一个方面涉及一种使用负图案化(negative patterning)来图案化衬底的方法,所述方法包括:在芯材料上共形地(conformally)沉积间隔物,所述间隔物通过一个或多个原子层沉积循环沉积,每个原子层沉积循环包括将所述衬底暴露于沉积前体以及将所述衬底暴露于氧化剂并点燃等离子体;以及通过在以比蚀刻所述芯材料的速率快至少六倍的速率蚀刻所述间隔物的条件下选择性地蚀刻所述间隔物来形成用于图案化衬底的掩模。
在各种实施方式中,所述芯材料包括碳。在一些实施方式中,所述芯材料可以是光致抗蚀剂、无定形碳或非晶硅。在一些实施方式中,芯材料是旋涂碳(spin on carbon)、类金刚石碳、和间隙填充可灰化(ashable)硬掩模中的任何一种。
沉积所述间隔物可以包括使用以下技术中的一种或多种:(1)在每个循环中将所述衬底暴露于所述等离子体持续小于约300ms的持续时间;(2)在衬底活性表面积的小于约0.2W/cm2的射频功率密度下将所述衬底暴露于所述等离子体;以及(3)将所述衬底暴露于由氩比氧化剂之比为至少约1:12的工艺气体产生的所述等离子体。
在一些实施方式中,所述间隔物包括含硅材料。例如,在一些实施方式中,所述间隔物包括硅氧化物。
所述间隔物可以具有介于约1.4至约1.5之间的折射率。所述间隔物可以沉积到介于约10nm和约30nm之间的厚度。选择性地蚀刻所述间隔物可以包括将所述衬底暴露于碳氟化合物蚀刻剂。在各种实施方式中,所述间隔物在介于约50℃和约200℃之间的温度下沉积。所述间隔物可以具有介于约4和6之间的介电常数。
在一些实施方式中,所选择的所述技术是(3),并且所述氧化剂以介于约0.5slm至约3slm之间的流速流动。
在一些实施方式中,在将所述间隔物共形地沉积在所述芯材料上之后,将间隙填充材料沉积在所述衬底上。所述间隙填充材料可以是无定形碳、旋涂碳、类金刚石碳、间隙填充可灰化硬掩模、氧化钛、氧化铪、氧化锆或非晶硅中的任何一种。选择性蚀刻所述间隔物可以包括在所述间隔物的蚀刻速率比所述间隙填充材料的蚀刻速率快至少六倍的条件下蚀刻所述间隔物。
所述方法还可以包括在制造DRAM期间使用所述掩模蚀刻所述衬底。
另一方面涉及用于图案化衬底的装置,所述装置包括:一个或多个处理室;进入所述一个或多个处理室的一个或多个气体入口和相关联的流量控制硬件;低频射频(LFRF)发生器;高频射频(HFRF)发生器;以及具有至少一个处理器和存储器的控制器,其中所述至少一个处理器和所述存储器彼此通信地连接,所述至少一个处理器与所述流量控制硬件、所述LFRF发生器和HFRF发生器至少可操作地连接,并且所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以至少控制所述流量控制硬件、所述HFRF发生器和所述LFRF发生器,以便:将间隔物共形地沉积在被容纳在所述一个或多个处理室中的一个中的衬底上的芯材料上,所述间隔物通过一个或多个原子层沉积循环沉积,每个原子层沉积循环包括:使沉积前体流动,以及使动氧化剂流动并点燃等离子体;以及在以比蚀刻所述芯材料的速率快至少六倍的速率蚀刻所述间隔物的条件下相对于所述芯材料选择性地蚀刻所述间隔物。
用于沉积间隔物的指令可以包括用于在每个循环中点燃所述等离子体持续小于约300ms的持续时间的指令。用于沉积所述间隔物的指令可以包括用于以衬底活性表面积的小于约0.2W/cm2的射频功率密度点燃所述等离子体的指令。用于沉积所述间隔物的指令可以包括当所述氧化剂流动并且所述等离子体被点燃时使氩气和所述氧化剂以至少约1:12的比例流动到所述一个或多个处理室中的所述一个中的指令。
具体而言,本发明的一些方面可以阐述如下:
1.一种使用负图案化来图案化衬底的方法,所述方法包括:
(a)在芯材料上共形地沉积间隔物,所述间隔物通过一个或多个原子层沉积循环沉积,每个原子层沉积循环包括:
(i)将所述衬底暴露于沉积前体,以及
(ii)将所述衬底暴露于氧化剂并点燃等离子体;以及
(b)通过在以比蚀刻所述芯材料的速率快至少六倍的速率蚀刻所述间隔物的条件下选择性地蚀刻所述间隔物来形成用于图案化衬底的掩模。
2.根据条款1所述的方法,其中所述芯材料包括碳。
3.根据条款1所述的方法,其中沉积所述间隔物包括使用选自以下技术中的技术:
(1)在每个循环中将所述衬底暴露于所述等离子体持续小于约300ms的持续时间;
(2)在衬底活性表面积的小于约0.2W/cm2的射频功率密度下将所述衬底暴露于所述等离子体;以及
(3)将所述衬底暴露于由氩比氧化剂之比为至少约1:12的工艺气体产生的所述等离子体。
4.根据条款1-3中任一项所述的方法,其中所述间隔物包括含硅材料。
5.根据条款1-3中任一项所述的方法,其还包括在制造DRAM期间通过所述掩模蚀刻所述衬底。
6.根据条款1-3中任一项所述的方法,其中所述间隔物具有介于约1.4至约1.5之间的折射率。
7.根据条款1-3中任一项所述的方法,其中所述间隔物被沉积至介于约10nm至约30nm之间的厚度。
8.根据条款1-3中任一项所述的方法,其中选择性地蚀刻所述间隔物包括将所述衬底暴露于碳氟化合物蚀刻剂。
9.根据条款1-3中任一项所述的方法,其中所述间隔物在约50℃和约200℃之间的温度下沉积。
10.根据条款1-3中任一项所述的方法,其中所述间隔物的介电常数在约4和6之间。
11.根据条款2所述的方法,其中所述芯材料选自由旋涂碳、类金刚石碳和间隙填充能灰化硬掩模组成的组。
12.根据条款5所述的方法,其中所选择的所述技术是(3),并且其中所述氧化剂以介于约0.5slm至约3slm之间的流速流动。
13.根据条款7所述的方法,其中所述间隔物包括硅氧化物。
14.一种用于图案化衬底的装置,所述装置包括:
(a)一个或多个处理室;
(b)进入所述一个或多个处理室的一个或多个气体入口和相关联的流量控制硬件;
(c)低频射频(LFRF)发生器;
(d)高频射频(HFRF)发生器;以及
(e)具有至少一个处理器和存储器的控制器,其中
所述至少一个处理器和所述存储器彼此通信地连接,
所述至少一个处理器至少与所述流量控制硬件、所述LFRF发生器和所述HFRF发生器能操作地连接,以及
所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以便至少控制所述流量控制硬件、所述HFRF发生器和所述LFRF发生器,以:
(i)在容纳在所述一个或多个处理室中的一个处理室中的衬底上的芯材料上共形地沉积间隔物,所述间隔物通过一个或多个原子层沉积循环沉积,每个原子层沉积循环包括:
1)使沉积前体流动,以及
2)使氧化剂流动并点燃等离子体;以及
(ii)在以比蚀刻所述芯材料的速率快至少六倍的速率蚀刻所述间隔物的条件下,相对于所述芯材料选择性蚀刻所述间隔物。
15.根据条款14所述的装置,其中用于沉积所述间隔物的所述指令包括用于在每个循环中点燃所述等离子体持续小于约300ms的持续时间的指令。
16.根据条款14所述的装置,其中用于沉积所述间隔物的所述指令包括用于在衬底活性表面积的小于约0.2W/cm2的射频功率密度下点燃所述等离子体的指令。
17.根据条款14所述的装置,其中用于沉积所述间隔物的指令包括用于当在(i)2)中所述氧化剂流动并且所述等离子体被点燃时使氩气和所述氧化剂以至少约1:12的比例流动到所述一个或多个处理室中的所述一个处理室的指令。
18.根据条款14-17中任一项所述的装置,其中所述处理室包括用于保持衬底的基座,所述基座设置在约50℃和约200℃之间的温度。
以下参考附图进一步描述这些和其它方面。
附图说明
图1A-1E是进行负自对准双重图案化方案的衬底的示意图。
图2和图3是描绘根据某些公开的实施方式的方法的操作的工艺流程图。
图4A-4C是根据某些公开的实施方式的进行负自对准双重图案化方案的衬底的示意图。
图5和图7是用于执行某些公开的实施方式的示例性处理室的示意图。
图6和图8是用于执行某些公开的实施方式的示例性处理工具的示意图。
具体实现方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方式的透彻理解。所公开的实施方式可以在没有这些具体细节的一些或全部的情况下实现。在其他情况下,未详细描述公知的处理操作,以免不必要地模糊所公开的实施方式。虽然将结合具体实施方式描述所公开的实施方式,但是应当理解,并不意图限制所公开的实施方式。
在许多半导体制造工艺中使用图案化方法。特别地,已经使用多重图案化来扩展光刻技术超出其光学限制。双重图案化是用于扩展光刻技术超过其光学限制的一个示例技术,并且现在在工业中广泛应用于小于约80nm的间距。当前的双重图案化技术通常使用具有两个掩模台阶(masking step)的侧壁间隔物来图案化沟槽。双重图案化,特别是线图案化(line patterning)的方法在正和负双重图案化工艺中涉及使用间隔物和掩模。然而,目前的方法导致不良、不稳定且弱的掩模,该掩模不能在半导体器件中提供高深宽比特征的有效形成。减少处理操作的方法也是优选的以提高效率和产量。
图1A至1E中提供了一个示例,它们是经历负图案化方案的衬底的示意图,其中使用常规沉积技术沉积间隔物。图2提供了描绘用于执行负图案化方案的操作的工艺流程图。为了说明的目的,一起讨论图1A至1E和图2。
在图2的操作201中,提供了用于负图案化方案的具有芯材料的衬底。图1A示出了包括靶层102和图案化的芯材料106的衬底100。在各种实施方式中,衬底100是半导体衬底。衬底100可以是硅晶片,例如200mm晶片、300mm晶片或450mm晶片,其包括具有在其上沉积的一层或多层材料(例如电介质、导电材料或半导体材料)的晶片。
靶层102可以是最终要被图案化的层。靶层102可以是半导体、电介质或其他层,并且可以由例如硅(Si)、硅氧化物(SiO2)、氮化硅(SiN)或氮化钛(TiN)制成。靶层102可以通过原子层沉积(ALD)、等离子体增强ALD(PEALD),化学气相沉积(CVD)或其它合适的沉积技术进行沉积。
芯材料106可以是光致抗蚀剂,或者可以由无定形碳材料或非晶硅材料制成。在一些实施方式中,芯材料106可以是透明的。通过诸如等离子体增强化学气相沉积(PECVD)之类的沉积技术沉积芯材料106,并且沉积技术可以涉及在沉积室中由包括烃前体的沉积气体产生等离子体。烃前体可以由式CaHb定义,其中a是2和10之间的整数,b是2到24之间的整数。示例包括甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)和甲苯(C7H8)。可以使用包括高频(HF)功率和低频(LF)功率的双射频(RF)等离子体源。芯材料106在被图案化之前沉积在靶层102上。
参考图2,在操作203中,将间隔物材料共形地沉积在衬底上。图1B示出了共形地沉积在芯材料106上的常规间隔物材料104。用于沉积间隔物材料的常规技术包括使用含硅或锗的前体和氧化等离子体分别形成硅氧化物或锗氧化物的ALD和PEALD。
ALD是使用顺序自限制反应沉积薄材料层的技术。ALD工艺使用表面介导的沉积反应来循环地逐层沉积膜。例如,ALD循环可以包括以下操作:(i)输送/吸附前体,(ii)从室中吹扫前体,(iii)输送第二反应物并任选地点燃等离子体,以及(iv)从室中吹扫副产物。第二反应物和被吸附的前体之间的在衬底表面上形成膜的反应影响膜组成和性质,例如不均匀性、应力、湿法蚀刻速率、干法蚀刻速率、电性质(例如,击穿电压和泄漏电流)等。
在ALD工艺的一个示例中,将包含一群表面活性位点的衬底表面暴露于提供给容纳该衬底的室的剂量的第一前体(如含硅前体)的气相分布。该第一前体的分子(包括第一前体的化学吸附物质和/或物理吸附分子)被吸附到衬底表面上。应当理解,当如本文所述化合物被吸附到衬底表面上时,吸附层可以包括化合物以及化合物的衍生物。例如,含硅前体的吸附层可以包括含硅前体以及含硅前体的衍生物。在投配第一前体之后,室然后被抽空以除去大部分或全部的保留在气相中的第一前体,使得主要或仅仅吸附的物质残留。在一些实现方式中,室可能未被完全抽空。例如,可以将反应器抽空,使得处于气相的第一前体的分压足够低以减缓反应。将诸如含氧气体之类的第二反应物引入到室中,使得这些分子中的一些与吸附在表面上的第一前体反应。在一些工艺中,第二反应物与吸附的第一前体立即反应。在其他实施方式中,第二反应物仅在瞬时施加激活源(诸如,等离子体)之后才进行反应。然后可以再次抽空室以除去未结合的第二反应物分子。如上所述,在一些实施方式中,室可能不被完全抽空。可以使用附加的ALD循环来构建膜厚度。
在一些实现方式中,ALD方法包括等离子体激活。如本文所述,本文所述的ALD方法和装置可以是共形膜沉积(CFD)方法,其在于2011年4月11日提交的标题为“PLASMAACTIVATED CONFORMAL FILM DEPOSITION”的美国专利申请No.13/084,399(现为美国专利No.8,728,956)以及于2011年4月11日提交的题为“SILICON NITRIDE FILMS AND METHODS”的美国专利申请号No.13/084,305中一般性地描述,其全部内容通过引用并入本文。
然而,如下面进一步描述的,用于在衬底上沉积间隔物材料的常规技术可能不能实现足以形成高质量掩模的蚀刻选择性。参考图2,在操作204中,间隙填充材料沉积在衬底上。在一些实施方式中,间隙填充材料可以是含碳材料,其可以通过包括PECVD和旋涂技术的方法沉积。在各种实施方式中,间隙填充材料可以是金属氧化物,例如氧化钛、氧化铪和氧化锆。在一些实施方式中,间隙填充材料可以是非晶硅。图1C示出了在衬底100上沉积有间隙填充材料108的示例衬底100。在一些实施方式中,间隙填充材料可以是间隙填充可灰化硬掩模。
参考图2,在操作205中,回蚀刻衬底,并且选择性地蚀刻间隔物材料以形成作为双重图案化掩模的芯材料。图1D示出了被回蚀的间隙填充材料118。当常规沉积的间隔物材料被选择性地蚀刻时,如图1E所示,间隔物材料被去除,但是由于蚀刻选择性差,芯材料116劣化并其高度降低。蚀刻的间隔物材料114上剩余的间隙填充材料118保留在衬底上,但掩模包括显著短于所需的图案的图案。具有短掩模可能导致靶层102的不良蚀刻,这导致可靠性问题和器件问题。
与这些常规技术相关的问题可能是由于在衬底上形成间隙填充含碳材料之前在衬底上共形沉积的间隔物材料的类型。因此,期望制备衬底,由此可以更容易地相对于含碳芯材料和间隙填充材料选择性地蚀刻间隔物材料,从而减少含碳材料的劣化和蚀刻的量。
本文提供了使用负图案化方案图案化衬底的方法和装置,由此用于这种图案化方案的间隔物材料相对于含碳材料具有至少6:1的蚀刻选择度,使得间隔物的蚀刻速率材料比含碳材料的蚀刻速率快至少六倍。公开的实施方式还涉及沉积具有低密度的间隔物材料,以确保间隔物材料相对于含碳材料的更快的蚀刻速率。方法涉及使用等离子体增强原子层沉积(PEALD)方法沉积间隔物材料。
图3提供了描绘根据某些公开的实施方式执行的操作的工艺流程图。提供操作201、204、205和207作为上述关于图2描述的操作,使得可以执行操作303-311以在上面的操作203中将间隔物材料共形地沉积在衬底上。应当理解,图3中执行的操作可以在例如图2所示的图案化方案中执行。还可以理解,操作201、204、205和207可以根据所使用的图案化方案而变化。例如,双重图案化方案和四重图案化方案可以具有不同的和/或附加的操作。
参考图3,在操作201中,提供了在靶层上方具有图案化的芯材料的衬底。该操作可以包括提供诸如上面关于图2的操作201所述的衬底。
图3的操作303-311可以在约50℃至约200℃之间的温度下和约1.0托至约9.0托之间的压强下进行。本文描述的方法可涉及ALD。例如,在图3中,操作303-309可以构成ALD的一个循环。尽管图3中描述的操作涉及形成含硅间隔物材料,但是应当理解,在一些实施方式中,可以使用用于沉积含锗间隔物材料的含锗前体。例如,间隔物材料可以是锗,或者在一些实施方式中,可以是金属氧化物,例如氧化钛、氧化锡、氧化锆或氧化铪。
参考图3,在操作303中,将衬底暴露于含硅前体以将含硅前体吸附到衬底表面上。在各种实施方式中,含硅前体可吸附到至少约80%的表面活性位点。在一些实施方式中,含硅前体可以吸附到衬底上以使衬底的表面完全饱和,使得含硅前体吸附在芯材料的暴露表面和靶层的暴露区域上。将衬底暴露于含硅前体的持续时间可以在约0.1秒至约2秒之间。
适合根据公开实施方式使用的含硅前体包括聚硅烷(H3Si-(SiH2)n-SiH3),其中n>0。硅烷的示例是硅烷(SiH4),乙硅烷(Si2H6)和有机硅烷(例如甲基硅烷、乙基硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷、二乙基硅烷、二叔丁基硅烷、烯丙基硅烷、仲丁基硅烷、叔己基硅烷(thexylsilane)、异戊基硅烷、叔丁基二硅烷、二丁基二硅烷等)。
卤代硅烷包括至少一个卤素基团,并且可以包括或不包括氢和/或碳基团。卤代硅烷的示例是碘硅烷、溴硅烷、氯硅烷和氟硅烷。虽然卤代硅烷,特别是氟硅烷可以形成当等离子体被激励时可以蚀刻硅材料的反应性卤化物物质,但是在一些实施方式中当等离子体被激励时,卤代硅烷可能不被引入到室中,因此由卤代硅烷形成反应性卤化物物质可能会减缓。特定的氯硅烷是四氯硅烷、三氯硅烷、二氯硅烷、一氯硅烷、氯代甲硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二叔丁基氯硅烷、氯代异丙基硅烷、氯代仲丁基硅烷、叔丁基二甲基氯硅烷、叔己基二甲基氯硅烷(thexyldimethylchlorosilane)等。
氨基硅烷包括至少一个键合到硅原子的氮原子,但也可以含有氢、氧、卤素和碳。氨基硅烷的示例是分别为单氨基硅烷、二氨基硅烷、三氨基硅烷和四氨基硅烷(分别为H3Si(NH2)、H2Si(NH2)2、HSi(NH2)3和Si(NH2)4),以及取代的单氨基硅烷、二氨基硅烷、三氨基硅烷和四氨基硅烷,例如叔丁基氨基硅烷、甲基氨基硅烷、叔丁基硅烷胺、双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS)、叔丁基甲硅烷基氨基甲酸酯(tert-butyl silylcarbamate)、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3等。另外,氨基硅烷的示例是三甲硅烷胺(trisilylamine)(N(SiH3))。
在操作305中,容纳衬底的处理室可以被吹扫以除去未吸附到衬底表面上的前体。吹扫室可能涉及使净化气体或吹扫气体流动,所述净化气体或吹扫气体可以是在其它操作中使用的载气,或者可以是不同的气体。示例性吹扫气体包括氩气、氮气、氢气和氦气。在各种实施方式中,吹扫气体是惰性气体。例如惰性气体包括氩气、氮气和氦气。在一些实施方式中,吹扫可以包括抽空室。在一些实施方式中,操作305可以包括用于抽空处理室的一个或多个抽空子阶段。替代地,应当理解,在一些实施方式中可以省略操作305。操作305可以具有任何合适的持续时间,例如在约0.1秒和约2秒之间。
在操作307中,将衬底暴露于氧化剂,并且在将被吸附的前体转化为具有芯材料的蚀刻速率的至少六倍的蚀刻速率的间隔物材料的条件下点燃等离子体。在沉积硅氧化物间隔物的地方,吸附在衬底表面上的含硅前体与氧化等离子体反应形成硅氧化物。示例性氧化剂包括氧气、水、二氧化碳、一氧化二氮及其组合。提供等离子体能量以将第二反应物(例如含氧气体)激活成离子和自由基以及与第一前体的吸附层反应的其它活化物质。例如,等离子体可以直接或间接地激活含氧气相分子以形成氧自由基或离子。
在操作307期间,可以调制以下条件中的任何一个或多个以沉积当暴露于碳氟化合物时具有芯材料的蚀刻速率的至少约6倍的蚀刻速率的低密度硅氧化物膜:(1)流向室内的惰性气体流速比氧化剂流速之比;(2)射频(RF)等离子体“接通”时间;和(3)射频等离子体功率。硅氧化物膜的折射率可以在约1.4至约1.5之间。在各种实施方式中,硅氧化物膜可以具有约4和约6(例如约5)的介电常数。
对于惰性气体流速比氧化剂流速的调制,应当理解,在操作307期间,惰性气体可以作为载气流动,或者可以与氧化剂一起流入处理室。惰性气体流速比氧化剂流速的比率可以在约1:100至约1:1.5之间或约1:12至1:4之间,以促进低密度硅氧化物膜的形成。在各种实施方式中,氧化剂可以以介于约0.5slm至3slm之间的流速流动。
在各种实施方式中,点燃的等离子体可以是单射频等离子体或双射频等离子体。单频等离子体通常但不一定是高频(HF),双频等离子体通常也包括低频(LF)分量。在各种实施方式中,等离子体是原位等离子体,使得等离子体直接形成在室中的衬底表面上方。可以以介于约0.05W/cm2至约0.2W/cm2之间的每衬底面积的功率点燃原位等离子体。例如,在12英寸晶片的区域上,每个站的功率可以在大约50W到大约250W之间。例如,可以通过使用两个电容耦合板向气体施加射频(RF)场来产生用于ALD工艺的等离子体。通过RF场离子化两个板之间的气体点燃等离子体,在等离子体放电区域产生自由电子。这些电子被RF场加速并且可能与气相反应物分子碰撞。这些电子与反应物分子的碰撞可以形成参与沉积工艺的自由基物质。应当理解,RF场可以经由任何合适的电极耦合。在各种实施方式中,使用具有至少约13.56MHz、或至少约27MHz、或至少约40MHz、或至少约60MHz的频率的高频等离子体。在一些实施方式中,可以使用基于微波的等离子体。电极的非限制性示例包括工艺气体分配喷头和衬底支撑基座。应当理解,用于ALD工艺的等离子体可以通过除RF场与气体的电容耦合之外的一种或多种合适的方法形成。在一些实施方式中,等离子体是远程等离子体,使得氧化剂在室的上游的远程等离子体发生器中点燃,然后被输送到容纳衬底的室。
可以调制RF等离子体“接通”时间,使得可以改变在操作307期间点燃等离子体的持续时间。RF等离子体“接通”时间可以小于约300毫秒。
操作307涉及调制以下中的任何一种或多种:(1)流向室内的惰性气体流速比氧化剂流速之比;(2)射频(RF)等离子体“接通”时间;和(3)RF等离子体功率。为了调制流向室内的惰性气体流速比氧化剂流速,惰性气体与氧化剂的比率可以在约1:100至约1:1.5之间或在约1:12至1:4之间。为了调制RF等离子体“接通”时间,RF等离子体“接通”时间可以小于约300毫秒。为了调制RF等离子体功率,等离子体功率密度(W每衬底面积)可以在约0.2W/cm2和约2W/cm2之间。在各种实施方式中,操作303可以包括引入含硅前体,例如硅烷、乙硅烷、BTBAS和SAM24,并且操作307中使用的氧化剂可以是氧气、水、二氧化碳、一氧化二氮及其组合中的任何一种。
参考图3,在操作309中,处理室可以被吹扫以除去来自氧化剂和间隔物材料前体之间的反应的任何过量的副产物,并且除去在衬底表面不与间隔物材料前体反应的过量氧化剂。操作309的工艺条件可以是上述关于操作305描述的那些工艺条件中的任何工艺条件。在一些实施方式中,使用以介于约5slm至约30slm之间的流速流动的惰性气体来吹扫室。
在操作311中,确定间隔物膜是否已经沉积到足够的厚度。如果不是,可以可选地重复操作303-309。如果间隔物沉积到足够的厚度,则可以如上参照图2所述进行操作204、205和207。
图4A-4C示出了具有使用在负图案化应用中使用的某些公开的实施方式进行沉积的间隔物材料404的示例性衬底400的示意图。在图4A中,间隙填充含碳层408沉积在使用某些公开的实施方式沉积的间隔物材料404上。注意,间隔物材料404共形地沉积在靶层402上方的芯材料406上。在图4B中,间隙填充含碳材料416的间隙被回蚀刻。在图4C中,间隔物材料404b被选择性地且定向地蚀刻以在衬底上在靶层402上留下被蚀刻的芯材料496以及被蚀刻的间隙填充含碳材料498。注意,在间隙填充含碳材料下面留有一些间隔物材料404b。所得到的掩模具有良好的轮廓,其中掩模的高度很少甚至不降低,由此可有效地蚀刻靶层402。
装置
图5描绘了具有用于维持低压环境的处理室502的原子层沉积(ALD)处理站500的实施方式的示意图。多个ALD处理站可以包括在普通的低压处理工具环境中。例如,图6描绘了多站处理工具600的实施方式。在一些实施方式中,可以通过一个或多个计算机控制器550以编程方式来调节ALD处理站500的一个或多个硬件参数,包括下面详细讨论的硬件参数。
ALD处理站500与反应物输送***501a流体连通,以用于将工艺气体输送到分配喷头506。反应物输送***501a包括用于混合和/或调节用于输送到喷头506的工艺气体(例如含硅气体或含氧气体)的混合容器505。反应物传送***501a还可以调节惰性气体比含氧气体的比率,以将气体输送到处理站500以沉积低密度硅氧化物膜。一个或多个混合容器入口阀520可以控制将工艺气体引入到混合容器505中。在各种实施方式中,间隔物材料的沉积在处理站500中进行,并且蚀刻也在相同的处理站500中进行。例如,一些实施方式中,ALD处理站500可用于将沉积前体气体输送到处理室502,然后输送氧化气体并点燃等离子体以形成低密度硅氧化物。在一些实施方式中,诸如选择性地蚀刻间隔物材料以形成含碳掩模的蚀刻操作在与ALD处理站500分离的处理站中进行,例如在多站处理工具600的另一站中进行,如下面参考图6进一步描述的。
例如,图5的实施方式包括用于蒸发要供应到混合容器505的液体反应物的蒸发点503。在一些实施方式中,蒸发点503可以是加热的蒸发器。由这种蒸发器产生的饱和反应物蒸汽可能在下游输送管道中冷凝。不相容的气体暴露于冷凝的反应物会产生小颗粒。这些小颗粒可能堵塞管道、阻止阀操作、污染衬底等。解决这些问题的一些方法涉及吹扫和/或排空输送管道以去除残留的反应物。但是,吹扫输送管道可能会增加处理站循环时间、降低处理站的吞吐量。因此,在一些实施方式中,蒸发点503下游的输送管道可以被热追踪。在一些示例中,混合容器505也可以被热追踪。在一个非限制性示例中,蒸发点503下游的管道在混合容器505处具有从约100℃延伸至约150℃的升高的温度分布。
在一些实施方式中,液体前体或液体反应物可以在液体注射器(未示出)处蒸发。例如,液体注射器可以将液体反应物的脉冲注入到混合容器505上游的载气流中。在一个实施方式中,液体注射器可以通过将液体从较高的压力闪蒸至较低的压力来蒸发反应物。在另一个示例中,液体注射器可以将液体雾化成分散的微滴,微滴随后在加热的输送管中蒸发。较小的液滴可能比较大的液滴蒸发得更快,从而减少液体注入和完全蒸发之间的延迟。较快的蒸发可以减少蒸发点503下游的管道的长度。在一种情况下,液体注射器可以直接安装到混合容器505上。在另一种情况下,液体注射器可以直接安装到喷头506。
在一些实施方式中,可以提供蒸发点503上游的液体流量控制器(LFC),以用于控制用于汽化和输送到处理室502的液体的质量流。例如,LFC可以包括位于LFC下游的热质量流量计(MFM)。响应于由与MFM电连通的比例-积分-微分(PID)控制器提供的反馈控制信号,可以调节LFC的柱塞阀。然而,可能需要一秒或更多的时间使用反馈控制来稳定液体流量。这可能延长了投配液体反应物的时间。因此,在一些实施方式中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方式中,这可以通过禁用LFC的感测管和PID控制器来执行。
喷头506将工艺气体分配到衬底512。在图5所示的实施方式中,衬底512位于喷头506下方,并且被示出放置在基座508上。喷头506可以具有任何合适的形状,并且可以具有任何合适的数量和布置的用于将工艺气体分配到衬底512的端口。
在一些实施方式中,基座508可以升高或降低以将衬底512暴露于衬底512和喷头506之间的体积。在一些实施方式中,基座508可以经由加热器510进行温度控制。基座508在用于执行各种公开的实施方式的操作期间可以设置为任何合适的温度,例如在约25℃至约650℃之间。应当理解,在一些实施方式中,基座高度可以由适当的计算机控制器550以编程方式调节。
在另一种情况下,调节基座508的高度可以使得在某些公开的实施方式中执行的等离子体激活循环期间等离子体密度能够变化。在处理阶段结束时,基座508可以在另一衬底转移阶段期间降低以允许衬底512从基座508移除。
在一些实施方式中,喷头506的位置可以相对于基座508调节,以改变衬底512和喷头506之间的体积。此外,应当理解,基座508和/或喷头506的垂直位置可以通过本公开范围内的任何合适的机制来改变。在一些实施方式中,基座508可以包括用于旋转衬底512的取向的旋转轴线。应当理解,在一些实施方式中,这些示例调整中的一个或多个可以由一个或多个合适的计算机控制器550以编程方式执行。计算机控制器550可以包括以下关于图6的控制器650描述的任何特征。
在如上所述可以使用等离子体的一些实施方式中,喷头506和基座508与射频(RF)电源514和匹配网络516电连接以为等离子体供电。在一些实施方式中,可以通过控制处理站压力、气体浓度、RF源功率、RF源频率和等离子体功率脉冲定时中的一个或多个来控制等离子体能量。例如,RF电源514和匹配网络516可以以任何合适的功率操作,以形成具有所需组成的自由基物质的等离子体。上面列出了合适功率的示例。类似地,RF电源514可以提供任何合适频率的RF功率。在一些实施方式中,RF电源514可以被配置为彼此独立地控制高频和低频RF电源。示例性的低频RF频率可以包括但不限于在0kHz和500kHz之间的频率。示例性的高频RF频率可以包括但不限于在1.8MHz和2.45GHz之间的频率,或大于约13.56MHz、或大于27MHz、或大于40MHz、或大于60MHz的频率。应当理解,任何合适的参数可以被离散地或连续地调制以提供用于表面反应的等离子体能量。
在一些实施方式中,等离子体可以由一个或多个等离子体监测器原位监测。在一种情况下,等离子体功率可以由一个或多个电压、电流传感器(例如,VI探针)监测。在另一种情况下,可以通过一个或多个光发射光谱传感器(OES)来测量等离子体密度和/或工艺气体浓度。在一些实施方式中,可以基于来自这种原位等离子体监测器的测量来编程地调节一个或多个等离子体参数。例如,可以在反馈回路中使用OES传感器来提供等离子体功率的编程控制。应当理解,在一些实施方式中,可以使用其它监测器来监测等离子体和其它工艺特性。这种监测器可以包括但不限于红外(IR)监测器、声音监测器和压力传感器。
在一些实施方式中,可以经由输入/输出控制(IOC)排序指令来提供用于控制器550的指令。在一个示例中,用于设置处理阶段的条件的指令可以包括在工艺配方的相应配方阶段中。在某些情况下,可以顺序地布置工艺配方阶段,使得处理阶段的所有指令与该处理阶段同时执行。在一些实施方式中,用于设置一个或多个反应器参数的指令可以包括在配方阶段中。例如,第一配方阶段可以包括用于设定惰性和/或反应气体(例如,第一前体(如含硅前体))的流速的指令、用于设定载气(例如氩气)的流速的指令、以及用于第一配方阶段的时间延迟指令。第二随后的配方阶段可以包括用于调制或停止惰性和/或反应气体的流速的指令、以及用于调制载气或吹扫气体的流速的指令、以及用于第二配方阶段的时间延迟指令。第三配方阶段可以包括用于调制诸如氧之类的第二反应气体的流速的指令、用于调节载气或吹扫气体的流速的指令、用于以低功率点燃等离子体的指令、以及用于第三配方阶段的时间延迟指令。第四随后的配方阶段可以包括用于调制或停止惰性和/或反应气体的流速的指令、以及用于调制载气或吹扫气体的流速的指令、以及用于第四配方阶段的时间延迟指令。应当理解,在本公开的范围内,这些配方阶段可以以任何合适的方式进一步细分和/或迭代。
此外,在一些实施方式中,可以由蝶阀518提供处理站500的压力控制。如图5的实施方式所示,蝶阀518节流由下游真空泵(未示出)提供的真空。然而,在一些实施方式中,也可以通过改变引入到处理站500的一种或多种气体的流速来调节处理站500的压力控制。
如上所述,一个或多个处理站可以被包括在多站处理工具中。图6示出了具有入站装载锁602和出站装载锁604的多站处理工具600的实施方式的示意图,入站装载锁602和出站装载锁604中的一个或两个可包括远程等离子体源(未示出)。机械手606在大气压力下被配置成将晶片从通过匣608装载的盒通过大气端口610移动到入口装载锁602中。晶片(未示出)由机械手606放置在入站装载锁602中的基座612上,大气端口610关闭,并且入站装载锁602被向下泵送(pump down)。在入站装载锁602包括远程等离子体源的情况下,在晶片被引入到处理室614之前,可以将晶片暴露于入站装载锁602中的远程等离子体处理。此外,同样地,晶片也可以在入站装载锁602中被加热,例如以去除水分和吸附的气体。接下来,打开通往处理室614的室输送口616,并且另一机械手(未示出)将晶片放置在反应器中在反应器中示出的第一站的基座上,以用于处理。虽然图6所示的实施方式包括装载锁,但是应当理解,在一些实施方式中,可以使得晶片直接进入处理站中。
所描绘的处理室614包括在图6所示的实施方式中从1到4编号的四个处理站。每个站具有加热的基座(对于站1示出为618)和气体管线入口。应当理解,在一些实施方式中,每个处理站可以具有不同或多个目的。例如,在一些实施方式中,处理站可以在ALD和等离子体增强的ALD处理模式之间切换。在一些实施方式中,暴露于沉积前体并暴露于第二反应物和等离子体在相同的站中进行。附加地或替代地,在一些实施方式中,处理室614可以包括一个或多个匹配的ALD处理站和等离子体增强的ALD处理站对。虽然所描绘的处理室614包括四个站,但是应当理解,根据本公开的处理室可以具有任何合适数量的站。例如,在一些实施方式中,处理室可具有五个或更多个站,而在其它实施方式中,处理室可具有三个或更少个站。
图6描绘了用于在处理室614内传送晶片的晶片搬运***690的实施方式。在一些实施方式中,晶片搬运***690可以在各个处理站之间和/或在处理站和装载锁之间传送晶片。应当理解,可以采用任何合适的晶片搬运***。非限制性示例包括晶片转盘和晶片搬运机械手。图6还描绘了用于控制处理工具600的工艺条件和硬件状态的***控制器650的实施方式。***控制器650可以包括一个或多个存储器设备656、一个或多个大容量存储设备654和一个或多个处理器652。处理器652可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。
在一些实施方式中,***控制器650控制处理工具600的所有活动。***控制器650执行存储在大容量存储设备654中、加载到存储器设备656中并在处理器652上执行的***控制软件658。替代地,控制逻辑可以在控制器650中进行硬编码。专用集成电路、可编程逻辑器件(例如,现场可编程门阵列或FPGA)等可用于这些目的。在下面的讨论中,无论何处使用“软件”或“代码”,功能上可比较的硬编码逻辑都可以在其中使用。***控制软件658可以包括用于控制定时、气体混合、气体流速、室和/或站压力、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置以及由处理工具600执行的特定处理的其他参数的指令。***控制软件658可以以任何合适的方式配置。例如,可以写入各种处理工具组件子程序或控制对象,以控制用于执行各种处理工具工艺的处理工具组件的操作。***控制软件658可以以任何合适的计算机可读编程语言进行编码。
在一些实施方式中,***控制软件658可以包括用于控制上述各种参数的输入/输出控制(IOC)排序指令。在一些实施方式中可以采用存储在与***控制器650相关联的大容量存储设备654和/或存储器设备656上的其他计算机软件和/或程序。用于此目的的程序或程序段的示例包括衬底定位程序、工艺气体控制程序、压力控制程序、加热器控制程序和等离子体控制程序。
衬底定位程序可以包括用于处理工具部件的程序代码,处理工具部件用于将衬底装载到基座618上并且控制衬底与处理工具600的其它部件之间的间隔。
工艺气体控制程序可以包括用于控制气体组成(例如,含硅气体、含氧气体、用于进行投配后处理的气体以及如本文所述的吹扫气体)和流速的代码,以及可选地用于在沉积之前将气体流入一个或多个处理站以便稳定处理站中的压力的代码。压力控制程序可以包括用于通过调节例如处理站的排放***中的节流阀、进入处理站的气体流等来控制处理站中的压力的指令。
加热器控制程序可以包括用于控制流向用于加热衬底的加热单元的电流的代码。或者,加热器控制程序可以控制传热气体(例如氦)向衬底的传送。
根据本文的实施方式,等离子体控制程序可以包括用于设置施加到一个或多个处理站中的处理电极的RF功率电平的代码。
根据本文的实施方式,压力控制程序可以包括用于维持反应室中的压力的代码。
在一些实施方式中,可以存在与***控制器650相关联的用户界面。用户界面可以包括显示屏幕、设备的图形软件显示和/或处理条件、以及用户输入设备(例如,定点设备,键盘、触摸屏、麦克风等)。
在一些实施方式中,由***控制器650调整的参数可以涉及工艺条件。非限制性示例包括工艺气体组成和流速、温度、压力、等离子体条件(例如RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以使用用户界面输入。
用于监测处理的信号可以从各种处理工具传感器由***控制器650的模拟和/或数字输入连接来提供。可以在处理工具600的模拟和数字输出连接上输出用于控制处理的信号。可以被监测的处理工具传感器的非限制性示例包括质量流量控制器、压力传感器(例如压力计)、热电偶等。可以使用适当编程的反馈和控制算法与来自这些传感器的数据来维持工艺条件。
***控制器650可以提供用于实现上述沉积工艺的程序指令。程序指令可以控制各种工艺参数,例如DC功率电平、RF偏置功率电平、压力、温度等。指令可以根据本文描述的各种实施方式来控制参数以操作膜堆叠的原位沉积。
***控制器650通常将包括一个或多个存储器设备和被配置为执行指令使得装置将执行根据所公开的实施方式的方法的一个或多个处理器。包含根据所公开的实施方式的用于控制处理操作的指令的机器可读介质可以耦合到***控制器650。
在一些实现方式中,***控制器650是***的一部分,该***可以是上述示例的一部分。这样的***可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流***等)。这些***可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个***的各种部件或子部件。根据处理要求和/或***类型,***控制器650可以被编程以控制本文公开的任何工艺,任何工艺包括工艺气体的输送、温度设置(例如加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定***或与特定***接口的装载锁的晶片输送。
概括地说,***控制器650可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式传送到***控制器650的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或针对***执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,***控制器650可以是与***集成、耦合到***、以其它方式联网到***或其组合的计算机的一部分或耦合到该计算机。例如,***控制器650可以在“云”中或在晶片厂(fab)主机***的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对***的远程访问以监测制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能度量,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向***提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到***。在一些示例中,***控制器650接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,***控制器650被配置为与该工具接口或控制该工具。因此,如上所述,***控制器650可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例***可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理***。
如上所述,根据将由工具执行的一个或多个处理步骤,***控制器650可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
进一步在于2011年4月11日提交的名称为“PLASMA ACTIVATED CONFORMAL FILMDEPOSITION”的美国专利申请No.13/084,399(现为美国专利No.8,728,956)以及于2011年4月11日提交的名称为“SILICON NITRIDE FILMS AND METHODS”的美国专利申请No.13/084,305中讨论和描述了用于执行本文公开的方法的适当装置,其全部内容并入本文。
本文所述的装置/工艺可以与光刻图案化工具或工艺结合使用,以例如用于制备或制造半导体器件、显示器、LED、光伏面板等。通常,虽然不一定,但是也可以在共同的制造设施中一起使用或执行这样的工具。膜的光刻图案化通常包括以下操作中的一些或全部操作,每个操作都能够使用许多可能的工具进行:(1)使用旋涂或喷涂工具在工件(例如衬底)上施加光致抗蚀剂;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)用诸如衬底步进曝光机之类的工具将光致抗蚀剂暴露于可见光或UV或X射线光;(4)使用诸如湿式工作台之类的工具显影抗蚀剂以选择性地除去抗蚀剂,从而将其图案化;(5)通过使用干蚀刻工具或等离子体辅助的蚀刻工具将抗蚀剂图案转移到下面的膜或工件中;以及(6)使用诸如RF或微波等离子体抗蚀剂剥离剂之类的工具去除抗蚀剂。
本文所述的蚀刻操作(例如用于相对于间隔物材料选择性蚀刻芯材料)可以在任何合适的处理室中进行。在一些实施方式中,可以在如图7所示的电感耦合等离子体(ICP)反应器中蚀刻衬底。
现在描述在某些实施方式中可适用于蚀刻操作和原子层沉积(ALD)操作的电感耦合等离子体(ICP)反应器。这种ICP反应器也在于12/10/2013提交的的名称为“IMAGEREVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING”的美国专利申请公开No.2014/0170853中描述,这里通过引用将其整体并入本文并出于所有目的。尽管这里描述了ICP反应器,但在一些实施方式中,应当理解,也可以使用电容耦合等离子体反应器。
图7示意性地示出了适用于实现本文中某些实施方式的电感耦合等离子体集成蚀刻和沉积装置700的横截面视图,其示例是由加里福尼亚州弗里蒙特市的Lam ResearchCorp.生产的KiyoTM反应器。电感耦合等离子体装置700包括在结构上由室701壁和窗711限定的整个处理室。室701壁可以由不锈钢或铝制成。窗711可以由石英或其它电介质材料制成。可选的内部等离子体栅格750将整个处理室划分成上子室702和下子室703。在大多数实施方式中,等离子体栅格750可被移除,从而利用由子室702和703构成的室空间。卡盘717位于底部内表面附近的下子室703内。卡盘717构造成接收并保持半导体晶片719,在半导体晶片719上执行蚀刻和沉积处理。卡盘717可以是用于在晶片719存在时支撑晶片719的静电卡盘。在一些实施方式中,当晶片719存在于卡盘717上时,边缘环(未示出)围绕卡盘717,并且具有与晶片719的顶表面大致在同一平面的上表面。卡盘717还包括用于夹紧和释放(dechuck)晶片的静电电极。为此,可以提供滤波器和DC夹紧电源(未示出)。也可以提供用于升高晶片719离开卡盘717的其他控制***。卡盘717可以使用RF电源723进行充电。RF电源723通过连接件727连接到匹配电路721。匹配电路721通过连接件725连接到卡盘717。以这种方式,RF电源723连接到卡盘717。
用于等离子体产生的元件包括位于窗711上方的线圈733。在一些实施方式中,在所公开的实施方式中不使用线圈。线圈733由导电材料制成并且包括至少一个完整的匝。图7所示的线圈733的示例包括三匝。线圈733的横截面用符号表示,并且具有“X”的线圈旋转地延伸到页面中,而具有“●”的线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为向线圈733提供RF功率的RF电源741。通常,RF电源741通过连接件745连接到匹配电路739。匹配电路739通过连接件743连接到线圈733。以这种方式,RF电源741连接到线圈733。可选的法拉第屏蔽749位于线圈733和窗711之间。法拉第屏蔽749被保持成相对于线圈733呈间隔开的关系。法拉第屏蔽749设置在窗711的正上方。线圈733、法拉第屏蔽749和窗711各自被配置为基本上彼此平行。法拉第屏蔽可防止金属或其他物质沉积在等离子体室的介电窗上。
工艺气体可以通过位于上室中的一个或多个主气流入口760和/或通过一个或多个侧气流入口770流入处理室。同样地,尽管未明确示出,但是类似的气流入口可用于将工艺气体供应到电容耦合等离子体处理室。可以使用真空泵,例如一级或两级机械干式泵和/或涡轮分子泵740,以将工艺气体从处理室724中抽出并维持处理室700内的压力。例如,泵可以用于抽空室701。可以使用阀控管道将真空泵流体连接到处理室,以便选择性地控制由真空泵提供的真空环境的应用。这可以在操作等离子体处理期间使用闭环控制的流量限制装置(例如节流阀(未示出)或摆阀(未示出))来完成。同样地,也可以采用真空泵和与电容耦合等离子体处理室的阀控流体连接。
在装置的操作期间,一种或多种工艺气体可以通过气流入口760和/或770供应。在某些实施方式中,工艺气体可以仅通过主气流入口760供应,或者仅通过侧气体流入口770供应。在一些情况下,图中所示的气体流入口可以被替换为更复杂的气体入口,例如一个或多个喷头。法拉第屏蔽749和/或可选栅格750可以包括允许将工艺气体输送到室的内部通道和孔。法拉第屏蔽749和可选栅格750中的任一者或两者可以用作用于输送工艺气体的喷头。在一些实施方式中,液体蒸发和输送***可以位于室701的上游,使得一旦液体反应物汽化,汽化的反应物通过气流入口760和/或770被引入室中。
射频功率从RF电源741提供到线圈733,以使RF电流流过线圈733。流过线圈733的RF电流围绕线圈733产生电磁场。电磁场在上子室702内产生感应电流。各种生成的离子和自由基与晶片719的物理和化学相互作用选择性地在晶片上蚀刻层的特征以及在晶片上沉积层。
如果使用等离子体栅格使得存在上子室702和下子室703两者,则感应电流作用在上子室702中存在的气体上以在上子室702中产生电子-离子等离子体。可选的内部等离子体栅格750限制下子室703中的热电子的量。在一些实施方式中,设计和操作装置,使得存在于下子室703中的等离子体是离子-离子等离子体。
虽然上面的电子-离子等离子体和下面的离子-离子等离子体都可以含有正离子和负离子,但是离子-离子等离子体将具有较大的负离子与正离子的比例。挥发性蚀刻和/或沉积副产物可以通过端口722从下子室703移除。本文公开的卡盘717可以在约10℃和约850℃之间的升高的温度下操作。温度将取决于工艺操作和具体配方。
室701在安装在洁净室或制造设施中时可以耦合到设施(未示出)。设施包括提供处理气体、真空、温度控制和环境颗粒控制的管道。这些设施在安装在目标制造设施中时耦合到室701。另外,室701可以耦合到传送室,传送室允许机械手利用典型的自动化传送半导体晶片进出室701。
在一些实施方式中,***控制器730(其可以包括一个或多个物理或逻辑控制器)控制处理室的一些或全部操作。***控制器730可以包括上面关于***控制器650描述的任何一个或多个特征。
图8描绘了具有与真空传送模块838(VTM)接口的各种模块的半导体工艺集群架构。在多个存储设备和处理模块之间“传送”晶片的传送模块的布置可被称为“集群工具架构”***。在VTM838中示出了也称为装载锁或传送模块的气锁830以及可被单独优化以执行各种制造工艺的四个处理模块820a-820d。例如,处理模块820a-820d可以被实现以执行衬底蚀刻、沉积、离子注入、晶片清洁、溅射和/或其它半导体工艺。在一些实施方式中,在相同的模块中执行ALD和选择性蚀刻。在一些实施方式中,在相同工具的不同模块中执行ALD和选择性蚀刻。衬底蚀刻处理模块(820a-820d中的任何处理模块)中的一个或多个可以如本文所公开的那样实现,即,用于沉积共形膜、通过ALD选择性地沉积膜、蚀刻图案、以及根据所公开的实施方式的其它合适的功能。气锁830和处理模块820可以被称为“站”。每个站具有将工作台连接到VTM 838的小面836。在每个小面之内,传感器1-18用于检测晶片826在相应站之间移动时的通过。
机械手822在站之间传送晶片826。在一个实施方式中,机械手822具有一个臂,并且在另一个实施方式中,机械手822具有两个臂,其中每个臂具有末端执行器824以拾取诸如晶片826之类的晶片以用于运输。在大气传送模块(ATM)840中的前端机械手832用于将晶片826从盒或装载端口模块(LPM)842中的正面开口标准箱(FOUP)834传送到气锁830。在处理模块820内的模块中心828是用于放置晶片826的一个位置。使用ATM 840中的对准器844对准晶片。
在示例性处理方法中,将晶片放置在LPM 842中的FOUP 834中的一个中。前端机械手832将晶片从FOUP 834传送到对准器844,其允许晶片826在蚀刻或处理之前被适当地居中。在对准之后,晶片826被前端机械手832移动到气锁830。由于气锁模块具有匹配ATM和VTM之间的环境的能力,所以晶片826能够在两个压力环境之间移动而不被损坏。从气锁模块830,晶片826被机械手822移动通过VTM 838到达处理模块820a-820d中的一个中。为了实现该晶片移动,机械手822使用其每个臂上的末端执行器824。一旦晶片826已经被处理,其被机械手822从处理模块820a-820d移动到气锁模块830。从这里,晶片826可以被前端机械手832移动到FOUP834中的一个或移动到对准器844。
应当注意,控制晶片移动的计算机对集群架构可以是本地的,或者可以位于制造层中的集群架构的外部,或位于远程位置并且经由网络连接到集群架构。可以使用图8中的工具来实现如上关于图6所述的控制器。
实验
实验1
进行了用于蚀刻三个硅氧化物膜的实验。通过循环地重复以下操作来沉积第一硅氧化物膜:(1)投配含硅前体;(2)吹扫;(3)以1.5sccm的流速投配氧气,并且以900W的功率点燃等离子体持续0.4秒的时间;以及(4)吹扫。在50℃下进行沉积。该衬底在下面的表1中称为衬底1。
通过循环地重复以下操作来沉积第硅氧化物膜:(1)投配含硅前体;(2)吹扫;(3)以2sccm的流速投配氧气,并且以900W的功率点燃等离子体持续0.2秒的时间;和(4)吹扫。在30℃下进行沉积。该衬底在下面的表1中称为衬底2。
通过循环地重复以下操作来沉积第三硅氧化物膜:(1)投配含硅前体;(2)吹扫;(3)以2sccm的流速投配氧气,并且以300W的功率点燃等离子体持续0.2秒的时间;和(4)吹扫。在30℃下进行沉积。该衬底在下面的表1中称为衬底3。
在室压强为30mTorr的室中使用具有700MHz的LF频率、1200MHz的HF频率的脉冲双频RF等离子体来蚀刻这三个膜持续37秒,同时使C4F6以8sccm流速流动、O2以12sccm的流速流动、C4F8以6sccm的流速流动、并且Ar以500sccm的流速流动。测量蚀刻速率并示于下面的表1中。
表1.实验1结果
Figure GDA0002996567820000271
这些结果表明,减少RF接通时间、降低温度以及减小RF功率增加了蚀刻速率,从而增加硅氧化物对含碳芯材料的蚀刻选择性。
结论
虽然为了清楚理解,已经对前述实施方式进行了相当详细的描述,但是显而易见的是,可以在所附权利要求的范围内实施某些改变和修改。应当注意,存在实现本实施方式的工艺、***和装置的许多替代方式。因此,本实施方式被认为是说明性的而不是限制性的,并且实施方式不限于本文给出的细节。

Claims (20)

1.一种使用负图案化来图案化衬底的方法,所述方法包括:
(a)在芯材料上共形地沉积间隔物,所述间隔物通过一个或多个原子层沉积循环沉积,每个原子层沉积循环包括:
(i)将所述衬底暴露于沉积前体,以及
(ii)将所述衬底暴露于氧化剂并且在每个循环中点燃等离子体持续小于300ms的持续时间;以及
(b)通过在以比蚀刻所述芯材料的速率快至少六倍的速率蚀刻所述间隔物的条件下选择性地蚀刻所述间隔物来形成用于图案化所述衬底的掩模。
2.根据权利要求1所述的方法,其中所述芯材料包括碳。
3.根据权利要求1-2中任一项所述的方法,其中所述间隔物包括含硅材料。
4.根据权利要求1-2中任一项所述的方法,其还包括在制造DRAM期间通过所述掩模蚀刻所述衬底。
5.根据权利要求1-2中任一项所述的方法,其中所述间隔物具有介于1.4至1.5之间的折射率。
6.根据权利要求1-2中任一项所述的方法,其中所述间隔物被沉积至介于10nm至30nm之间的厚度。
7.根据权利要求1-2中任一项所述的方法,其中选择性地蚀刻所述间隔物包括将所述衬底暴露于碳氟化合物蚀刻剂。
8.根据权利要求1-2中任一项所述的方法,其中所述间隔物在50℃和200℃之间的温度下沉积。
9.根据权利要求1-2中任一项所述的方法,其中所述间隔物的介电常数在4和6之间。
10.根据权利要求1所述的方法,其中所述芯材料选自由旋涂碳、类金刚石碳和间隙填充能灰化硬掩模组成的组。
11.根据权利要求5所述的方法,其中所述氧化剂以介于0.5slm至3slm之间的流速流动。
12.根据权利要求6所述的方法,其中所述间隔物包括硅氧化物。
13.一种用于图案化衬底的装置,所述装置包括:
(a)一个或多个处理室;
(b)进入所述一个或多个处理室的一个或多个气体入口和相关联的流量控制硬件;
(c)低频射频发生器;
(d)高频射频发生器;以及
(e)具有至少一个处理器和存储器的控制器,其中
所述至少一个处理器和所述存储器彼此通信地连接,
所述至少一个处理器至少与所述流量控制硬件、所述低频射频发生器和所述高频射频发生器能操作地连接,以及
所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以便至少控制所述流量控制硬件、所述高频射频发生器和所述低频射频发生器,以:
(i)在容纳在所述一个或多个处理室中的一个处理室中的衬底上的芯材料上共形地沉积间隔物,所述间隔物通过一个或多个原子层沉积循环沉积,每个原子层沉积循环包括:
1)使沉积前体流动,以及
2)使氧化剂流动并且在每个循环中点燃等离子体持续小于300ms的持续时间;以及
(ii)在以比蚀刻所述芯材料的速率快至少六倍的速率蚀刻所述间隔物的条件下,相对于所述芯材料选择性蚀刻所述间隔物。
14.根据权利要求13所述的装置,其中用于沉积所述间隔物的所述指令包括用于在衬底活性表面积的小于0.2W/cm2的射频功率密度下点燃所述等离子体的指令。
15.根据权利要求13所述的装置,其中用于沉积所述间隔物的指令包括用于当在(i)的2)中所述氧化剂流动并且所述等离子体被点燃时使氩气和所述氧化剂以至少1:12的比例流动到所述一个或多个处理室中的所述一个处理室的指令。
16.根据权利要求13-15中任一项所述的装置,其中所述处理室包括用于保持衬底的基座,所述基座设置在50℃和200℃之间的温度。
17.一种使用负图案化来图案化衬底的方法,所述方法包括:
(a)在芯材料上共形地沉积间隔物,所述间隔物通过一个或多个原子层沉积循环沉积,每个原子层沉积循环包括:
(i)将所述衬底暴露于沉积前体,以及
(ii)将所述衬底暴露于氧化剂并且在衬底活性表面积的小于0.2W/cm2的射频功率密度下点燃等离子体;以及
(b)通过在以比蚀刻所述芯材料的速率快至少六倍的速率蚀刻所述间隔物的条件下选择性地蚀刻所述间隔物来形成用于在衬底上执行负图案的掩模。
18.一种用于图案化衬底的装置,所述装置包括:
(a)一个或多个处理室;
(b)进入所述一个或多个处理室的一个或多个气体入口和相关联的流量控制硬件;
(c)低频射频发生器;
(d)高频射频发生器;以及
(e)具有至少一个处理器和存储器的控制器,其中
所述至少一个处理器和所述存储器彼此通信地连接,
所述至少一个处理器至少与所述流量控制硬件、所述低频射频发生器和所述高频射频发生器能操作地连接,以及
所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以便至少控制所述流量控制硬件、所述高频射频发生器和所述低频射频发生器,以:
(i)在容纳在所述一个或多个处理室中的一个处理室中的衬底上的芯材料上共形地沉积间隔物,所述间隔物通过一个或多个原子层沉积循环沉积,每个原子层沉积循环包括:
1)使沉积前体流动,以及
2)使氧化剂流动并且在衬底活性表面积的小于0.2W/cm2的射频功率密度下点燃等离子体;以及
(ii)在以比蚀刻所述芯材料的速率快至少六倍的速率蚀刻所述间隔物的条件下,相对于所述芯材料选择性蚀刻所述间隔物。
19.一种使用负图案化来图案化衬底的方法,所述方法包括:
(a)在芯材料上共形地沉积间隔物,所述间隔物通过一个或多个原子层沉积循环沉积,每个原子层沉积循环包括:
(i)将所述衬底暴露于沉积前体,
(ii)将所述衬底暴露于气体混合物环境,所述气体混合物环境包括氧化剂和氩,所述气体混合物具有氩的流速和氧化剂的流速之比为至少1:12的比率;以及
(iii)点燃所述气体混合物环境中的等离子体;以及
(b)通过在以比蚀刻所述芯材料的速率快至少六倍的速率蚀刻所述间隔物的条件下选择性地蚀刻所述间隔物来形成用于在衬底上执行负图案的掩模。
20.一种用于图案化衬底的装置,所述装置包括:
(a)一个或多个处理室;
(b)进入所述一个或多个处理室的一个或多个气体入口和相关联的流量控制硬件;
(c)低频射频发生器;
(d)高频射频发生器;以及
(e)具有至少一个处理器和存储器的控制器,其中
所述至少一个处理器和所述存储器彼此通信地连接,
所述至少一个处理器至少与所述流量控制硬件、所述低频射频发生器和所述高频射频发生器能操作地连接,以及
所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以便至少控制所述流量控制硬件、所述高频射频发生器和所述低频射频发生器,以:
(i)在容纳在所述一个或多个处理室中的一个处理室中的衬底上的芯材料上共形地沉积间隔物,所述间隔物通过一个或多个原子层沉积循环沉积,每个原子层沉积循环包括:
1)使沉积前体流动,
2)将所述衬底暴露于气体混合物环境,所述气体混合物环境包括氧化剂和氩,所述气体混合物具有氩的流速和氧化剂的流速之比为至少1:12的比率;以及
3)点燃所述气体混合物环境中的等离子体;
(ii)在以比蚀刻所述芯材料的速率快至少六倍的速率蚀刻所述间隔物的条件下,相对于所述芯材料选择性蚀刻所述间隔物。
CN201710772400.8A 2016-08-31 2017-08-31 用于半导体图案化应用的高干法蚀刻速率材料 Active CN107799390B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/253,546 US10074543B2 (en) 2016-08-31 2016-08-31 High dry etch rate materials for semiconductor patterning applications
US15/253,546 2016-08-31

Publications (2)

Publication Number Publication Date
CN107799390A CN107799390A (zh) 2018-03-13
CN107799390B true CN107799390B (zh) 2021-10-12

Family

ID=61243346

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710772400.8A Active CN107799390B (zh) 2016-08-31 2017-08-31 用于半导体图案化应用的高干法蚀刻速率材料

Country Status (6)

Country Link
US (1) US10074543B2 (zh)
JP (1) JP2018074145A (zh)
KR (1) KR102474327B1 (zh)
CN (1) CN107799390B (zh)
SG (1) SG10201706963VA (zh)
TW (1) TW201820461A (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10249525B2 (en) * 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10950454B2 (en) * 2017-08-04 2021-03-16 Lam Research Corporation Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
US10147611B1 (en) * 2017-08-28 2018-12-04 Nanya Technology Corporation Method for preparing semiconductor structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10446394B2 (en) * 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10566194B2 (en) 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
KR20210078569A (ko) * 2018-11-16 2021-06-28 램 리써치 코포레이션 기포 결함 감소
KR20210010816A (ko) * 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20220097974A (ko) * 2019-11-08 2022-07-08 램 리써치 코포레이션 무선 주파수 (rf) 전력 램핑을 사용한 플라즈마 강화된 원자층 증착 (ald)
US20230045336A1 (en) * 2020-07-07 2023-02-09 Lam Research Corporation Integrated dry processes for patterning radiation photoresist patterning
JP7478059B2 (ja) * 2020-08-05 2024-05-02 株式会社アルバック シリコンのドライエッチング方法
CN113201727B (zh) * 2021-04-28 2023-02-28 錼创显示科技股份有限公司 半导体晶圆承载结构及有机金属化学气相沉积装置
WO2024073220A1 (en) * 2022-09-28 2024-04-04 Lam Research Corporation Layered metal oxide-silicon oxide films

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060289385A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium storing the control program
US20120028469A1 (en) * 2010-07-30 2012-02-02 Asm Japan K.K. METHOD OF TAILORING CONFORMALITY OF Si-CONTAINING FILM
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
CN103632955A (zh) * 2008-06-02 2014-03-12 气体产品与化学公司 含硅薄膜的低温沉积
CN104752199A (zh) * 2013-11-07 2015-07-01 诺发***公司 用于先进图案化的软着陆纳米层压层

Family Cites Families (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5528719A (en) 1993-10-26 1996-06-18 Sumitomo Metal Mining Company Limited Optical fiber guide structure and method of fabricating same
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US6225175B1 (en) 1997-06-20 2001-05-01 Texas Instruments Incorporated Process for defining ultra-thin geometries
US5854105A (en) 1997-11-05 1998-12-29 Vanguard International Semiconductor Corporation Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6403416B1 (en) 1999-01-07 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
AU4902201A (en) 1999-11-02 2001-07-03 Tokyo Electron Limited Method and apparatus for supercritical processing of a workpiece
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
IL152376A0 (en) 2000-04-25 2003-05-29 Tokyo Electron Ltd Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
KR100366621B1 (ko) 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7301210B2 (en) 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7491630B2 (en) 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN101517713B (zh) 2006-09-19 2011-02-09 东京毅力科创株式会社 等离子体清洁方法和等离子体cvd方法
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
KR101542267B1 (ko) 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
WO2010151856A2 (en) 2009-06-26 2010-12-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8105901B2 (en) 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8354331B2 (en) 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US8021949B2 (en) 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
EP2553141A4 (en) 2010-04-01 2013-08-21 Air Liquide DEPOSITION OF FILMS CONTAINING METAL NITRIDES USING A COMBINATION OF AMINOUS AND HALOGENATED METAL PRECURSORS
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101225601B1 (ko) 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8883649B2 (en) 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
EP2694700B1 (en) 2011-04-07 2016-11-16 Picosun Oy Atomic layer deposition with plasma source
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
CN107342216B (zh) 2011-09-23 2022-05-31 诺发***公司 等离子体活化保形电介质膜沉积
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5882776B2 (ja) * 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
JPWO2013137115A1 (ja) 2012-03-15 2015-08-03 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
KR102052936B1 (ko) 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9209274B2 (en) 2013-07-19 2015-12-08 Globalfoundries Inc. Highly conformal extension doping in advanced multi-gate devices
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
KR102363899B1 (ko) 2014-01-13 2022-02-15 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9318334B2 (en) 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9449971B2 (en) 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
EP3035379B1 (en) 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
CN106298519A (zh) 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US9748110B2 (en) 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10103032B2 (en) * 2015-11-20 2018-10-16 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060289385A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium storing the control program
CN103632955A (zh) * 2008-06-02 2014-03-12 气体产品与化学公司 含硅薄膜的低温沉积
US20120028469A1 (en) * 2010-07-30 2012-02-02 Asm Japan K.K. METHOD OF TAILORING CONFORMALITY OF Si-CONTAINING FILM
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
CN104752199A (zh) * 2013-11-07 2015-07-01 诺发***公司 用于先进图案化的软着陆纳米层压层

Also Published As

Publication number Publication date
TW201820461A (zh) 2018-06-01
US10074543B2 (en) 2018-09-11
JP2018074145A (ja) 2018-05-10
KR102474327B1 (ko) 2022-12-05
CN107799390A (zh) 2018-03-13
KR20180025247A (ko) 2018-03-08
US20180061650A1 (en) 2018-03-01
SG10201706963VA (en) 2018-03-28

Similar Documents

Publication Publication Date Title
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
KR102510157B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
KR102407031B1 (ko) 고 계수 ald sio2 스페이서를 위한 방법
CN108183071B (zh) 采用ald填隙间隔物掩模的自对准多重图案化处理流程
CN111247269B (zh) 介电膜的几何选择性沉积
US10903071B2 (en) Selective deposition of silicon oxide
CN108630524B (zh) 氮化硅的选择性生长
TWI834679B (zh) 含金屬硬遮罩薄膜的選擇性生長
CN114245832A (zh) 原子层沉积期间的膜特性的原位控制
US20230154754A1 (en) Loss prevention during atomic layer deposition
KR20210150606A (ko) 변조된 원자 층 증착

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant