CN116959964A - 用于高模数ALD SiO2间隔物的方法 - Google Patents

用于高模数ALD SiO2间隔物的方法 Download PDF

Info

Publication number
CN116959964A
CN116959964A CN202310644592.XA CN202310644592A CN116959964A CN 116959964 A CN116959964 A CN 116959964A CN 202310644592 A CN202310644592 A CN 202310644592A CN 116959964 A CN116959964 A CN 116959964A
Authority
CN
China
Prior art keywords
substrate
silicon oxide
spacer material
plasma
oxide spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310644592.XA
Other languages
English (en)
Inventor
克洛伊·巴尔达赛罗尼
尚卡尔·斯瓦米纳坦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN116959964A publication Critical patent/CN116959964A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及用于高模数ALD SiO2间隔物的方法。提供了使用原子层沉积形成高模量氧化硅间隔物的方法和装置。方法包括在高温下沉积,使用高等离子体能量,以及使用紫外线辐射对沉积的氧化硅进行后处理。这种氧化硅隔离物适合用作多个图案化应用中的掩模以防止间距行走。

Description

用于高模数ALD SiO2间隔物的方法
本申请是申请号为201780070305.1、申请日为2017年11月8日、发明名称为“用于高模数ALD SiO2间隔物的方法”的发明专利申请的分案申请。
相关申请的交叉引用
本申请要求于2016年11月14日提交的美国专利申请No.15/351,221的优先权,其公开内容通过引用整体并入本文并用于所有目的。
背景技术
先进集成电路的制造通常涉及半导体大批量制造中图案化小特征。多重图案化技术可以使得能够基于光刻技术(例如193nm浸没光刻)进行特征尺寸缩放(scaling)。自对准双重图案化是多重图案化技术的一个示例。
发明内容
本文提供了处理衬底的方法。一个方面涉及一种在衬底上进行多次图案化的方法,该方法包括:提供具有图案化的芯材料的衬底;将所述衬底暴露于交替暴露的含硅前体和氧化剂;以及当将所述衬底暴露于所述氧化剂时点燃等离子体,以在所述图案化的芯材料上形成具有至少55GPa的弹性模量的保形氧化硅间隔物材料。在一些实施方案中,所述保形氧化硅间隔物材料的弹性模量介于约55GPa和约70GPa之间。
所述保形氧化硅间隔物材料可以在大于50℃且小于约80℃的衬底温度下沉积。在一些实施方案中,所述方法还包括将所述保形氧化硅间隔物材料暴露于紫外线辐射以使所述保形氧化硅间隔物材料致密化。在一些实施方案中,所述保形氧化硅间隔物材料可以在大于50℃且小于约80℃的衬底温度下沉积,并且使用至少约1000焦耳的射频等离子体能量点燃所述等离子体,且所述方法还包括将所述保形氧化硅间隔物材料暴露于紫外线辐射以使所述保形氧化硅间隔物材料致密化。所述保形氧化硅间隔物材料可以暴露于所述紫外线辐射持续介于约5分钟和约30分钟之间的持续时间。
可以使用至少约1000焦耳的射频等离子体能量点燃所述等离子体。可以使用至少约1000焦耳的射频等离子体能量点燃所述等离子体。在一些实施方案中,所述保形氧化硅间隔物材料在大于50℃且小于约80℃的衬底温度下沉积,并且使用至少约1000焦耳的射频等离子体能量点燃所述等离子体。在一些实施方案中,所述方法还包括将所述保形氧化硅间隔物材料暴露于紫外线辐射以使所述保形氧化硅间隔物材料致密化。所述保形氧化硅间隔物材料可以暴露于所述紫外线辐射持续介于约5分钟和约30分钟之间的持续时间。
在多种实施方案中,所述方法还可以包括将所述保形氧化硅间隔物材料暴露于紫外线辐射以使所述保形氧化硅间隔物材料致密化。所述保形氧化硅间隔物材料可以暴露于所述紫外线辐射持续介于约5分钟和约30分钟之间的持续时间。
在一些实施方案中,所述方法还可以包括将所述保形氧化硅间隔物材料暴露于紫外线辐射以使所述保形氧化硅间隔物材料致密化,并且使用至少约1000焦耳的射频等离子体能量点燃所述等离子体。所述保形氧化硅间隔物材料可以暴露于所述紫外线辐射持续介于约5分钟和约30分钟之间的持续时间。
在多种实施方案中,所述图案化的芯材料包括间距小于约45nm的特征。在多种实施方案中,所述保形氧化硅间隔物材料用作用于自对准双图案化的掩模。在一些实施方案中,所述氧化剂选自氧气、二氧化碳、水、一氧化二氮及其组合中的任何一种。在一些实施方案中,所述含硅前体是氨基硅烷。所述芯材料可以是旋涂碳、类金刚石碳和填隙可灰化的硬掩模中的任何一种。在一些实施方案中,将所述衬底暴露于所述氧化剂包括使选自氩气、氢气、氮气和氦气中的惰性气体流动。
另一个方面涉及一种在衬底上进行多次图案化的方法,该方法包括:提供具有图案化的芯材料的衬底;将所述衬底暴露于交替暴露的含硅前体和氧化剂;当将所述衬底暴露于所述氧化剂时点燃等离子体,以在所述图案化的芯材料上形成具有至少55GPa的弹性模量的保形氧化硅间隔物材料;选择性地蚀刻对于所述保形氧化硅间隔物材料有选择性的所述图案化的芯材料,以形成包括所述保形氧化硅间隔物材料的掩模;以及使用所述掩模蚀刻在所述衬底上的目标层。在一些实施方案中,所述保形氧化硅间隔物材料的弹性模量介于约55GPa和约70GPa之间。
所述保形氧化硅间隔物材料可以在大于50℃且小于约80℃的衬底温度下沉积。在一些实施方案中,所述方法还包括将所述保形氧化硅间隔物材料暴露于紫外线辐射以使所述保形氧化硅材料致密化。在一些实施方案中,所述保形氧化硅间隔物材料可以在大于50℃且小于约80℃的衬底温度下沉积,并且使用至少约1000焦耳的射频等离子体能量点燃所述等离子体,且所述方法还包括将所述保形氧化硅间隔物材料暴露于紫外线辐射以使所述保形氧化硅材料致密化。所沉积的所述保形氧化硅材料可以暴露于所述紫外线辐射持续介于约5分钟和约30分钟之间的持续时间。
可以使用至少约1000焦耳的射频等离子体能量点燃所述等离子体。可以使用至少约1000焦耳的射频等离子体能量点燃所述等离子体。在一些实施方案中,所述保形氧化硅间隔物材料在大于50℃且小于约80℃的衬底温度下沉积,并且使用至少约1000焦耳的射频等离子体能量点燃所述等离子体。在一些实施方案中,所述方法还包括将所述保形氧化硅间隔物材料暴露于紫外线辐射以使所述保形氧化硅材料致密化。所沉积的所述保形氧化硅材料可以暴露于所述紫外线辐射持续介于约5分钟和约30分钟之间的持续时间。
在多种实施方案中,所述方法还可以包括将所述保形氧化硅间隔物材料暴露于紫外线辐射以使所述保形氧化硅间隔物材料致密化。所沉积的所述保形氧化硅材料可以暴露于所述紫外线辐射持续介于约5分钟和约30分钟之间的持续时间。
在一些实施方案中,所述方法还可以包括将所述保形氧化硅间隔物材料暴露于紫外线辐射以使所述保形氧化硅间隔物材料致密化,并且使用至少约1000焦耳的射频等离子体能量点燃所述等离子体。所沉积的所述保形氧化硅材料可以暴露于所述紫外线辐射持续介于约5分钟和约30分钟之间的持续时间。
在多种实施方案中,所述图案化的芯材料包括间距小于约45nm的特征。在多种实施方案中,所述保形氧化硅间隔物材料用作用于自对准双图案化的掩模。在一些实施方案中,所述氧化剂选自氧气、二氧化碳、水、一氧化二氮及其组合中的任何一种。在一些实施方案中,所述含硅前体是氨基硅烷。所述芯材料可以是旋涂碳、类金刚石碳和填隙可灰化的硬掩模中的任何一种。在一些实施方案中,将所述衬底暴露于所述氧化剂包括使选自氩气、氢气、氮气和氦气中的惰性气体流动。
另一个方面涉及一种用于图案化衬底的装置,所述装置包括:一个或多个处理室;通向所述一个或多个处理室和相关的流量控制硬件内的一个或多个进气口;低频射频(LFRF)发生器;高频射频(HFRF)发生器;和控制器,其具有至少一个处理器和存储器,由此,所述至少一个处理器和所述存储器彼此通信连接,所述至少一个处理器至少与所述流量控制硬件、所述LFRF发生器和所述HFRF发生器能操作地连接,并且所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器,以至少控制所述流量控制硬件、所述HFRF发生器和所述LFRF发生器以:将衬底提供至所述一个或多个处理室,所述衬底具有图案化的芯材料;将含硅前体和氧化剂的交替流经由所述一个或多个气体入口引入所述一个或多个处理室中;以及当引入所述氧化剂时产生等离子体,以在所述图案化的芯材料上形成具有至少55GPa的弹性模量的保形氧化硅间隔物材料。在一些实施方案中,所述计算机可执行指令还包括相对于所述保形间隔物材料选择性蚀刻所述图案化芯材料以形成包括所述保形氧化硅间隔物材料的掩模并且使用所述掩模蚀刻在所述衬底上的目标层的指令。在一些实施方案中,所述保形氧化硅间隔物材料的弹性模量介于约55GPa和约70GPa之间。
在一些实施方案中,所述一个或多个处理室包括用于保持所述衬底的加热的基座,并且所述计算机可执行指令包括用于将基座温度设定为大于50℃且小于约80℃的指令。所述等离子体可以被设定为使用至少约1000焦耳的射频等离子体能量来点燃等离子体。
在一些实施方案中,所述装置还包括紫外线辐射发生器,所述紫外线辐射发生器用于将所述衬底暴露于紫外线辐射,以使所述保形氧化硅间隔物材料致密化。在一些实施方案中,所述计算机可执行指令包括用于使其上具有所述保形氧化硅间隔物材料的所述衬底暴露于紫外线辐射持续介于约5分钟和约30分钟之间的持续时间的指令。
以下参考附图进一步描述这些和其他方面。
附图说明
图1A-1C是示出间距行走(pitch walking)现象的衬底横截面的示意图。
图2A-2C分别是图1A-1C的衬底的顶视图的示意图。
图3是描绘根据某些公开的实施方案的方法的操作的工艺流程图。
图4是用于执行某些公开的实施方案的示例性处理室的示意图。
图5是用于执行某些公开的实施方案的示例性处理工具的示意图。
图6是用于执行某些公开的实施方案的示例处理室的示意图。
图7是用于执行某些公开的实施方案的示例性处理工具的示意图。
图8是用于执行某些公开的实施方案的示例性处理室的示意图。
图9-11是示出从执行某些公开的实施方案收集的实验数据的图表。
具体实施方式
在以下描述中,阐述了许多具体细节以提供对提出的实施方式的透彻理解。所公开的实施方式可以在没有这些具体细节中的一些或全部的情况下实践。在其他情况下,公知的处理操作没有被详细描述,以免不必要地使所公开的实施方式模糊不清。虽然所公开的实施方式将结合具体实施方式进行描述,但应该理解的是,这不意图限制所公开的实施方式。
在许多半导体制造工艺中使用图案化方法。特别是,多重图案化已经被用于将光刻技术扩展到超出其光学极限。双重图案化和四重图案化是一种用于将光刻技术扩展到超出其光学极限的示例性技术,并且双重图案化现在在工业中广泛用于小于约80nm的间距。目前的双重图案化技术通常使用侧壁间隔物以两个掩模化步骤(masking steps)来图案化沟槽。在正负双重图案化过程中双重图案化(特别是线图案化)的方法涉及使用间隔物和掩模。通过等离子体增强的原子层沉积(PEALD)在图案化的芯上沉积间隔物,并且可以用来产生更小的间距图案。随着器件收缩,以及间距减小,出现间隔物倾斜、线弯曲和图案化的间隔物塌陷等问题,从而可能导致器件失效。特别地,当芯层被烘烤并被去除时,由于间隔物倾斜而观察到间距行走。图1A至1C是经历图案化操作而导致间距行走的衬底的示例性示意图。图2A至2C分别是图1A至1C中的相应衬底的顶视图。
图1A示出了叠层100,叠层100具有带有目标层104的衬底102、掩模层106、图案化间隔物125、间隙填充材料108、层110和显影的光致抗蚀剂112。在图1B中,间隙填充材料108、层110和光致抗蚀剂112被剥离,从而在掩模层106、目标层104和衬底102上留下图案化的间隔物125。在图1C中,图案被转移到掩模层106,并且去除图案化的间隔物125。与图1B中的图案化间隔物125相比,因为在整个衬底上在柱之间的距离变化,图案化掩模层106中的柱之间的间隔是不一致的。这部分是因为图案化间隔物125不能承受用于去除间隙填充材料的苛刻的蚀刻条件,并且随后,当引入蚀刻剂以将图案从间隔物125转移到掩模106时,蚀刻物质以一定角度被引导到衬底处,使得掩模层上的蚀刻稍微偏离图案化间隔物的位置。
图2A示出了图1A中所示的叠层100上方的俯视图。图2A示出了层110的暴露区域中的光致抗蚀剂112,由此X'表示图1A中所示的横截面。在图2B中,顶视图示出了掩模层106上方的图案化间隔物125,并且Y'表示图1B中描绘的横截面。在图2C中,在图案转移到掩模层106之后,图案化掩模106在目标层104上方。顶视图示出图案化掩模106位于图案化间隔物125先前所在位置的略微左侧处。
目前的方法不足以形成能够在多个后续处理步骤中承受机械变形的间隔物,所述多个后续处理步骤用于去除间隔物之间的芯材料和/或间隙填充材料。虽然替代材料可以用于间隔物,但是用于氧化硅材料的原子层沉积工艺由于廉价的前体而提供了具有高产量的低成本选择,并且原子层沉积工艺使用快速循环时间。此外,用于沉积氧化硅的反应器易于清洁。然而,用于沉积氧化硅的现有方法导致间距小于45nm的间距行走。
本文提供了使用等离子体增强原子层沉积(PEALD)形成具有高弹性模量的间隔物材料从而形成能够经受后续处理操作的更硬的间隔物结构的方法和装置。方法涉及在较高温度下沉积间隔物材料,在PEALD的转化操作期间使用较高的等离子体能量,通过暴露于紫外线辐射后处理沉积的间隔物材料,以及它们的组合。间隔物材料当根据某些公开的实施方案沉积和/或处理时,具有至少约55GPa或介于约55GPa和约70GPa之间的弹性模量。弹性模量可以通过使用纳米压痕测试并测量作为负荷的函数的纳米压痕仪在膜中的位移来测量。在多种实施方案中,如本文所述的模量在材料压痕的30nm深度处测量。本文使用氧化硅作为根据某些公开的实施方案沉积的间隔物材料的示例。
图3是描绘根据某些公开的实施方案执行的方法的操作的过程流程图。在操作301中,提供具有图案化的芯材料和目标层的衬底。图案化的芯材料可以是光致抗蚀剂或可以由非晶碳材料或非晶硅材料制成。在一些实施方案中,芯材料是旋涂碳、类金刚石碳或填隙可灰化的硬掩模。在一些实施方案中,芯材料可以是透明的。芯材料通过诸如等离子体增强化学气相沉积(PECVD)之类的沉积技术来沉积,并且沉积技术可以涉及从包括烃前体的沉积气体在容纳衬底的沉积室中产生等离子体。烃前体可由式CaHb定义,其中a为2至10之间的整数,b为2至24之间的整数。实例包括甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)和甲苯(C7H8)。可以使用包括高频(HF)功率和低频(LF)功率的双射频(RF)等离子体源。芯材料在被图案化之前被沉积在目标层上。目标层可以是最终被图案化的层。例如,目标层可以是半导体、电介质或其他层,并且可以由硅(Si)、氧化硅(SiO2)、氮化硅(SiN)或氮化钛(TiN)制成。目标层可以通过原子层沉积(ALD)、等离子体增强ALD(PEALD)、化学气相沉积(CVD)或其他合适的沉积技术沉积。
在操作303中,将衬底暴露于间隔物材料前体以将前体吸附到衬底表面上。操作303-309可以构成ALD循环。ALD是一种利用顺序自限性反应沉积薄层材料的技术。ALD工艺使用表面介导的沉积反应来循环地逐层沉积膜。作为示例,ALD循环可以包括以下操作:(i)前体的输送/吸附,(ii)从室清除前体,(iii)输送第二反应物和可选地将等离子体点燃,和(iv)清除室中的副产物。在第二反应物和吸附的前体之间的用于在衬底表面上形成膜的反应影响膜组成和性能,例如不均匀性、应力、湿法蚀刻速率、干法蚀刻速率、电性质(例如,击穿电压和泄漏电流)等。
在ALD工艺的一个示例中,将包括多个表面活性位点的衬底表面暴露于以一定剂量提供给容纳衬底的室的第一前体(例如含硅前体)的气相分布。该第一前体的分子被吸附到衬底表面上,包括第一前体的化学吸附物质和/或物理吸附分子。应该理解的是,当如本文所述将化合物吸附到衬底表面上时,吸附层可以包括化合物以及化合物的衍生物。例如,含硅前体的吸附层可以包括含硅前体以及含硅前体的衍生物。在第一前体投配之后,将室抽空以除去大部分或全部保持在气相的第一前体,使得大部分或仅吸附物质保留。在一些实施方案中,室可不被完全抽空。例如,反应器可以被抽空,使得气相的第一前体的分压足够低以使反应缓和。将第二反应物如含氧气体引入反应室,以使这些分子中的一些与吸附在表面上的第一前体反应。在一些方法中,第二反应物立即与吸附的第一前体反应。在其他实施方案中,第二反应物仅在临时施加诸如等离子体之类的激活源之后才起反应。然后可以将室再次抽空以去除未结合的第二反应物分子。如上所述,在一些实施方案中,室可不被完全抽空。额外的ALD循环可以用于建立膜厚度。
在一些实施方案中,ALD方法包括等离子体激活。如本文所述,本文所述的ALD方法和装置可以是保形膜沉积(CFD)方法,其在2011年4月11日提交的名称为“PLASMAACTIVATED CONFORMAL FILM DEPOSITION”的美国专利申请号No.13/084,399(现为美国专利号8,728,956)中被一般地描述,该专利文件的全部内容通过引用并入本文。
在较高的衬底温度和/或使用较高的等离子体能量下执行操作303-311。如本文所述的衬底温度和沉积温度应被理解为在沉积期间保持衬底的基座所设定的温度。多种实施方案中的沉积温度大于约50℃,但不大于约150℃;或大于约50℃,但不大于约80℃。例如,在一些实施方案中,沉积温度为介于约50℃和约80℃之间,或约80℃。沉积温度还可取决于衬底上的其他材料。例如,如果衬底包括光致抗蚀剂,则温度可以在约50℃和约80℃之间。如果衬底包括硬掩模,则沉积温度可高达约150℃。通过减少间隔物材料中的杂质并除去悬空键,调节沉积温度以使得热力学上更有利的反应能形成间隔物材料。使用高等离子体能量的沉积在下面相对于操作307进一步详细描述。
在操作303期间,间隔物材料前体可吸附到至少约80%的表面活性位点。在一些实施方式中,间隔物材料前体可以吸附到衬底上以使衬底的表面完全饱和,使得间隔物材料前体吸附在芯材料的暴露表面和靶层的暴露区域上。将衬底暴露于间隔物材料前体的持续时间可以在约0.1秒至约2秒之间。
在许多实施方案中,操作302中使用的间隔物材料前体是含硅的前体。适合根据所公开的实施方案使用的含硅前体包括其中n≥0的聚硅烷(H3Si-(SiH2)n-SiH3)。硅烷的示例是硅烷(SiH4)、乙硅烷(Si2H6)和有机硅烷,该有机硅烷如甲基硅烷、乙基硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷、二乙基硅烷、二叔丁基硅烷、烯丙基硅烷、仲丁基硅烷、叔己基硅烷、异戊基硅烷、叔丁基二硅烷、二叔丁基二硅烷等。
卤代硅烷包括至少一个卤素基团并且可以包括或不包括氢和/或碳基团。卤代硅烷的示例是碘代硅烷、溴代硅烷、氯代硅烷和氟代硅烷。尽管卤代硅烷特别是氟代硅烷可以在等离子体被激励时形成可以蚀刻硅材料的反应性卤化物物质,但是在一些实施方案中,当等离子体被激励时,不能将卤代硅烷引入室中,因此可能会缓和从卤代硅烷形成活性卤化物物质。特定的氯硅烷为四氯硅烷、三氯硅烷、二氯硅烷、一氯硅烷、氯烯丙基硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷(t-butylchlorosilane)、二叔丁基氯硅烷、氯异丙基硅烷、氯代仲丁基硅烷、叔丁基二甲基氯代硅烷、叔己基二甲基氯代硅烷等等。
氨基硅烷包括键合到硅原子上的至少一个氮原子,但也可以包含氢、氧、卤素和碳。氨基硅烷的示例是单氨基硅烷、二氨基硅烷、三氨基硅烷和四氨基硅烷(分别是H3Si(NH2)、H2Si(NH2)2、HSi(NH2)3和Si(NH2)4))以及经取代的单氨基硅烷、二氨基硅烷、三氨基硅烷和四氨基硅烷,例如,叔丁基氨基硅烷、甲基氨基硅烷、叔丁基硅烷胺、双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS)、叔丁基甲硅烷基氨基甲酸酯、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3等等。氨基硅烷的另一个示例是三硅烷基胺(N(SiH3))。
在操作305中,容纳衬底的处理室可被清扫以去除未被吸附到衬底表面上的前体。清扫室可以涉及使清扫气体或吹扫气体流动,清扫气体或吹扫气体可以是在其他操作中使用的载气,或者可以是不同的气体。清扫气体的示例包括氩气、氮气、氢气和氦气。在多种实施方案中,清扫气体是惰性气体。示例的惰性气体包括氩气、氮气和氦气。在一些实施方案中,清扫可涉及抽空室。在一些实施方案中,操作305可以包括用于抽空处理室的一个或多个抽空子阶段。替代地,应理解,在一些实施方案中操作305可以被省略。操作305可以具有任何合适的持续时间,例如在约0.1秒和约2秒之间。
在操作307中,将衬底暴露于氧化剂并在一定条件下点燃等离子体以将吸附的前体转化为高模量保形间隔物材料。例如,在多种实施方案中,在衬底上形成保形氧化硅间隔物材料。在沉积氧化硅间隔物的情况下,吸附在衬底表面上的含硅前体与氧化等离子体反应以形成氧化硅。示例性的氧化剂包括氧气、水、二氧化碳、一氧化二氮及其组合。在多种实施方案中,当等离子体被点燃时,衬底同时暴露于氧化剂和惰性气体。例如,在一个实施方案中,当等离子体被点燃时,氧和氩的混合物被引入到衬底。
提供等离子体能量以将第二反应物(例如含氧气体或氧化剂)激活成离子和自由基以及与第一前体的吸附层反应的其它活化物质。例如,等离子体可以直接或间接地激活含氧气相分子以形成氧自由基或离子。
在多种实施方案中使用高等离子体能量。注意,在一些实施方案中,高等离子体能量可与高沉积温度组合使用。在一些实施方案中,可以使用高沉积温度,同时可以使用常规等离子体能量(例如较低的功率能量)。在一些实施方案中,在不使用高沉积温度的情况下使用高等离子体能量(例如,在将含硅前体转化为氧化硅间隔物材料中,沉积温度可以是约50℃,同时使用高等离子体能量)。然而,应当理解,高等离子体能量和高沉积温度的组合具有协同效应,以形成非常高模量的间隔物材料。
等离子体能量由射频等离子体开启的持续时间(RF时间)和射频等离子体功率(RF功率)确定。适合于沉积根据某些公开的实施方案的高模量间隔物材料的高等离子体能量取决于上面沉积间隔物材料的下伏材料。在一些示例中,等离子体能量可以是至少约1000J。
高等离子体能量与形成高密度膜相关,并且与升高温度的影响相比,可能对致密化和增加间隔物材料的模量具有更大的影响。与可能导致在间隔物材料中形成空隙的低等离子体能量沉积工艺相反,使用高等离子体能量(例如在至少约1000J的等离子体能量下)沉积的间隔物材料具有在膜中30nm位移处测得的至少60GPa或至少65GPa的模量。
在操作309中,处理室被清扫以除去来自氧化剂中的间隔物材料前体之间的反应的任何过量的副产物,并且除去在衬底表面不与间隔物材料前体反应的过量氧化剂。操作309的工艺条件可以是上述关于操作305描述的那些工艺条件中的任何工艺条件。在一些实施方式中,使用以介于约5slm至约30slm之间的流速流动的惰性气体来清扫室。
在操作309之后,确定间隔物材料是否沉积到足够的厚度。如果不是,则操作303-309可以可选地重复两次或更多次。如果间隔物材料沉积厚度足够,则可以任选地执行操作311。
在操作311中,任选地对衬底进行后处理以进一步增加间隔物材料的模量。在多种实施方案中,通过将衬底暴露于紫外(UV)辐射来对衬底进行后处理。紫外线辐射用于愈合间隔物材料上的悬空键并触发间隔物材料中的原子之间的键合。例如,对于氧化硅材料,UV辐射用于触发硅和氧原子之间的键合。应当理解,虽然可以调节UV辐射以改善模量,但是UV辐射对增加间隔物材料的模量的影响小于在沉积期间增加等离子体能量的影响。在一些实施方案中,UV辐射暴露在介于约25℃和约480℃之间(例如约400℃)的温度下,在压强介于约0托和约100托之间(例如约15托)的室压下进行,持续介于约5分钟和约30分钟之间的持续时间,例如持续约10分钟。UV辐射可以通过200nm至400nm的He/Hg发射产生,其具有约200至250nm的宽带,以及从250nm至360nm的多个尖锐发射峰。
应当理解,虽然增加间隔物材料中的键数可以增加膜的模量,但是提高温度和/或增加等离子体能量是否会导致有效的处理是出乎意料的。例如,出乎意料的是,调节温度和/或等离子体能量是否会导致提供模量的强响应,因为过高的温度、等离子体能量或UV处理可能引起键断裂并降低膜的机械性能。
参考图3,在操作313中,回蚀衬底并选择性地蚀刻芯材料以形成作为掩模的间隔物材料。由于使用操作303-309沉积并且在操作311中进行后处理的间隔物材料具有高模量,因此在操作313中执行的蚀刻操作不会导致间距行走并且间隔物材料由于高质量的掩模而可以承受蚀刻工艺。
在操作315中,使用间隔物材料作为掩模来蚀刻目标层。如上所述,由于间隔物材料具有高模量,因此几乎没有间距行走。本领域普通技术人员应理解,尽管本文描述的示例涉及使用高模量间隔物作为掩模来蚀刻目标层,但是在一些情况下,目标层可以是使用高模量间隔物图案化的掩模层,使得图案化的掩模层可用于蚀刻另一个底层。
在一个示例中,可以通过在高达80℃的高温下重复一个或多个沉积循环来沉积间隔物,其中沉积循环包括:将室中的衬底暴露于氨基硅烷以吸附氨基硅烷至衬底表面,清扫室,将衬底暴露于氧化剂并使用约1000J的高等离子体能量点燃等离子体,并清扫室;并且将沉积的间隔物暴露于UV辐射以增加间隔物的密度并增加模量。使用某些公开的实施方案对于氧化硅间隔物材料实现的弹性模量可以大于约55GPa,或大于约65GPa,例如可以为约70GPa。
装置
图4描绘了具有处理室402的原子层沉积(ALD)处理站400的实施方案的示意图。处理室402可用于维持低压环境。多个ALD处理站可被包括在共同的低压处理工具环境中。例如,图5描绘了多站处理工具500的一个实施方式。在一些实施方案中,ALD处理站400的一个或多个硬件参数(包括在下面详细讨论的那些参数)可以由一个或多个计算机控制器450以编程方式进行调整。
ALD处理站400与反应物输送***401a流体连通,以用于将工艺气体输送到分配喷头406。反应物输送***401a包括用于混合和/或调节工艺气体(例如含硅气体或者含氧气体)以用于输送到喷头406的混合容器404。一个或多个混合容器入口阀420可控制工艺气体到混合容器404的引入。在多种实施方案中,在处理站400中执行高模量间隔物材料的沉积,并且在一些实施方案中,可以在多站处理工具500的相同或另一个站中执行图案化操作,如下面相对于图5进一步描述的那样。
作为示例,图4的实施方案包括汽化点403,用于汽化待供应到混合容器404的液体反应物。在一些实施方案中,汽化点403可以是加热汽化器。由这种汽化器产生的饱和反应物蒸气可能在下游输送管道中冷凝。不相容的气体暴露于冷凝的反应物可能会产生小颗粒。这些小颗粒可能堵塞管路、妨碍阀门操作、污染衬底等等。解决这些问题的一些方法涉及清扫和/或排空输送管道以去除残余反应物。但是,清扫输送管道可能会增加处理站循环时间,降低处理站的生产量。因此,在一些实施方案中,汽化点403下游的输送管道可以被热追踪。在一些示例中,混合容器404也可以被热追踪。在一个非限制性示例中,汽化点403下游的管道在混合容器404处具有从大约100℃延伸到大约150℃的增加的温度分布。
在一些实施方案中,液体前体或液体反应物可在液体注射器(未示出)处被汽化。例如,液体注射器可将液体反应物的脉冲注入到混合容器404上游的载气流中。在一实施方案中,液体注射器可通过使液体从较高压力闪蒸至较低压力而使反应物汽化。在另一示例中,液体注射器可以将液体雾化成分散的微滴,随后在加热的输送管中汽化。较小的液滴会比较大的液滴蒸发得快,从而减少了液体注入和完全汽化之间的延迟。更快的蒸发可以减少汽化点403下游的管道的长度。在一种情况下,液体注射器可以直接安装到混合容器404。在另一种情况下,液体注射器可以直接安装到喷头406。
在一些实施方案中,可以提供汽化点403上游的液体流量控制器(LFC),用于控制液体的质量流量以用于汽化和递送至处理室402。例如,LFC可以包括位于LFC下游的热质量流量计(MFM)。LFC的柱塞阀然后可以响应于与MFM电通信的比例-积分-微分(PID)控制器提供的反馈控制信号进行调节。但是,使用反馈控制可能需要一秒或更长时间来稳定液体流量。这可能会延长液体反应物的投配时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态地切换。在一些实施方案中,这可以通过禁用LFC的感测管和PID控制器来执行。
喷头406将工艺气体分配到衬底412。在图4所示的实施方案中,衬底412位于喷头406下方并且示出为搁置在基座408上。喷头406可以具有任何合适的形状,并且可以具有任何合适的用于将工艺气体分配到衬底412的端口的数量和布置。
在一些实施方案中,可以升高或降低基座408以将衬底412暴露于衬底412和喷头406之间的体积。在一些实施方案中,基座408可以通过加热器410进行温度控制。基座408可以设置为在执行各种公开的实施方案的操作期间的任何合适的温度,诸如在约25℃和约650℃之间。为了沉积高模量间隔物,基座408可以设定为大于50℃且小于约80℃的温度。应意识到,在一些实施方案中,基座高度可以由合适的计算机控制器450以编程方式进行调整。
在另一种情况下,调节基座408的高度可以允许在某些公开的实施方案中执行的等离子体激活周期期间改变等离子体密度。在处理阶段结束时,基座408可在另一衬底传送阶段期间降低以允许从基座408移除衬底412。
在一些实施方案中,喷头406的位置可以相对于基座408进行调节,以改变衬底412和喷头406之间的体积。此外,应理解的是,基座408和/或喷头406的垂直位置可以通过本公开范围内的任何合适的机制来改变。在一些实施方案中,基座408可以包括用于旋转衬底412的方位的旋转轴线。应理解的是,在一些实施方案中,这些示例性调整中的一个或多个可以由一个或多个合适的计算机控制器450以编程方式执行。计算机控制器450可以包括下面关于图5的控制器550描述的特征中的任一个。
在可以如上所述使用等离子体的一些实施方案中,喷头406和基座408与射频(RF)电源414和匹配网络416电连接,以为等离子体供电。在一些实施方案中,可以通过控制处理站压力、气体浓度、RF源功率、RF源频率和等离子体功率脉冲定时中的一个或多个来控制等离子体能量。例如,RF电源414和匹配网络416可以以任何合适的功率运行以形成具有期望组成的自由基物质的等离子体。根据某些公开的实施方案产生的用于沉积高模量间隔物的等离子体能量可以被控制为至少约1000J。类似地,RF电源414可以提供任何合适频率的RF功率。在一些实施方案中,RF电源414可以被配置为独立于彼此地控制高频和低频RF电源。示例性的低频RF频率可以包括但不限于0kHz和500kHz之间的频率。高频RF频率的示例可以包括但不限于1.8MHz与2.45GHz之间的频率,或者大于约13.56MHz,或者大于27MHz,或者大于40MHz,或者大于60MHz。应该理解的是,可以离散地或连续地调制任何合适的参数以为表面反应提供等离子体能量。
在一些实施方案中,等离子体可以由一个或多个等离子体监控器原位监测。在一种情况下,等离子体功率可以由一个或多个电压传感器、电流传感器(例如,VI探头)来监测。在另一种情况下,可以通过一个或多个光发射光谱传感器(OES)来测量等离子体密度和/或工艺气体浓度。在一些实施方案中,一个或多个等离子体参数可以基于来自这种原位等离子体监控器的测量而被编程地调整。例如,OES传感器可以用在反馈回路中以提供对等离子体功率的编程控制。应该理解的是,在一些实施方案中,可以使用其他监控器来监控等离子体和其他处理特性。这种监控器可以包括但不限于红外(IR)监控器、声音监控器和压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)排序指令来提供用于控制器450的指令。在一个示例中,用于设置工艺阶段的条件的指令可以被包括在工艺配方的对应配方阶段中。在某些情况下,工艺配方阶段可以被顺序排列,使得工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方案中,用于设置一个或多个反应器参数的指令可以被包括在配方阶段中。例如,第一配方阶段可以包括用于设定惰性气体和/或反应物气体(例如,诸如含硅前体之类的第一前体)的流率的指令、用于设定载气的流率的指令(如氩气)和第一配方阶段的时间延迟指令。随后的第二配方阶段可包括用于调节或停止惰性气体和/或反应物气体的流率的指令以及用于调节载气或清扫气体的流率的指令以及用于第二配方阶段的时间延迟指令。第三配方阶段可以包括用于调节诸如氧之类的第二反应物气体的流率的指令、用于调节载气或清扫气体的流率的指令、用于点燃具有高等离子体能量的等离子体的指令以及用于第三配方阶段的时间延迟指令。随后的第四配方阶段可包括用于调节或停止惰性气体和/或反应物气体的流率的指令、以及用于调节载气或清扫气体的流率的指令以及用于第三配方阶段的时间延迟指令。应该理解,在本公开的范围内,这些配方阶段可以以任何合适的方式被进一步细分和/或重复。
此外,在一些实施方案中,用于处理站400的压力控制可由蝶阀418提供。如图4的实施方案所示,蝶阀418对由下游真空泵(未示出)提供的真空进行节流。然而,在一些实施方案中,处理站400的压力控制也可以通过改变引入到处理站400的一种或多种气体的流率来调节。
如上所述,一个或多个处理站可被包括在多站处理工具中。图5示出了具有入站装载锁502和出站装载锁504的多站处理工具500的实施方案的示意图,所述入站装载锁502和出站装载锁504中的任一个或两个可以包括远程等离子体源(未示出)。在大气压力下的机械手506被配置为将晶片从通过晶舟(pod)508装载的盒子经由大气端口510移动到入站装载锁502中。在入站装载锁502中机械手506将晶片(未示出)放置在基座512上,大气端口510关闭,并且入站装载锁502被抽空。在入站装载锁502包括远程等离子体源的情况下,在被引入到处理室514中之前,可以将晶片暴露于入站装载锁502中的远程等离子体处理。此外,晶片还可以在入站装载锁502中加热,例如用于去除湿气和吸附的气体。接下来,打开到处理室514的室输送端口516,另一机械手(未示出)将晶片放入反应器中在反应器中示出的第一站的基座上以进行处理。虽然图5中描绘的实施方案包括装载锁,但是应该理解,在一些实施方案中,可以使晶片直接进入处理站。
所描绘的处理室514包括四个处理站,在图5所示的实施方案中编号为1到4。每个站具有加热的基座(针对站1以518示出)和气体管线入口。应该理解,在一些实施方案中,每个处理站可以用于不同的或多个目的。例如,在一些实施方案中,处理站可以在ALD和等离子体增强ALD处理模式之间切换。在一些实施方案中,暴露于沉积前体和暴露于第二反应物和等离子体是在相同的站中进行。另外地或替代地,在一些实施方案中,处理室514可以包括一个或多个匹配的成对的ALD和等离子体增强的ALD处理站。虽然所描绘的处理室514包括四个站,但应理解,根据本发明的处理室可具有任何合适数目的站。例如,在一些实施方案中,处理室可具有五个或更多个站,而在其他实施方案中,处理室可具有三个或更少的站。
图5描绘了用于在处理室514内传送晶片的晶片处理***590的实施方案。在一些实施方案中,晶片处理***590可以在各个处理站之间和/或在处理站与装载锁之间传送晶片。应理解,可以采用任何合适的晶片处理***。非限制性示例包括晶片传送带和晶片处理机械手。图5还描绘了用于控制处理工具500的工艺条件和硬件状态的***控制器550的实施方案。***控制器550可以包括一个或多个存储器装置556、一个或多个大容量存储装置554以及一个或多个处理器552。处理器552可以包括CPU或计算机、模拟和/或数字输入/输出连接件、步进电机控制器板等。
在一些实施方案中,***控制器550控制处理工具500的所有活动。***控制器550执行存储在大容量存储装置554中、装载到存储装置556中并在处理器552上执行的***控制软件558。或者,控制逻辑可以在控制器550中被硬编码。专用集成电路、可编程逻辑器件(例如现场可编程门阵列或FPGA)等等可以用于这些目的。在下面的讨论中,凡是使用“软件”或“编码”的地方,都可以在其中使用功能上可比较的硬编码逻辑。***控制软件558可以包括用于控制时序、气体混合物、气体流量、室和/或站压力、室和/或站温度、等离子体暴露持续时间、UV辐射持续时间、晶片温度、目标功率水平、RF功率水平、衬底基座、卡盘和/或感测器位置以及由处理工具500执行的特定处理的其他参数。***控制软件558可以以任何适当的方式来配置。例如,可以写入各种处理工具组件子例程或控制对象来控制用于执行各种处理工具处理的处理工具组件的操作。***控制软件558可以用任何合适的计算机可读编程语言编码。
在一些实施方案中,***控制软件558可以包括用于控制上述各种参数的输入/输出控制(IOC)排序指令。在一些实施方案中,可以采用存储在与***控制器550相关联的大容量存储装置554和/或存储装置556上的其他计算机软件和/或程序。用于此目的的程序或程序段的例子包括衬底定位程序、工艺气体控制程序、压力控制程序、加热器控制程序和等离子体控制程序。
衬底定位程序可以包括用于处理工具部件的程序代码,其用于将衬底装载到基座518上并控制衬底与处理工具500的其他部分之间的间隔。
工艺气体控制程序可以包括用于控制气体组成(例如,如本文所述的含硅气体、含氧气体、用于执行投配后处理的气体和清扫气体)和流率的代码,并且可选地用于使气体在沉积之前流入一个或多个处理站以稳定处理站中的压力。压力控制程序可以包括用于通过调节例如处理站的排放***中的节流阀、进入处理站的气流等来控制处理站中的压力的代码。
加热器控制程序可以包括用于控制流向用于加热衬底的加热单元的电流的代码。或者,加热器控制程序可以控制传热气体(例如氦气)向衬底的传送。
根据本文的实施方案,等离子体控制程序可以包括用于设置施加到一个或多个处理站中的处理电极的RF功率水平的代码。
根据本文的实施方案,压力控制程序可以包括用于保持反应室中的压力的代码。
在一些实施方案中,可以存在与***控制器相关联的用户界面。用户界面可以包括显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点装置、键盘、触摸屏、麦克风等用户输入装置。
在一些实施方案中,由***控制器550调节的参数可涉及工艺条件。非限制性实例包括工艺气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由***控制器550的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具500的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包括质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
***控制器550可以提供用于实现上述沉积过程的程序指令。程序指令可以控制诸如DC功率水平、RF偏置功率水平、压力、温度等各种工艺参数。根据本文描述的各种实施方案,指令可以控制参数以操作膜堆叠的原位沉积。
***控制器550通常将包括一个或多个存储器装置以及被配置为执行指令的一个或多个处理器,使得该装置将执行根据所公开的实施方案的方法。包含根据所公开的实施方案的用于控制处理操作的指令的机器可读介质可以耦合到***控制器550。
在一些实施方案中,***控制器550是***的一部分,该***的一部分可以是上述实施方案的一部分。这样的***可以包括半导体处理装置,半导体处理装置包括一个或多个加工工具、一个或多个室、用于处理的一个或多个平台、和/或特定的处理部件(晶片基座、气体流动***等)。这些***可与电子器件集成,以便在半导体晶片或衬底的处理之前、期间或之后控制这些***的操作。电子器件可以被称为“控制器”,其可以控制一个或多个***的各种组件或子部分。根据处理要求和/或***的类型,***控制器550可以被编程,以控制本发明所公开的工艺中的任何一些,包括控制工艺气体的输送、温度的设置(例如,加热和/或冷却)、压力的设置、真空的设置、功率的设置、射频(RF)产生器的设置、RF匹配电路的设置、频率的设置、流率的设置、流体输送的设置、位置和操作的设置、晶片的进出工具和其他输送工具和/或连接到特定***的或与特定***接口的装载锁的传送。
从广义上讲,***控制器550可以被定义为接收指令、发出指令、控制操作、使能清洁操作、使能终点测量等的具有各种集成电路、逻辑、存储器、和/或软件的电子器件。该集成电路可以包括固件形式的存储程序指令的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是与各种单个的设置(或程序文件)形式的***控制器550通信的指令,该设置定义在半导体晶片上或用于半导体晶片或向***进行特定处理的操作参数。在一些实施方案中,所述操作参数可以是由工艺工程师定义的以完成晶片的一个或多个(种)层、材料、金属、氧化物、硅、硅氧化物、表面、电路和/或管芯的制造过程中的一个或多个处理步骤的配方的一部分。
在一些实施方案中,***控制器550可以是与***集成、耦接或者说是通过网络连接***或它们的组合的计算机的一部分或者与该计算机耦接。例如,***控制器550可以在“云端”或者是晶片厂(fab)主机***的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对***的远程访问以监测制造操作的当前处理,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给***提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些输入或编程参数和/或设置然后从远程计算机传送到***。在一些实例中,***控制器550接收数据形式的指令,这些指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,这些参数可以针对将要执行的工艺类型以及工具类型,***控制器550被配置成连接或控制该工具类型。因此,如上所述,***控制器550可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本发明所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路,它们结合以控制室内工艺。
示例的***可以包括但不限于,等离子体蚀刻室或模块、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理***。
如上所述,根据工具将要执行的一个或多个工艺步骤,***控制器550可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
在2011年4月11日提交的名称为“PLASMA ACTIVATED CONFORMAL FILMDEPOSITION”的美国专利申请No.13/084,399(现为美国专利8,728,956)和在2011年4月11日提交的名称为“SILICON NITRIDE FILMS AND METHODS”的美国专利申请No.13/084,305中进一步讨论和描述了用于执行本文公开的方法的适当装置,这些专利文件分别全部并入本文。
本文描述的装置/工艺可以与光刻图案化工具或工艺结合使用,例如用于制造或制备半导体器件、显示器、LED、光伏板等。典型地,但不必需地,这样的工具/工艺将被用于或者在共同的制造设施中一起进行。光刻图案化膜通常包括以下操作中的一些或全部,每种操作可用多个可能的工具实现:(1)使用旋涂或喷涂工具在工件(即衬底)上涂覆光致抗蚀剂;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)用诸如晶片步进机之类的工具将光致抗蚀剂暴露于可见光或UV或X射线光;(4)使抗蚀剂显影以选择性地去除抗蚀剂,从而使用诸如湿台之类的工具对其进行图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转移到底层膜或工件中;和(6)使用诸如RF或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
工艺气体可以通过位于上室中的一个或多个主气流入口660和/或通过一个或多个侧气流入口670流入处理室。类似地,尽管未明确示出,类似的气流入口可用于将工艺气体供应到电容耦合等离子体处理室。可以使用真空泵(例如,一级或两级机械干泵和/或涡轮分子泵640)将工艺气体抽出处理室624并保持处理室600内的压力。例如,泵可以用于抽空室601。可以使用阀控管道将真空泵流体连接到处理室,以便选择性地控制真空泵提供的真空环境的施加。这可以在操作等离子体处理期间采用闭环控制的流动限制装置(诸如节流阀(未示出)或摆动阀(未示出))来完成。同样地,也可以采用与电容耦合的等离子体处理室流体连接的真空泵和受控阀。
在装置的操作期间,可以通过气流入口660和/或670供应一种或多种工艺气体。在某些实施方案中,工艺气体可以仅通过主气流入口660供应,或者仅通过侧气流入口670供应。在一些情况下,图中所示的气流入口可被替换为更复杂的气流入口,例如一个或更多个喷头。法拉第屏蔽649和/或可选栅格650可以包括允许将工艺气体输送到室的内部通道和孔。法拉第屏蔽649和可选的栅格650中的任一个或两个可以充当用于输送工艺气体的喷头。在一些实施方案中,液体汽化和输送***可以位于室601的上游,使得一旦液体反应物汽化,就将汽化的反应物经由气流入口660和/或670引入到室中。
从RF电源641向线圈633供应射频功率以使得RF电流流过线圈633。流过线圈633的RF电流在线圈633周围产生电磁场。电磁场在上部子室602内产生感应电流。各种产生的离子和自由基与晶片619物理和化学相互作用而选择性地蚀刻晶片上的特征并沉积层在晶片上。
如果使用等离子体栅格使得存在上部子室602和下部子室603两者,则感应电流作用于存在于上部子室602中的气体以在上部子室602中产生电子-离子等离子体。可选的内部等离子体栅格650限制下部子室603中的热电子的量。在一些实施方案中,装置被设计和操作成使得存在于下部子室603中的等离子体是离子-离子等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体都可以包含正离子和负离子,但离子-离子等离子体将具有更大比例的负离子与正离子。挥发性蚀刻和/或沉积副产物可以通过端口622从下部子室603移除。本文公开的卡盘617可以在约10℃和约850℃之间范围的升高的温度下操作。温度取决于工艺操作和具体配方。
当安装在洁净室或制造设施中时,室601可以连接到设施(未示出)。设施包括提供工艺气体、真空、温度控制和环境颗粒控制的管道。当安装在目标制造设施中时,这些设施被耦合到室601。另外,室601可以连接到传送室,传送室允许机械手使用典型的自动化***将半导体晶片传送进出室601。
在一些实施方案中,***控制器630(其可以包括一个或多个物理或逻辑控制器)控制处理室的一些或全部操作。***控制器630可以包括上面关于***控制器550描述的任何一个或多个特性。
图7描绘了具有与真空传输模块738(VTM)接口的各种模块的半导体工艺集群架构。传送模块在多个存储设施和处理模块之间“传送”晶片的布置可以被称为“集群工具架构”***。在VTM 738中示出了也称为装载锁或传输模块的气闸730,其具有四个处理模块720a-720d,四个处理模块720a-720d可被单独优化以执行不同制造工艺。作为示例,处理模块720a-720d可以被实现为执行衬底蚀刻、沉积、离子注入、晶片清洁、溅射和/或其他半导体工艺。在一些实施例中,在相同模块中执行ALD和选择性蚀刻。在一些实施方案中,在同一工具的不同模块中执行ALD和选择性蚀刻。根据所公开的实施方案,一个或多个衬底蚀刻处理模块(720a-720d中的任一个)可以如本文所公开的那样实现,即,用于沉积保形膜、通过ALD选择性地沉积膜、蚀刻图案以及其他合适的功能。气闸730和处理模块720可以被称为“站”。每个站具有将站连接到VTM 738的端面736。在每个端面内,传感器1-18被用于检测晶片726的在相应站之间移动时的通过。
机械手722在站之间传送晶片726。在一个实施方案中,机械手722具有一个臂,并且在另一个实施方案中,机械手722具有两个臂,其中每个臂具有末端执行器724以拾取诸如晶片726之类的晶片以用于运输。在大气传送模块(ATM)740中的前端机械手732被用于将来自装载端口模块(LPM)742中的盒或前开口式统一盒(FOUP)734的晶片726传送到气闸730。在处理模块720内的模块中心728是用于放置晶片726的一个位置。ATM 740中的对准器744用于对准晶片。
在示例性处理方法中,将晶片放置在LPM 742中的FOUP 734中的一个中。前端机械手732将晶片从FOUP 734传送到对准器744,对准器744使得晶片726在蚀刻或处理之前能适当地居中。在对准之后,晶片726被前端机械手732移动到气闸730中。由于气闸模块具有匹配ATM和VTM之间的环境的能力,所以晶片726能够在两个压力环境移动而没有被损坏。从气闸模块730,晶片726被机械手722通过VTM 738移动到处理模块720a-720d中的一个中。为了实现这种晶片移动,机械手722在其每个臂上使用末端执行器724。一旦晶片726已经被处理,其就由机械手722从处理模块720a-820d移动到气闸模块730。从这里,晶片726可以被前端机械手732移动到FOUP 734中的一个或者移动到对准器744。
应该注意的是,控制晶片移动的计算机可以相对于集群架构是本地的、或者可以位于制造楼层中的集群架构的外部、或者位于远程位置并且经由网络连接到集群架构。上面关于图5描述的控制器可以用图7中的工具来实现。
图8示出了用于将衬底816暴露于紫外线辐射的曝光室824的示例的示意图。例如,图8中所示的装置800可以用于执行如上面参考图3所述的操作312。装置800被配置为产生紫外线辐射。装置800具有由喷头组件或面板817分开的等离子体产生部分811和曝光室824。在曝光室824内,压板(或台)818提供晶片支撑。压板818配有加热/冷却元件。在一些实施方案中,压板818还被配置用于向衬底816施加偏置。在曝光室824中经由真空泵经由导管807获得低压。气态处理气体源通过入口812提供流入等离子体产生部分811的气体流。等离子体产生部分811可以被感应线圈(未示出)包围。在操作期间,将气体混合物引入等离子体产生部分811,感应线圈被激励并且在等离子体产生部分811中产生等离子体。喷头组件814可具有施加的电压并终止一些离子的流动且允许中性物质流入曝光室824。装置800包括用于控制装置800的处理条件和硬件状态的***控制器850。控制器850可以具有上面参照图5描述的控制器550的任何特征。
实验
实验1:温度和RF功率
进行实验以评估在各种沉积温度下用于间隔物的氧化硅材料的模量。该实验涉及使用360J RF能量时的不同温度。在下面的表1提供的沉积温度(50℃、75℃、80℃和150℃)、等离子体RF功率(900W)和持续时间(0.4秒)下,将衬底暴露于氨基硅烷和O2/Ar等离子体的交替脉冲,其中衬底901、902、903、904、905和906分别对应于图9的点901、902、903、904、905和906。例如,在80℃的沉积温度,使用900W的等离子体RF功率,等离子体脉冲持续0.4秒,RF能量为360J这些条件下,衬底905暴露于氨基硅烷和O2/Ar等离子体的交替脉冲,并且在图9中显示为点905。
在用于这些衬底的氧化硅膜的30nm压痕深度或位移和相应的工艺条件下得到的模量总结在下表1中。温度如图9所绘制的。
表1.模量与温度的关系
如图所示,通常,升高的温度增加了沉积的氧化硅的模量。
实验2:RF能量和模量
进行实验以评估增加RF能量对氧化硅间隔物材料的模量的影响。第一次试验涉及四个衬底,每个衬底在50℃下以不同的RF能量沉积。使用900W的等离子体RF功率,持续0.4秒的脉冲,以及因此360J的RF能量,将两个衬底分别暴露于氨基硅烷和O2/Ar的交替脉冲。使用1600W的等离子体RF功率,持续0.4秒的脉冲,以及因此640J的射频能量,将第三衬底暴露于交替脉冲的氨基硅烷和O2/Ar。使用1600W的等离子体RF功率,持续1.2秒的脉冲,以及因此1920J的射频能量,将第四衬底暴露于氨基硅烷和O2/Ar的交替脉冲。这些在图10中绘制为圆。如图所示,随着RF能量增加,模量也增加。总结该数据的表格在下面的表2中提供。
表2.在50℃的沉积温度下的模量和RF能量
衬底 温度 RF功率 RF时间 RF能量 在30nm处的模量
1 50℃ 900W 0.4秒 360J 50GPa
2 50℃ 900W 0.4秒 360J 52GPa
3 50℃ 1600W 0.4秒 640J 54GPa
4 50℃ 1600W 1.2秒 1920J 64GPa
第二次试验涉及五个衬底,每个衬底在75℃下用不同的RF能量沉积。使用900W的等离子体RF功率,持续0.4秒的脉冲,以及因此360J的RF能量,将前两个衬底分别暴露于氨基硅烷和O2/Ar的交替脉冲。使用1600W的等离子体RF功率,持续0.4秒的脉冲,以及因此640J的RF能量,将第三和第四衬底各自暴露于氨基硅烷和O2/Ar的交替脉冲。使用1600W的等离子体RF功率,持续1.2秒的脉冲,以及因此1920J的RF能量,将第五衬底暴露于氨基硅烷和O2/Ar的交替脉冲。在图10中将这些绘制成正方形。如图所示,随着RF能量增加,模量也增加。总结该数据的表以下面的表3中提供。
表3.在75℃沉积温度下的模量和RF能量
第三次试验涉及2个衬底,每个衬底在80℃下用不同的RF能量沉积。使用900W的等离子体RF功率,持续0.4秒的脉冲,以及因此360J的RF能量,将第一衬底暴露于氨基硅烷和O2/Ar的交替脉冲。使用1600W的等离子体RF功率,持续1.2秒的脉冲,以及因此1920J的RF能量,将第二衬底暴露于氨基硅烷和O2/Ar的交替脉冲。在图10中将这些绘制成菱形。如图所示,随着RF能量增加,模量也增加。总结该数据的表以下面的表4中提供。
表4.在80℃沉积温度下的模量和RF能量
衬底 温度 RF功率 RF时间 RF能量 在30nm处的模量
1 80℃ 900W 0.4秒 360J 53GPa
2 80℃ 1600W 1.2秒 1920J 68GPa
第三次试验涉及3个衬底,每个衬底在150℃下用不同的RF能量沉积。使用900W的等离子体RF功率,持续0.4秒的脉冲,以及因此360J的RF能量,将衬底分别暴露于氨基硅烷和O2/Ar的交替脉冲。使用900W的等离子体RF功率,持续1.2秒的脉冲,以及因此1080J的RF能量,将第三暴露于氨基硅烷和O2/Ar的交替脉冲。使用1600W的等离子体RF功率,持续1.2秒的脉冲,以及因此1920J的RF能量,将第三衬底暴露于氨基硅烷和O2/Ar的交替脉冲。在图10中将这些绘制成三角形。如图所示,随着RF能量增加,模量也增加。总结该数据的表以下面的表5中提供。
表5.在150℃沉积温度下的模量和RF能量
衬底 温度 RF功率 RF时间 RF能量 在30nm处的模量
1 150℃ 900W 0.4秒 360J 59GPa
2 150℃ 900W 1.2秒 1080J 69GPa
3 150℃ 1600W 0.4秒 1920J 70GPa
如上所述,增加的RF能量增加了模量。而且,基于实验1和2,可以得出结论,增加温度和RF能量两者导致协同效应,其增加的模量比仅增加温度或仅增加RF能量而增加的模量更多。
实验3:UV处理和模量
进行实验以评估将沉积的氧化硅材料暴露于UV辐射对氧化硅间隔物材料的模量的影响。第一次试验涉及三个衬底,每个衬底在不进行UV处理的情况下在50℃下用不同RF能量(360J、640J和1920J)沉积,以及三个衬底,每个衬底在50℃下用相同的RF能量在UV处理下沉积。将结果在图11中绘制成将未经UV处理的衬底(实心黑色圆)与经UV处理(白色圆)的衬底进行比较。如图所示,在360J的RF能量下,经UV处理的衬底显示出更高的模量。对于640J和1920J的衬底描绘了相同的趋势。结果也总结在下表6中。
表6.对在50℃下沉积的衬底的UV处理
第二次试验涉及三个衬底,每个衬底在不进行UV处理的情况下在75℃下用不同RF能量(360J、640J和1920J)沉积,以及三个衬底,每个衬底在75℃下用相同的RF能量在UV处理下沉积。将结果在图11中绘制成将未经UV处理的衬底(实心黑色三角形)与经UV处理(白色三角形)的衬底进行比较。如图所示,在360J的RF能量下,经UV处理的衬底显示出更高的模量。对于640J和1920J的衬底描绘了相同的趋势。结果也总结在下表7中。
表7.在75℃下沉积的衬底的UV处理
这些结果与实验1和2的结果相结合表明,结合沉积期间增加的沉积温度、增加的RF能量和UV处理的协同效应导致实现最高模量的膜。
结论
尽管为了清楚理解的目的已经相当详细地描述了前述实施方案,但是显然可以在所附权利要求的范围内实施某些改变和修改。应该注意的是,实现这些实施方案的工艺、***和装置有很多替代方式。因此,本文的实施方案被认为是说明性的而不是限制性的,并且实施方案并不限于这里给出的细节。

Claims (10)

1.一种在衬底上进行多次图案化的方法,该方法包括:
提供具有图案化的芯材料的衬底;
将所述衬底暴露于交替暴露的含硅前体和氧化剂;以及
当将所述衬底暴露于所述氧化剂时点燃等离子体,以在所述图案化的芯材料上形成具有至少55GPa的弹性模量的保形氧化硅间隔物材料,所述保形氧化硅间隔物材料的形成包括将所述保形氧化硅间隔物材料暴露于紫外线辐射达规定时间段。
2.根据权利要求1所述的方法,其中所述规定时间段包括至多5分钟的持续时间。
3.根据权利要求1所述的方法,所述规定时间段包括5分钟至30分钟之间的持续时间。
4.根据权利要求1所述的方法,还包括在大于50℃且小于约80℃的衬底温度下沉积所述保形氧化硅间隔物材料。
5.一种在衬底上进行多次图案化的方法,该方法包括:
提供具有图案化的芯材料的衬底;
将所述衬底暴露于交替暴露的含硅前体和氧化剂;
当将所述衬底暴露于所述氧化剂时点燃等离子体,以在所述图案化的芯材料上形成具有至少55GPa的弹性模量的保形氧化硅间隔物材料,所述具有至少55GPa的弹性模量的保形氧化硅间隔物材料的形成包括将所述保形氧化硅间隔物材料暴露于紫外线辐射;
选择性地蚀刻对于所述保形氧化硅间隔物材料有选择性的所述图案化的芯材料,以形成包括所述保形氧化硅间隔物材料的掩模;以及
使用所述掩模蚀刻在所述衬底上的目标层。
6.根据权利要求5所述的方法,其中所述保形氧化硅间隔物材料被暴露于紫外线辐射至多5分钟。
7.一种在衬底上进行多次图案化的方法,该方法包括:
提供具有图案化的芯材料的衬底;
将所述衬底暴露于交替暴露的含硅前体和氧化剂;以及
当将所述衬底暴露于所述氧化剂时点燃等离子体,以在所述图案化的芯材料上形成具有至少55GPa的弹性模量的保形氧化硅间隔物材料;
其中所述具有至少55GPa的弹性模量的保形氧化硅间隔物材料的形成包括将所述保形氧化硅间隔物材料暴露于紫外线辐射至多5分钟。
8.根据权利要求1-7中任一项所述的方法,其中所述图案化的芯材料包括间距小于约45nm的特征。
9.一种用于图案化衬底的装置,所述装置包括:
一个或多个处理室;
通向所述一个或多个处理室和相关的流量控制硬件内的一个或多个进气口;
低频射频(LFRF)发生器;
高频射频(HFRF)发生器;和
控制器,其具有至少一个处理器和存储器,
其中,所述至少一个处理器和所述存储器彼此通信连接,所述至少一个处理器至少与所述流量控制硬件、所述LFRF发生器和所述HFRF发生器能操作地连接,并且所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器,以至少控制所述流量控制硬件、所述HFRF发生器和所述LFRF发生器以:
致使将衬底提供至所述一个或多个处理室中的至少一个,所述衬底具有图案化的芯材料;
致使将含硅前体和氧化剂的交替流经由所述一个或多个气体入口引入所述一个或多个处理室中的所述至少一个中;以及
致使当引入所述氧化剂时产生等离子体,以在所述图案化的芯材料上形成具有至少55GPa的弹性模量的保形氧化硅间隔物材料;
其中所述计算机可执行指令包括用于使其上具有所述保形氧化硅间隔物材料的所述衬底暴露于紫外线辐射以形成具有至少55GPa的弹性模量的保形氧化硅间隔物材料的指令。
10.根据权利要求9所述的装置,其中,所述计算机可执行指令还包括致使相对于所述保形间隔物材料选择性蚀刻所述图案化芯材料以形成包括所述保形氧化硅间隔物材料的掩模并且致使使用所述掩模蚀刻在所述衬底上的目标层的指令。
CN202310644592.XA 2016-11-14 2017-11-08 用于高模数ALD SiO2间隔物的方法 Pending CN116959964A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/351,221 US10134579B2 (en) 2016-11-14 2016-11-14 Method for high modulus ALD SiO2 spacer
US15/351,221 2016-11-14
PCT/US2017/060692 WO2018089534A1 (en) 2016-11-14 2017-11-08 Method for high modulus ald sio2 spacer
CN201780070305.1A CN109937467B (zh) 2016-11-14 2017-11-08 用于高模数ALD SiO2间隔物的方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201780070305.1A Division CN109937467B (zh) 2016-11-14 2017-11-08 用于高模数ALD SiO2间隔物的方法

Publications (1)

Publication Number Publication Date
CN116959964A true CN116959964A (zh) 2023-10-27

Family

ID=62108035

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201780070305.1A Active CN109937467B (zh) 2016-11-14 2017-11-08 用于高模数ALD SiO2间隔物的方法
CN202310644592.XA Pending CN116959964A (zh) 2016-11-14 2017-11-08 用于高模数ALD SiO2间隔物的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201780070305.1A Active CN109937467B (zh) 2016-11-14 2017-11-08 用于高模数ALD SiO2间隔物的方法

Country Status (5)

Country Link
US (1) US10134579B2 (zh)
KR (2) KR102649860B1 (zh)
CN (2) CN109937467B (zh)
TW (1) TW201834008A (zh)
WO (1) WO2018089534A1 (zh)

Families Citing this family (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN110459465B (zh) * 2019-08-30 2022-03-04 上海华力微电子有限公司 自对准双层图形的形成方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11615958B2 (en) * 2020-03-24 2023-03-28 Tokyo Electron Limited Methods to reduce microbridge defects in EUV patterning for microelectronic workpieces
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11024511B1 (en) * 2020-04-21 2021-06-01 Winbond Electronics Corp. Patterning method
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) * 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20230022359A1 (en) * 2021-07-22 2023-01-26 Applied Materials, Inc. Methods, apparatus, and systems for maintaining film modulus within a predetermined modulus range
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230260800A1 (en) * 2022-02-15 2023-08-17 Applied Materials, Inc. Methods to reduce uncd film roughness

Family Cites Families (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5528719A (en) 1993-10-26 1996-06-18 Sumitomo Metal Mining Company Limited Optical fiber guide structure and method of fabricating same
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US6225175B1 (en) 1997-06-20 2001-05-01 Texas Instruments Incorporated Process for defining ultra-thin geometries
US5854105A (en) 1997-11-05 1998-12-29 Vanguard International Semiconductor Corporation Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6403416B1 (en) 1999-01-07 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
AU4902201A (en) 1999-11-02 2001-07-03 Tokyo Electron Limited Method and apparatus for supercritical processing of a workpiece
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
IL152376A0 (en) 2000-04-25 2003-05-29 Tokyo Electron Ltd Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
KR100366621B1 (ko) * 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7301210B2 (en) 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7491630B2 (en) 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN101517713B (zh) 2006-09-19 2011-02-09 东京毅力科创株式会社 等离子体清洁方法和等离子体cvd方法
US7772702B2 (en) * 2006-09-21 2010-08-10 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7488659B2 (en) * 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US8563229B2 (en) * 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
KR101542267B1 (ko) 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
DE102009014418B3 (de) 2009-03-26 2010-04-15 Heraeus Quarzglas Gmbh & Co. Kg Ziehverfahren zur Herstellung zylinderförmiger Bauteile aus Quarzglas
WO2010151856A2 (en) 2009-06-26 2010-12-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8105901B2 (en) 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8021949B2 (en) 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US8354331B2 (en) 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
EP2553141A4 (en) 2010-04-01 2013-08-21 Air Liquide DEPOSITION OF FILMS CONTAINING METAL NITRIDES USING A COMBINATION OF AMINOUS AND HALOGENATED METAL PRECURSORS
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101225601B1 (ko) 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8883649B2 (en) 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
EP2694700B1 (en) 2011-04-07 2016-11-16 Picosun Oy Atomic layer deposition with plasma source
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
CN107342216B (zh) 2011-09-23 2022-05-31 诺发***公司 等离子体活化保形电介质膜沉积
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5882776B2 (ja) 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
JPWO2013137115A1 (ja) 2012-03-15 2015-08-03 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
KR102052936B1 (ko) 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9209274B2 (en) 2013-07-19 2015-12-08 Globalfoundries Inc. Highly conformal extension doping in advanced multi-gate devices
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
KR102363899B1 (ko) 2014-01-13 2022-02-15 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9318334B2 (en) 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9449971B2 (en) 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
EP3035379B1 (en) * 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
CN106298519A (zh) 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US9748110B2 (en) 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10103032B2 (en) 2015-11-20 2018-10-16 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer

Also Published As

Publication number Publication date
US10134579B2 (en) 2018-11-20
KR102649860B1 (ko) 2024-03-20
TW201834008A (zh) 2018-09-16
CN109937467A (zh) 2019-06-25
KR20220080032A (ko) 2022-06-14
KR102407031B1 (ko) 2022-06-08
US20180138036A1 (en) 2018-05-17
CN109937467B (zh) 2023-06-23
WO2018089534A1 (en) 2018-05-17
KR20190072671A (ko) 2019-06-25

Similar Documents

Publication Publication Date Title
CN109937467B (zh) 用于高模数ALD SiO2间隔物的方法
KR102510157B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
KR102514839B1 (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
US10903071B2 (en) Selective deposition of silicon oxide
KR20130085900A (ko) 무-염소 등각 질화 규소 필름 증착 방법
US20210384029A1 (en) Modifying hydrophobicity of a wafer surface using an organosilicon precursor
TW202208662A (zh) 接縫減輕及間隙填充用整合式襯墊
US20230154754A1 (en) Loss prevention during atomic layer deposition
KR20230029686A (ko) 반도체 장치들 내 인트라레벨 커패시턴스 감소
TW202418351A (zh) 表面抑制原子層沉積

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination