TW201724209A - 在半導體處理系統中離子源之清洗 - Google Patents

在半導體處理系統中離子源之清洗 Download PDF

Info

Publication number
TW201724209A
TW201724209A TW105140436A TW105140436A TW201724209A TW 201724209 A TW201724209 A TW 201724209A TW 105140436 A TW105140436 A TW 105140436A TW 105140436 A TW105140436 A TW 105140436A TW 201724209 A TW201724209 A TW 201724209A
Authority
TW
Taiwan
Prior art keywords
cleaning
gas
ion
ion source
filament
Prior art date
Application number
TW105140436A
Other languages
English (en)
Other versions
TWI619153B (zh
Inventor
約瑟D 史威尼
莎拉德 葉達夫
歐利格 拜
羅伯 金姆
大衛 艾德瑞吉
史蒂芬 塞吉
豐琳
史蒂芬E 畢夏普
W 卡爾 歐蘭德
唐瀛
Original Assignee
先進科技材料公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 先進科技材料公司 filed Critical 先進科技材料公司
Publication of TW201724209A publication Critical patent/TW201724209A/zh
Application granted granted Critical
Publication of TWI619153B publication Critical patent/TWI619153B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/18Vacuum locks ; Means for obtaining or maintaining the desired pressure within the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0815Methods of ionisation
    • H01J2237/082Electron beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/22Treatment of data

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Electron Sources, Ion Sources (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本發明涉及利用能夠生長/侵蝕在該電弧室之離子源內的絲極之反應性清洗劑籍由適當地控制電弧室內的溫度來清洗一離子植入系統或其部件,以便實現所希望的絲極之生長或替代的絲極之侵蝕。還描述了反應性氣體例如XeFx、WFx、AsFx、PFx以及TaFx之用途(其中x具有一化學計量地適當的值或值的範圍),用於在環境溫度、升高的溫度或電漿條件下,以原位或離位清洗之安排來清洗離子植入機的區域或植入機之部件。在特別的反應性清洗劑中,BrF3被描述為對於以原位或離位之清洗安排來清洗離子植入系統或其一或多個部件係有用的。還描述了清洗一離子植入系統之前級管道以便從所述前級管道中至少部分地去除與電離作用有關的沉積物之方法,該方法包括將所述前級管道與一清洗氣進行接觸,其中所述清洗氣與所述沉積物具有化學反應性。還描述了改進一離子植入系統的性能並且延長其壽命之方法,該方法包括將陰極與一氣體混合物進行接觸。

Description

在半導體處理系統中離子源之清洗
本發明涉及半導體處理系統、特別是離子植入系統的部件上的材料沉積之監測、控制以及清洗。
離子植入被用於積體電路的製造以便精確地向半導體晶圓中引入受控制量的摻雜雜質並且是微電子/半導體生產中之重要方法。在此類植入系統中,一離子源使一所希望的摻雜元素氣體電離成離子並且該等離子以具有所希望能量的一離子束的形式從源中引出。引出係籍由施用一高的電壓跨過合適成型的引出電極而實現,該等電極將多個孔合併成了引出束的通道。離子束然後在工作件的表面,例如一半導體晶圓上進行定向,以便向該工作件植入摻雜元素。該束中的離子穿透該工作件的表面來形成具有所希望的導電率之區域。
幾種類型的離子源係在商業的離子植入系統中通常使用的,包括:使用熱電電極並且籍由一電弧提供能源的Freeman以及Bernas類型、使用一磁控管的微波型、直接加熱的陰極源、以及RF電漿源,所有該等典型地在一真空中運行。離子源籍由向填充了摻雜氣體(通常稱為「原料氣體」)的一真空室中引入電子來產生離子。電子與氣體中的摻雜原子和分子的碰撞引起了由正的和負的摻雜離子組成的電離的電漿的產生。具有一負的或正的偏壓的引出電極會相應地允許該 正的或負的離子作為一準直離子束通過孔並且從離子源中出來,該離子束向著工作件被加速。原料氣體包括但不限於BF3、B10H14、B18H22、PH3、AsH3、PF5、AsF5、H2Se、N2、Ar、GeF4、SiF4、O2、H2、以及GeH4
目前,在現有技術的裝置的製造中達10-15個植入步驟。增加晶圓大小、減小臨界尺寸、以及生長電路的複雜性正在提出對離子植入工具就更好的處理控制、低能高束電流的釋放、以及平均無故障時間(MTBF)減小而言更多的要求。
最需要維護的離子植入機工具的部件包括:離子源,它必須在大約100到300小時的執行時間之後進行檢修(取決於其運行條件);引出電極以及高壓絕緣子,它們在運行幾百小時之後通常需要清洗;離子植入真空系統的前級管道以及真空泵,包括離子源渦輪泵及其關聯的前級管道。此外,離子源的絲極在運行後可能要求更換。
在理想的情況下,所有的原料分子均會被電離並且引出,但是實際上會發生一定量的原料分解,這導致了在離子源區域上的沉積以及污染。例如,磷的殘餘物(例如由使用一原料氣體例如磷化氫而得來的)迅速地沉積在該離子源區域的表面。該殘餘物可以在離子源中的低電壓的絕緣子上形成,引起電短路,這可以中斷產生熱電子所需要的電弧。這種現象通常稱為「源閃爍」,並且它係離子束不穩定性的重要因素,並且可以最終引起該源的過早損壞。殘餘物還在離子植入機的高電壓部件(例如該源的絕緣子或引出電極的表面)上形成,引起高能的高壓發電花。此類電花係束流不穩定性的另一因素,並且由該等電花所釋放的能量可以損壞靈敏的電子部件,導致增加的裝備故障以及差的MTBF。
對於使用Sb2O3作為固體摻雜材料的銻(Sb+)的植入,會發生另一常見的問題,這可以籍由甚至僅在Sb+植入幾小時之後流入硼(B)而惡 化。該硼束電流可以使該明顯受損的離子源的性能和壽命顯著地變壞。此類性能退化的原因歸因於在源的室及其部件上過度沉積的Sb。因為產量由於更頻繁的預防性維護或更少的束電流而降低了,所以離子源的故障顯著地降低了植入機的生產率。由於Sb的植入廣泛地用於類似的雙極器件中,並且還用作對於MOS(金屬氧化物半導體)裝置的淺接面結構的n-型摻雜,因此本領域有發展一方法的需要,即當Sb+用作摻雜劑時,特別是Sb植入之後轉換成B時,該方法可以從源的腔室及其部件上去除沉積的Sb。
此外,摻雜原子例如B、Ge、Si、P和As可以沉積在離子源渦輪泵,以及下游與其關聯的真空前級管道上。經時間的推移,該等沉積物積累起來並且需要清洗,過去這係手動地完成的。但是有些沉積物(例如固體磷)係生火花的並且可以在手動維護的操作過程中著火。這不僅是一著火的危險,而且還可以釋放出有毒的化合物。因此在本領域存在著發展一改進的方法的需要,該方法使用一氣體清洗劑可以如願地原位地清洗該等沉積物。
在另一離子源故障的原因中,各種材料(例如鎢,W)可以在長期的離子植入過程中累積在該陰極上。一旦該等達到了一臨界水平,該陰極電源不能再保持足以滿足束電流設定點的溫度。這引起離子束電流的損失,需要更換離子源。所產生的離子源性能退化和壽命縮短降低了離子植入系統的生產率。
而另一離子源故障的原因係陰極材料的侵蝕(或濺射)。例如,來自陰極的金屬材料(例如W、Mo等)被電弧室中電漿中的離子濺射。因為濺射受到電漿中的最重的離子的控制,隨著離子質量增加,濺射效果可能變壞。事實上,連續的材料的濺射使該陰極「變薄」,最後導致在陰極上形成一孔洞(「陰極穿通」)。結果係離子源的性能和壽命大大降低。因此本領域繼續尋求能保持材料在陰極上的累積和腐蝕之 間的平衡的方法來延長離子源的壽命。
此外的殘餘物可能從該離子源材料與該離子植入系統的部件之間的反應產生,這取決於系統內的條件。此類反應可以導致殘餘物沉積在系統的另外的部件上。
沉積物在離子源的元件上很常見,例如絲極以及反射極電極。此類內部沉積物總體上是由電弧室材料組成的,並且最常見的是當具有一氟化物源的原料的高電漿電源與由鎢和鉬構成的一電弧室結合而運行時。儘管使用非含鹵化物源的材料的離子植入系統的離子源的預期壽命一般是大約100小時到300小時,而有些含鹵材料例如GeF4,由於在離子源運行中內部沉積物的有害影響,離子源的壽命可以低至10小時到50小時。
除了由於在該離子植入機中的殘餘物引起的運行困難之外,由於為了清洗而移動部件時散發出有毒或腐蝕氣體,還存在重大的人員安全問題。安全問題會在殘餘物存在的任何地方發生,但特別受關注的是在離子源區域,因為離子源係離子植入機最經常維護的部件。為了最小化停機時間,經常在顯著地高於室溫的溫度下將受污染的離子源從植入機中移開,這增加了蒸汽的散發並且加深了安全問題。
處理以上困難的已有方法已包括嘗試阻止沉積物的形成以及清洗在引出電極和離子源上產生的沉積物(即,在該引出電極上,如公佈的美國專利申請2006/0272776、公佈的美國專利申請2006/0272775以及公佈的國際專利申請WO 2005/059942 A2中所討論)。然而,依然需要清洗離子植入系統的所有元素的一另外的方法。
因此在離子植入領域中希望提供具有一單獨的清洗位置的一離位的清洗方法,由此可以安全地對已經從該植入機上移開的受污染部件進行清理而沒有任何機械磨損,該機械磨損可能損害精細部件例如石墨電極。因此提供一離線清洗位置還將是在離子植入領域中的一顯 著進步,它可以用來在部件從該植入系統移開之後選擇性並且非破壞地清洗它們,而停機時間最短。
提供一原位的清洗方法也將是離子植入領域中的一顯著進步,該方法用於在植入過程中有效地、選擇性地去除不必要的遍及該植入機(特別是該離子源區域)沉積的殘餘物。這種原位清洗會提高人員安全並且促進穩定的、不間斷的植入裝備的運行。
可以進行一原位清洗過程而不拆開該處理室。對於原位過程,將一氣體試劑從該處理室中流過以便以連續的、脈衝的或混合的連續-脈衝方式之一去除累積的薄膜。取決於情況,一電漿可以在或不在這種清洗過程中產生。
使用三氟化氯(ClF3)以及其他的氟源的材料(例如CF4、NF3、C2F6、C3F8、SF6以及ClF3)的無電漿的或乾燥清洗方法可以用於從半導體處理室中去除固體殘餘物,例如籍由與固體殘餘物進行反應以形成籍由真空或其他的去除條件從該處理室中可移去的揮發性反應產物,並且在此類情況下,該等清洗劑可能需要提高的溫度的清洗條件。見Y.Saito等,「Plasmaless Cleaning Process of Silicon Surface Using Chlorine Trifluoride」,APPLIED PHYSICS LETTERS,vol.56(8),pp.1119-1121(1990);還見D.E.Ibbotson等,「Plasmaless Dry Etching of Silicon with Fluorine-Containing Compounds」,JOURNAL OF APPLIED PHYSICS,vol.56(10),pp.2939-2942(1984)。
美國專利號4,498,953描述了一原位清洗方法,其中將一種鹵間互化物,例如BrF5、BrF3、ClF3、或IF5連續地流過該處理室,同時保持在該室中的一預定的電壓。在該處理結束時,終止該鹵間互化物氣體的流動。此類方法可以產生含Cl、Br、或I的副產物,連同含氟的副產物,由此產生大量的需要處理或其他處置的危險廢料。此外,這匯總連續流動清洗在非常低的壓力條件下進行,在此壓力下清洗效率 實質上降低了。
在某些離子源應用中,已經進行了BF3、PH3、和/或Asl3的策略性排序以便實現更長的離子源壽命。
另外,GeF4、SiF4和/或BF3已經用作了清洗氣,但是總是在該源室中正在投射電漿時使用。
氟基或含氟的鹵間互化物用於清洗半導體處理裝備的應用有限制其商業活力的相關不足。氟基或含氟的鹵間互化物,包括ClF3,具有高度腐蝕的特點。此外,鹵間互化物係對人類呼吸器官的強烈刺激物。例如,對於ClF3蒸汽的臨界人體耐受水平可以低到100ppb,LC50為在300ppm下1小時的級別。
本領域繼續尋求新的清洗劑以及離位元和原位系統和方法,以及相關的監測和控制設備和方法。
本發明總體上涉及用於監測、控制以及清洗離子植入系統或其部件的設備以及方法,也涉及有效地用於此種清洗的組合物。
在一方面本發明提供了在系統運行過程中監測一離子植入系統的絲極狀態之方法,該方法包括:(a)在一離子源的電弧室中使用足以在所述電弧室中產生一電漿的一初始電流向一絲極供電;(b)測量對該絲極的電流輸入以便將該電弧室中的電漿保持在連續電漿生成的一預定時間上;(c)將在該預定時間測量的電流輸入與該初始電流進行比較,並且(d)從這種比較中確定材料是否已經沉積到該絲極上或是否已經發生該絲極的侵蝕,其中,相對於該初始電流在該預定時間的一更大的電流錶示材料在該絲極上沉積,而相對於該初始電流在該預定時間的一更小的電流錶示該絲極的侵蝕。
在另一方面本發明提供了在該系統運行過程中控制一離子植入系統的一絲極的狀態之方法,包括:(a)在一離子源的電弧室中使用 足以在所述電弧室中產生一電漿的一初始電流向一絲極供電;(b)測量對該絲極的電流輸入以便將該電弧室中的電漿保持在連續電漿生成的一預定時間上;(c)將在該預定時間測量的電流輸入與該初始電流進行比較,(d)從這種比較中確定材料是否已經沉積到該絲極上或是否已經發生該絲極的侵蝕,其中,相對於該初始電流在該預定時間的一更大的電流錶示材料在該絲極上沉積,而相對於該初始電流在該預定時間的一更小的電流錶示該絲極的侵蝕,並且(e)回應於該確定,從該絲極去除沉積的材料或在該絲極上沉積附加的材料,至一程度,在該程度重新建立了該初始電流輸入、或在所述初始電流輸入的一預定範圍內的一電流輸入。
還有另一方面,本發明提供了運行在一離子源的電弧室中包括一絲極的離子植入系統之方法,來保持該離子源的運行效率,所述方法包括將該絲極在以下條件下與一鎢試劑進行接觸,該等條件選自構成如下的組:(a)實現鎢在該絲極上沉積的條件;以及(b)實現從該絲極上侵蝕沉積所材料的條件。
本發明的再一方面涉及清洗一離子植入系統的一或多個部件之方法,用於從所述一或多個部件至少部分地去除與電離作用有關的沉積物,所述方法包括將一清洗氣在以下條件下流過該系統,該等條件選自構成如下的組:(a)實現材料在該絲極上沉積的條件;以及(b)實現從該絲極上侵蝕所沉積材料的條件。
本發明的一另外的方面涉及將在一電弧室中的離子源的一絲極保持一預定的電阻之方法,該方法包括將該絲極與取決於該電弧室的溫度有效地在該絲極上沉積材料或有效地從該絲極上侵蝕材料的一試劑進行接觸,並且控制在該電弧室中的溫度來有效地在該絲極上沉積 或侵蝕材料,以保持所述預定的電阻。
在另一方面,本發明涉及清洗一離子植入系統或其一或多個部件以便從中去除與電離作用有關的沉積物之方法,該方法包括將該離子植入系統或其一或多個部件在其中所述BrF3與該沉積物具有化學反應性的條件下與BrF3接觸以便實現它們至少部分地去除。
在另一方面,本發明涉及清洗一離子植入系統的一前級管道以便從中去除一與電離作用有關的沉積物之方法,該方法包括將一離子植入系統的前級管道與一清洗氣在其中所述清洗氣與該沉積物具有化學反應性的條件下進行接觸以便至少部分地去除它們。此方法可以改進一離子植入系統的性能並且延長其壽命。
在另一方面,本發明涉及改進一離子植入系統的性能並且延長其壽命之方法,該方法包括將該陰極與包含至少一種清洗氣以及至少一種沉積氣體的一氣體混合物進行接觸,其中所述氣體混合物平衡了材料在陰極上的沉積以及該沉積材料或其他材料從該陰極上的腐蝕。
其他方面、本發明的特徵以及實施方式從隨後的披露以及所附申請專利範圍將會更加明顯。
發明詳細說明
本發明涉及用於監測、控制以及清洗半導體處理系統和/或其部件的設備以及方法,並且涉及用於此種清洗的組合物。
一方面,本發明涉及從該半導體處理系統或半導體處理系統的部件中去除沉積物,其中將該系統或系統部件與包括一氣相反應性材料的一清洗組合物進行接觸。
如此處所使用,術語「氣相反應性材料」旨在寬泛地解釋為指以下材料,包括:一或多種鹵化物和/或絡合物(以氣體或蒸汽的形式),該一或多種化合物和/或絡合物的離子和電漿形式,以及從該一或多種化合物、一或多種絡合物以及離子和電漿形式衍生的元素以及 離子。如在本發明的寬泛的操作中所使用的一氣相反應性材料還可以不同地是指(但不限於)一「氣相反應性組合物」、一「清洗劑」、一「清洗氣」、一「侵蝕氣」、一「氣態鹵化物」、一「氣態清洗劑」、一「反應性鹵化物」、一「清洗化合物」、一「清洗組合物」、一「清洗蒸汽」、一「侵蝕蒸汽」或此類術語的任何組合。
如此處所使用,就一離子植入機而言,「離子源區域」包括真空室、源電弧室、源絕緣子、引出電極、抑制電極、高電壓絕緣子、源套管、絲極以及反射極電極。
本發明考慮了半導體處理系統及其部件的清洗,連同其他基質和設備,該等基質和設備在其正常處理操作中易受其上形成的沉積物影響。
本發明在不同的方面提供了一離子植入系統,該系統具有以下能力:籍由適當地控制在電弧室中的溫度而生長/侵蝕在電弧室的離子源內的絲極以便進行所希望的絲極的生長或可替代的絲極的侵蝕。
本發明的另外一些方面涉及使用反應性的氣體例如WFx、AsFx、PFx和TaFx(其中x具有一化學計量地適當的值或值的範圍)用於在原位或離位的清洗安排中在電漿或升高的溫度的條件下來清洗離子植入機的區域或離子植入機的部件。
本發明的還有另一方面涉及BrF3用於在原位或離位的清洗安排中在環境溫度、提高的溫度或電漿的條件下來清洗離子植入系統或其一或多個部件的用途。
一離子植入系統的運行導致在該系統或其部件中產生與電離作用有關的材料的沉積。本發明考慮了監測、控制和/或清洗該離子植入系統和/或其一或多個部件,以便從該系統和/或其部件上至少部分地去除此類與電離作用有關的沉積物。該清洗方法涉及將該系統和/或其部件與包括一氣相反應性材料的一清洗組合物在能夠使該氣相反 應性材料與該沉積物進行反應的條件下進行接觸以實現它們至少部分的去除。
除了由原料氣本身引起的與電離作用有關的沉積物之外,還已經發現了在一離子植入系統內形成的沉積物或殘餘物可能起因於原料氣體與構成該系統部件的材料的反應性。例如,一離子植入系統的真空室可以是使用不銹鋼或鋁來構成。在該真空室內的系統部件可以是使用石墨(例如,標準的或玻璃質的),絕緣材料(例如,氮化硼)和/或密封材料例如Teflon®、Kel-FTM、PEEKTM、DelrinTM、VespelTM、VitonTM、Buna-N、矽等來構造。可以在該離子植入系統中存在的並且對其中產生的沉積物的化學反應易感的其他材料包括但不限於陶瓷、含氧化鉛的環氧組合物、氮化鋁、氧化鋁、二氧化矽以及氮化硼。
該離子源本身可以是由鎢、石墨、鉬或鉭、有時有少量的銅和銀構成。該離子源電弧室通常由鎢或鉬構成、或一石墨體,該石墨體襯有鎢或鉬。在這種情況下,一種氟化物源的進料材料,例如BF3、GeF4、SiF4、AsF5、AsF3、PF5、和/或PF3在運行溫度下與電弧室的材料,例如來自電弧室或該室的襯裡的鎢或鉬進行反應,以形成一中間副產物,該副產物進而可以在該系統中遷移並且分解以沉積鎢或鉬並釋放出氟。
例如,一原料氣例如GeF4會在該離子源室中解離並且產生的游離的氟化物會侵蝕該電弧室中的材料,例如鎢。在一更冷的表面上鎢將會發生此種反應,所以如果是在投射電漿並且因此該絲極係熱的,則該氟化物會與鎢在電弧室的該等壁上進行反應,侵蝕該等壁並形成WF6氣體。WF6然後會在該熱的絲極上沉積鎢,引起其尺寸的增長。
當GeF4產生大量游離的氟時,原料氣體例如BF3或SiF4產生更少量的游離氟以及相應地在絲極上更少水平的鎢沉積,它儘管少,但仍 然很重要。
不含氟的原料氣體,例如PH3和AsH3,有問題的是可以引起絲極上的金屬沉積到電弧室的壁上,而結果絲極變細。
本發明因此考慮了清洗一離子植入系統或其一或多個部件,用於至少部分地去除與該電弧室的材料相同的與電離作用有關的沉積物。
根據本發明的清洗可以在一離子植入系統中進行,其中多種原料氣同時引入該系統中。原料氣體還可以與一或多種氣相反應性材料同時使用,或可以與一或多種氣相反應性材料交替脈衝到該系統中。
本發明之清洗方法所指的與電離作用有關的沉積物包括多種材料,該等材料可以籍由,例如在離子源或其他電離過程的裝備中形成並且累積,來干擾離子植入系統的正常運行。沉積的材料可以不同地包括、構成為、或實質的構成為:矽、硼、磷、鍺、砷、鎢、鉬、硒、銻、銦、碳、鋁和/或鉭。
在離子源電弧室以及引出電極上的與電離作用有關的沉積物可以成片脫落並且形成小的顆粒。該等顆粒一旦形成,則能夠以一離子束傳送,例如植入到一晶圓中的一摻雜離子的束。如果此類的傳送顆粒到達該晶圓,則在該晶圓上產生的顆粒污染可以嚴重地降低可以在該晶圓上製造的有用器件的產率。本發明之清洗方法在此類與電離作用有關的沉積物能夠形成薄片以及顆粒之前將其去除,並且由此實現在產品晶圓上顆粒的減少並且提高半導體器件的產率。
根據本發明用於清洗的氣相反應性材料或清洗氣可以包括對至少部分地去除該離子植入系統中的與電離作用有關的沉積物有效的任何材料。
本發明還考慮了使用氣相反應性材料籍由適當地控制反應而從不希望的位置去除與電離作用有關的沉積物,和/或在希望的位置沉 積材料。在本發明的特別的實施方式中,鎢構成了作為不希望的沉積物去除的材料,而在其他的實施方式中,鎢被期望地沉積在受益於其存在的表面上。因此,反應性地形成一種氟化鎢中間體產物的一氣體,例如XeF2、GeF4、SiF4、BF3、AsF5、AsF3、PF5、和/或PF3,可以用在本發明的控制以及清洗方法中。另外,多種鎢氟化物氣體例如WF6、WF5、和/或WF4可以直接用於本發明的控制以及清洗方法中。照這樣,本發明的氣相反應性材料包括,但不限於XeF2、GeF4、SiF4、BF3、AsF5、AsF3、PF5、PF3、F2、TaF3、TaF5、WF6、WF5、和/或WF4
在不同的特別的實施方式中,該氣相反應性材料可以與增加該氣相反應性材料的揮發性的一「清洗增強劑」或「共反應劑」共同施用,導致比使用無清洗增強劑或共反應劑的氣相反應性材料更多的沉積物的去除。例如,用XeF2去除銥沉積物可以籍由路易士堿以及電子回饋鍵種類的共同施用而增強。在特定的應用中,可以使用一氧化碳、三氟膦,以及三烷基膦類。
作為一另外的實例,在一離子植入系統中,其中進料氣體在具有鎢壁的一電弧室中被電離成連續的電漿,在該等壁上,一邊安裝有一絲極而另一邊安裝有一反射極並且他們籍由陶瓷的絕緣子與該等壁分開,該電弧室的部件可能會被進料氣體分解的產物、電弧室的元素以及碳污染。
在這種情況下,有用於去除形成揮發性的氟化物的金屬污染物(例如鎢)的一清洗劑(例如XeF2)可以與一含氧添加劑進行組合,該含氧添加劑籍由將污染物碳轉變成CO、CO2、和/或COF2而有效地將其去除。有用於此目的的含氧添加劑組分在本發明的特別的實施方式中包括但不限於NO、N2O、NO2、CO2和/或O2
因此本發明考慮了包括有效去除一金屬污染物(籍由形成此金屬 的一揮發性(氣態)的氟化物化合物)的一清洗劑以及有效去除碳污染物(籍由由其形成一揮發性的氧化物或氟氧化物)的一清洗劑兩者的清洗組合物。該等清洗劑可以同時地或順序地流入該電弧室中。
在一實施方式中,該等試劑在電離作用的條件下同時流入該電弧室中,這樣該等清洗劑均進行了電離以便將金屬以及碳的污染物轉變成從該室中籍由將其機械泵送而容易去除的揮發性化合物。
能夠使該氣相反應性材料與沉積物進行反應的條件可以包括任何適當的溫度、壓力、流速、組成等條件,在此條件下,該氣相反應性材料與污染物進行接觸並且化學上相互作用以便從該基質中(例如受所沉積材料污染的植入機裝備的表面)去除此類材料。
可以使用的不同條件的實例包括但不限於環境溫度、超過環境溫度的溫度、存在電漿、沒有電漿、低於大氣壓力、大氣壓力以及超級大氣壓。
用於氣相反應性材料的接觸來去除沉積物的確切溫度在不同的實施方式中可以在從大約0℃到大約1000℃的範圍。接觸可以包括該氣相反應性材料在一載氣中、或以一純的形式、或在與一另外的清洗劑、摻雜劑等的混合物中進行遞送。該氣相反應性材料可以進行加熱以便與在環境溫度下的沉積物進行化學反應,以提高反應動力學。
該氣相反應性材料與污染物沉積物之間的反應可以基於改變清洗劑與污染物之間的反應特性進行監測和/或調節。此類反應特性可以包括壓力、時間、溫度、濃度、一具體物質的存在、壓力改變的速度、濃度改變的速度(一具體種類)、電流的改變等等。因此,向體系中引入該氣相反應性材料可以基於一預定的反應特性的實現而終止,例如在真空室中的一預定電壓、渡過了一預定量的時間、或一預定的溫度、在該系統中一具體元素的濃度、一具體副產物的存在、在該系統中的反應產物或其他的物質、或在該監測操作中一預定的電流條件 的實現。
鎢沉積物可以由進料氣體與一植入機系統的電弧室的反應引起。用於清洗此類沉積物的方法可以取決於該系統的溫度梯度和/或流到以及籍由絲極的電流、和/或有效地確定並且能夠監測的任何其他特性。
例如,來自進料材料的氟可以與該電弧室在一第一溫度下進行反應,籍由以下反應(1)或(2)形成WF6:3F2(g)+W(s)→WF6(g) (1)
6F(g)+W(s)→WF6(g) (2)
還可以存在清洗氣與該電弧室的鎢材料之間的反應,例如:3XeF2+W→3Xe+WF6 (3)
替代地,WF6(或WF5或WF4)可以直接地提供給該系統。
在該系統中曾經形成或者另外存在的鎢氟化物然後可以遷移到該系統的另一位置。取決於其他位置的溫度,該鎢氟化物會在該位置侵蝕或沉積鎢。在該絲極上,溫度將主要取決於通過它的實際電流流量。在該電弧室的其他位置的溫度可以變化,這取決於具體的位置以及電弧室的設計、絲極電流、連同其他非絲極電流。
如果第二位置係在高溫,則鎢氟化物分解,鎢被沉積而氟被釋放,只要鎢氟化物繼續存在,鎢沉積物的尺寸就會生長。沉積反應可以包括以下的反應(4)、(5)和/或(6):WF6→W+3F2 (4)
2WF5→2W+5F2 (5)
WF4→W+2F2 (6)
相反,如果第二位置係在中等溫度,鎢氟化物可以侵蝕該位置,在反應產物中去除鎢並且保留氟,這樣侵蝕的位置隨著侵蝕的進行而縮小。此侵蝕反應可以包括以下反應(7)、(8)和/或(9): WF6(g)+2W(s)→3WF2(g) (7)
2WF6(g)+W(s)→3WF4(g) (8)
5WF6(g)+W(s)→6WF5(g) (9)
因此,對於鎢沉積物的去除,可以選擇帶有沉積物的部件的溫度以使去除的速度和範圍最大化。
在本發明的其他實施方式中,在電弧室中硼和/或鉬沉積物以一相應的方式去除。
在本發明之方法中清洗劑與處理裝備的接觸可以籍由監測在接觸過程中壓力的變化而進行,當壓力變化為零時,則接觸終止。
可替代地,該接觸可以籍由監測該氣相反應性材料或由此得到的反應物,或在該接觸中產生的反應產物的分壓而進行,當該分壓達到一預定的值,即,一終點時,該接觸終止。例如,此種終點監測可以使用一合適的終點監測器進行,例如在美國專利號6,534,007以及美國專利申請號:10/273,036、10/784,606、10/784,750、和10/758,825中所更全面披露的一類型的終點監測器,或一熱電堆紅外(TPIR)或其他紅外探測器。
在另一實施方式中,該接觸可以使用該處理裝備系統的部件籍由氣相反應性材料的受控制的流動而進行,該等部件允許調節氣相反應性材料的分壓並且因此控制反應速率。
在又一實施方式中,使用一預定流速的氣體反應性材料的連續流來進行該清洗操作。
如在上文中關於反應(1)-(9)所討論的,與電離作用有關的鎢的沉積物可以在非常高的溫度下進行沉積並且在低溫至中等溫度下進行侵蝕。在沉積或侵蝕的位置係植入機系統的絲極的情況下,溫度和電流流量係彼此直接相關的。當該絲極進行侵蝕時,絲極會變細而對電流的阻力會隨著該絲極的截面的減小而增加,這樣通過該絲極的電流流 量會減小。如果該絲極的條件促進了在其之上的沉積,則對電流的阻力會隨著不斷地沉積而減小,因為該絲極的截面增加並且電阻絲變粗,相應地其中通過的電流流量也有了增加。
另一方面,本發明涉及監測在源絲極上的沉積以及所致的絲極生長之方法,涉及通過該絲極的電流流量的監測。因為,由於沉積,電阻絲的截面增大了,所以對電流的阻力會減小並且電流會增大以便保持該絲極處於支持在電弧室中的電漿所需要的溫度。因此在電流上的一監測到的增大可以用來表示對絲極清洗的一需要。
在另外一方面,本發明涉及經監測通過絲極的電流流量來監測該絲極的清洗或侵蝕之方法。因為,由於侵蝕、濺射或蒸發,絲極截面減小,對電流的阻力會增大並且電流會減小以便保持該絲極處於支持在電弧室中的電漿所需要的溫度。因此在電流上的一監測到的減小可以用來表示將附加的材料沉積到一受侵蝕的絲極上的一需要,或終止一清洗或電離過程的需要。
本發明的另一實施方式包括基於如以上所詳述的監測流過該絲極的電流來控制該絲極的狀態之方法。
在一實施方式中,受監測的絲極電流上的一減小提供了絲極接近中斷的一指示,作為回應,一氣相反應性材料流入該系統中(例如當在投射電漿時,或者可替代地,使電漿關閉但是絲極仍然是熱的(例如,~200℃)),以誘發產生金屬在該絲極上的沉積的反應,例如,來自該電弧室壁上的鎢。此反應可以允許進行到直至該電流在有效運行該離子植入系統的一預定範圍內,指示該絲極已經「再生長」到一令人滿意的程度。
在另一實施方式中,所監測的絲極電流的增大提供了一指示,即:由於材料的沉積絲極正在生長。作為相應,允許該絲極冷卻一段預定的時間後,或到一預定的溫度(它可以是在例如從室溫到高達約 1200℃的一範圍內)之後,該氣相反應性材料流入該系統,這樣,絲極被冷卻到足以允許侵蝕該絲極。此後,由該氣相反應性材料促成的隨後的侵蝕反應其後可以允許進行到直至電流在有效運行該離子植入系統的一預定範圍內,指示該絲極已經變細至一適當的水平。
因此本發明之方法可以籍由將一基質與一氣相反應性材料接觸足以至少部分地從該基質上去除沉積物的時間來從該基質上去除一沉積物,該沉積物包括硼、矽、砷、磷、鍺、鎢、鉬、硒、銻、銦、鉭以及碳的至少之一。用於此目的的氣相反應性材料可以包括一或多種以下物質:XeF2、XeF4、XeF6、GeF4、SiF4、BF3、AsF5、AsF3、PF5、PF3、F2、TaF3、TaF5、WF6、WF5、WF4、NF3、IF5、IF7、KrF2、SF6、C2F6、CF4、Cl2、HCl、ClF3、ClO2、N2F4、N2F2、N3F、NFH2、NH2F、HOBr、Br2、BrF3、C3F8、C4F8、C5F8、CHF3、CH2F2、CH3F、COF2、HF、C2HF5、C2H2F4、C2H3F3、C2H4F2、C2H5F、C3F6、COCl2、CCl4、CHCl3、CH2Cl2、以及CH3Cl。
在本發明的操作中,氟化的氙化合物可以用作清洗劑以及電漿源試劑,並且可以包括任何合適數目的氟原子。相對於更低的F/Xe化合物,F與Xe的一更高的比值使之能夠相對更快並且更有效地進行清洗。更高的蒸汽壓提高了清洗劑的遞送速率並且使之能夠遞送更多的材料。
在本發明的一實施方式中,六氟化氙被用作一清洗劑或電漿源試劑。儘管XeF6的蒸汽壓力在室溫下比XeF2的蒸汽壓大約高七倍,XeF6,如同XeF4,係與水非常易反應的。XeF6最有利地是在不涉及水、烴類、氫或還原劑的存在或生成的清洗環境中使用。然而,當使用具有更低蒸汽壓的清洗化合物時,可能需要調節流通線路以便避免在流通路徑中不適當的壓降並且保持清洗劑的適當高的遞送速率。
實施本發明之方法的設備能以任何適當的方式構成並安排,以 便向該清洗提供氣相反應性材料。
在一實施方式中,本發明提供了一離子植入以及清洗元件,包括:(i)一離子植入系統,該系統包括一或多個部件,在該系統的離子植入處理的過程中在其上累積有與電離作用有關的沉積物,(ii)一清洗組件,包括含一清洗組合物的一清洗組合物源,該清洗組合物包括一氣相反應性材料,例如一種鹵化物化合物,該鹵化物化合物與沉積物程反應性以便實現在清洗條件下從一或多個部件至少部分地去除沉積物,該清洗條件包括該清洗組合物與沉積物的接觸,(iii)流通線路,它適合於將清洗組合物從清洗組合物源傳送到一或多個用來和它在清洗條件下進行接觸的部件,以及(iv)流通部件,它適合於控制在清洗狀態的過程中清洗組合物流動通過該流通線路,以便實現從一或多個部件上至少部分地去除沉積物。
上述元件中的流通部件可以是任何合適的類型,包括例如閥、閥致動器、限流器、調整器、泵、質量流量控制器、壓力計、殘餘氣體分析器、中央處理單元、隔膜、等等。此類流通部件係適配的以便在所使用的具體的清洗條件下工作。
在植入機設備中的一或多個部件(該等部件在該系統中的離子植入處理過程中在其上累積有與電離作用有關的沉積物)可以是任何合適的類型,例如,真空室、電弧室、電極、絲極、高壓套管、電磁波導、晶圓處理部件、卡環、輪、盤、等等。在一實施方式中,該部件係一真空室或包含在其中的一部件。
清洗組合物源可以包括含有該清洗組合物的一材料存儲和分配套件。該材料存儲和分配套件包括一容器,該容器可以是,例如,限定了其內體積的總體上為圓柱形的容器。在一特別的實施方式中,該清洗組合物在環境溫度的條件下可以為固體並且此清洗組合物可以在該容器內的一增強的表面積上受到支持。此增強的表面積可以包括其 中的結構體,例如託盤、如在美國專利號6,921,062中所描述,或多孔惰性泡沫,例如受過陽極化處理的鋁、不銹鋼、鎳、青銅等等,以提供該清洗材料的一個一致的蒸發速率並且進而提供足以進行相關的清洗過程的分配以及電離步驟的蒸汽壓。在利用託盤的情況下,在分配操作中,清洗組合物可以受到一些託盤表面的支持,該等託盤具有與其相關的流動通道管,用於蒸汽在該容器中向上流入其分配口。
在上述裝備安排中的流通線路適配於將該清洗組合物在清洗條件下從該清洗組合物源傳送到電弧室中。此適配可以是基於清洗組合物的不同特性。例如當該清洗組合物具有一低的蒸汽壓時,可以使用高的傳導來避免在流通路徑中的不必要的壓降。最大化流導以及最小化流通壓縮的方法在本領域係眾所周知的。
在本發明的所有清洗方法中,可以任選地用另外的方法以及設備進行清洗從而延長離子植入系統(特別是離子源)的壽命。此類延長壽命的方法可以包括改變一離子植入系統以便適應具體的基質、沉積的材料和/或氣相反應性材料。系統裝備的改變可以包括但不限於提供以下各項:具有有源熱控制系統的引出電極、降低放電的頻率/發生的有源加熱的引出電極(actively heated extraction electrode)、包括金屬優選鋁、鉬或氧化鋁(Al2O3)的引出電極、遠端電漿源、引出電極與加熱器的結合、引出電極與冷卻裝置的結合、光滑的無特徵的(featureless)引出電極、電漿室,該電漿室安排為接收多種源氣體,該等源氣體能夠被電漿分解以產生通過該室的出口以及導管的反應性氣體的流束,以便將該反應性的氣體輸送進入電離作用室,溫度探測器,該等溫度探測器設計為探測反應性的氣體與在處理系統的表面上的污染的放熱反應的實質上的結束,在該處理裝備中的部件的保護物,該等部件易受氣相反應性材料的損害(例如,在對氣相反應性材料易感的部件的周圍提供了對抗此類材料的擋護物),和/或包括鋁或 氧化鋁的系統部件的使用。
延長處理裝備的壽命的方法可以包括但不限於:對引出電極進行有源加熱以降低放電的頻率以及發生,在高於遞送至離子源的源材料的冷凝溫度之上加熱引出電極,有源地控制適合於所使用的具體類型的離子源的引出電極的溫度(例如與一加熱或冷卻的離子源進行組合加熱或冷卻該電極),和/或在引出過程中保持該引出電極處於提高的溫度下。此類附加的設備的改變以及方法在美國專利申請公佈號2006/0272776和2006/0272775以及國際專利公佈號WO 05/059942中進行了更全面的描述,由此以其整體籍由引用結合在此。
在一特別的實施方式中,該離子植入系統包括一電弧室以及一摻雜劑源,其中該摻雜劑源可以包括例如BF3、XeF2、AsH3、PH3、GeF4、SiF4、H2Se、AsF5、AsF3、PF5、PF3或其他硼、矽、砷、磷或含鍺的摻雜劑源。
在另一實施方式中,本發明涉及一離子植入的方法,該方法包括在一離子植入系統的電弧室中由一摻雜劑源氣體產生一電漿,該摻雜劑源氣體流動通過該電弧室以形成用於植入的摻雜劑源離子,其中在該摻雜劑源氣體流動通過該電弧室的過程中的至少一部分時間的過程中,氣相反應性材料與摻雜劑源氣體並流地流動通過該電弧室,以便實現在該離子植入系統中的清洗。
總體上,儘管摻雜劑源氣體與氣相反應性材料可以進行並行的流動以便實現原位清洗,但是典型地是優選以一相繼的方式進行清洗操作,例如當該離子源從一第一摻雜劑源產生一第一電漿,並且隨後該離子源從一第二摻雜劑源產生一第二電漿時,使用一介入的清洗步驟,其中氣相反應性材料流動通過該離子源,有或沒有電漿生成。
在一實施方式中,本發明提供了形成一摻雜的矽基質之方法,該方法包括將Xe+離子植入一矽基質中,並且在這之後在該矽基質中 植入摻雜劑離子。在此方法中,植入Xe+離子有用於無定形化該基質的晶體結構。
在用於清洗的氟化的氙電漿(例如XeF2電漿)的生成中,Xe+離子可以進行一些源本身的低能濺射清洗。引出之後,Xe+離子可以進行離子源下游部件,例如真空壁、離子光學部件、晶圓盤以及晶圓支架的一些高能濺射。
類似地,在使用鎢氟化物種類,例如WF6、WF5、和/或WF4的情況下,游離的氟化物可以濺射潔淨的、不同的離子源部件和/或鎢可以沉積在離子源的不同部件上。在清洗以及沉積之間發生的行為取決於在系統中各個部件的溫度。
本發明在不同的方面涉及在一微電子裝置的製造中所使用的清洗一離子植入系統的離子源區域之方法和設備。該離子源區域可以包括例如一直接加熱的陰極源,一弗裡曼(Freeman)源或一貝爾納(Bernas)源。
本發明在一實施方式中涉及從離子植入機以及其中所包括的部件籍由將該真空室和/或部件與一氣相反應性鹵化物組合物在足夠的時間以及足夠條件下進行接觸而原位去除殘餘物以便至少部分地從該真空室和/或部件中去除殘餘物,並且涉及籍由這樣一方式來完成,即,當殘餘物與構成真空室和/或部件的材料不同時,該氣相反應性材料與殘餘物選擇性地進行反應並且與構成離子植入機的真空室和/或部件的材料最低限度地進行反應(例如基本上不反應,並且優選完全不反應);而當殘餘物與構成真空室和/或部件的材料相同時,則氣相反應性材料可以是與殘餘物以及真空室和/或部件均呈反應性的。
如此處所使用,應用於該氣相反應性鹵化物與一殘餘物的反應性的術語「選擇性地」係用於描述在該氣相反應性鹵化物與一殘餘物之間的一優先的反應。儘管與構成離子植入機的真空室和/或部件的 材料保持實質上不反應,如果該真空室和/或部件包括與那些殘餘物本身相同或相似的元素,氣相反應性鹵化物可以與某些進行反應,而進行反應的該等材料構成離子植入機的真空室和/或部件。例如,當與來自一部件的鎢沉積物選擇性地反應並且將其去除時,該氣相反應性材料可能也和在部件本身中的鎢反應。對於此共反應的發生,殘餘物以及部件不必精確地是相同的材料,但是會包括一些共同的材料。
在另一實施方式中,離子植入機部件的一單獨的專門的室(部件從一離子植入機中移入其中)中離位地進行清洗。
進一步詳細地考慮原位清洗,此種清洗主要取決於以下三個因素:清洗前體的反應性的性質,清洗反應副產物的揮發性,以及在化學清洗中所使用的反應條件。該清洗組合物必須去除不必要的殘餘物同時最小化構成離子植入機的材料的磨損。籍由清洗反應所產生的副產物必須是足夠揮發性的以便籍由離子植入機的真空系統或其他的泵送設備方便它們的去除。
對於和離子植入機的一或多個部件相同的材料形成的殘餘物的清洗會導致部件本身的一些磨損。確切地說,使用XeF2作為一清洗劑從一利用鎢電弧室的系統中去除鎢沉積物會導致來自電弧室的內部的某些鎢的去除。然而,為了使系統效率最大化,從系統性能降低的角度來看(如果該系統不進行清洗並且允許鎢沉積物累積在該系統中)電弧室的某些內部材料的損失不是很要緊的。
例如該氣相反應性材料可以包括一種氟化的氙化合物蒸汽,例如XeF2蒸汽。XeF2係一優選的反應性鹵化物氣體,並且在室溫下會昇華,但是可以使用一加熱器進行加熱以增加昇華速率。已知XeF2係一有效的矽侵蝕劑並且已經在微電子機械系統(MEMS)裝置處理中用作一矽選擇性侵蝕劑。確切地說,XeF2與矽根據以下反應進行反應。
2 XeF2(g)+Si(s)→2 Xe(g)+SiF4(g) (10)
該矽/XeF2反應可以不用活化而發生,即,不用電漿或熱致加熱。XeF2與Si的反應速率遠高於XeF2與SiO2的反應速率,使得XeF2選擇性地與Si反應。
XeF2或其他氟化的氙化合物作為用於金屬硼的一侵蝕劑有用地用於本發明的操作。儘管不希望受理論的束縛,但是認為硼根據以下反應(11)被侵蝕:3 XeF2(g)+2 B(s)→3 Xe(g)+2 BF3(g) (11)
本發明考慮使用XeF2作為用於砷、磷以及鍺的一侵蝕劑,並且可以涉及以下的反應:5 XeF2(g)+2 As(s)→5 Xe(g)+2 AsF5(g) (12)
5 XeF2(g)+2 P(s)→5 Xe(g)+2 PF5(g) (13)
2 XeF2(g)+Ge(s)→2 Xe(g)+GeF4(g) (14)
此類反應可以使用或不使用高能活化而進行。
當殘餘物材料與那些材料不同時,本發明之方法和設備用於至少部分地從離子植入機的部件中去除殘餘物,例如,去除至少25%,更優選至少50%並且最優選至少75%的此種殘餘物,並且籍由這樣一方式來完成,即就構成離子植入機部件的材料而言,例如鋁、鎢、鉬、石墨、絕緣材料、密封劑材料等,對殘餘物進行選擇性地去除。
當殘餘物和構成部件的材料係相同的材料時,希望有相似水平的殘餘物去除,同時保持材料從部件中的去除處於低的水平,例如在微米或數十微米的範圍內,以便不顯著地影響部件的性能。此外,由於沉積物一般沒有均勻的厚度或沉積,它們在清洗過程中可以比部件本身的材料更具反應性,這樣該氣相反應性材料組合物比與部件部分的反應更選擇性地與該殘餘物進行反應。
可以採用幾種形式將氣相反應性材料組合物遞送到可能進行原位清洗的離子源區域,包括一不流動的方式、一連續的方式、以及一 直接引入的方式。此類清洗方式更全面地描述於國際公佈WO 07/127865中,連同在本發明的操作中有效使用的設備以及方法學。國際公佈WO 07/127865的披露內容籍由引用作為整體結合在此。儘管結合本發明的不同實施方式在此描述了使用XeF2作為一清洗組合物,但應理解的是,可以使用其他氟化的化合物,例如WF6、WF5、和/或WF4,替代或結合XeF2,或可以使用其他的以及額外的氟化的化合物。例如可以使用BrF3來侵蝕鎢而不需要電漿。在另一方面,本發明涉及改進使用固體摻雜材料的一離子植入系統的性能以及延長其壽命之方法,該方法包括使用XeF2或N2F4作為所述固體摻雜材料的一載氣。該固體摻雜材料包括,但不限於Sb2O3和InCl3。如本發明所考慮的,使用XeF2或N2F4作為Sb2O3、InCl3或其他固體摻雜材料的一載氣去除了該源室以及其部件上所沉積的Sb、In以及其他摻雜劑。該暫態方法即使在Sb植入之後切換為硼也具有效用。籍由本方法所得的優點至少是兩重的:首先,它提供了即時的源清洗來防止或減少摻雜劑累積到該離子源室及其部件上,因此改進了離子源性同時延長離子源的壽命;第二,它增強和/或穩定了電漿和/或束電流。
在另一方面,本發明涉及改進使用氣體摻雜材料的一離子植入系統的性能並且延長其壽命之方法,該方法包括用XeF2或N2F4作為與所述氣體摻雜材料的一併流氣體。該氣體摻雜材料包括,但不限於GeH4。如本發明所考慮的,使用XeF2或N2F4作為與GeH4或其他氣體摻雜材料的一併流氣體去除了沉積在源室以及其部件上的Ge或其他的摻雜劑。籍由本發明的這種操作所獲得的優點至少是兩重的:首先,它提供了即時的源清洗來阻止或減少摻雜劑累積到該離子源室及其部件上,因此改進了離子源性能並且延長了離子源壽命;第二,它增強和/或穩定了電漿和/或束電流。
在另一方面,本發明涉及清洗一離子植入系統的一前級管道以 便去除此處與電離作用有關的沉積物之方法,包括將一離子植入系統的前級管道與一清洗氣在以下反應條件下進行接觸,其中所述清洗氣與該沉積物具有化學反應性以實現其中至少部分地去除。沉積物包括,但不限於包括B、Ge、Si、P和As,或它們的混合物的那些物質。該清洗氣包括但不限於XeF2、N2F4、F2以及與前述構成的一沉積物呈反應性的其他氟化的物質。如熟習該項技術者所理解,所需要的清洗氣的量取決於存在的沉積物的量。類似地,在清洗氣與沉積物反應的過程中釋放出的熱的量取決於清洗氣的流速。從清洗過程中產生的副產物種類的識別以及濃度取決於清洗氣的流速、沉積物的組合性構成、以及泵吹掃流速。僅用於非限制性說明的目的,以下對使用XeF2從一前級管道中清洗磷的一實例進行說明:用於確定在清洗過程中所需要的XeF2量的化學反應係:5 XeF2(g)+2 P(s)→5 Xe(g)+2 PF5(g)。形成焓(以kJ/mol)係取自Lange’s Handbook of Chemistry(14th ed)並且在此列出用於確定在反應過程中所釋放的熱:XeF2(-164);Xe(0);P(0);以及PF5(-1594.4)。XeF2的流速決定了清洗過程所需要時間的長度連同所釋放的熱。沒有提供加熱XeF2氣瓶的手段,最大的持續流速係大約50sccm,假定有足夠的遞送管道傳導率。如果籍由使用一加熱夾套保持該氣瓶在室溫下,則流速可以增加到100sccm或更大。清洗磷沉積物所需的XeF2的量在表1中示出,在該清洗反應過程中釋放的熱的量在表2中示出。
來自上述的清洗反應中的不同副產物的最大產生速率在表3中示出。
如熟習該項技術者所理解,由於殘餘物的組成可能不同,因此在表3中示出的資料係基於以下假設:副產物的量係確定為對於每種元素假定100%係那種元素的組成性構成。此外,該等物質的最大濃度取決於在排氣系統中的稀釋流速。例如,如果低真空泵具有一10slpm的氮氣清洗,則就在該泵的下游,PF3的最大穩定態濃度係3330ppm。如果XeF2的流速大於50sccm,則該值可以增加。
在上述方法的一優選的實施方式中,該清洗氣流入該植入源室,渦輪泵關閉而低真空泵打開。此操作增強了經過前級管道的沉積物上方的清洗氣的流速,因此提供了一更快的清洗過程。清洗氣流的速率可以進一步籍由對在其中使該清洗氣存儲在室溫或室溫以上的該氣瓶進行加熱。優選在此操作中對從氣瓶到離子植入機的遞送管線類似地進行加熱。
在上述方法的另一實施方式中,該清洗氣以一脈衝流來流入該植入源室中,其中將該植入源室、該泵以及前級管道充到某一壓力並且然後抽到更低的壓力。重複此過程直至去除離子植入系統的前級管 道上的沉積物。此操作優選使用在該低真空泵的進口上的一隔離閥。
在一優選的操作中,上述實施方式進一步包括對在其中使該清洗氣存儲在室溫或室溫以上的該氣瓶進行加熱。
對於所有實施方式,該方法優選進一步包括在該低真空泵出口上的一氣體洗滌器以便從清洗過程中去除所產生的揮發性副產物。
每個實施方式優選進一步包括如從Air Products and Chemicals,Inc.(PA,USA)可商購的_一Xe回收系統,並且描述於http://www.fabtech.org/product_briefings/_a/new_product_air_products_offers_on_site_xenon_recovery.,中,籍由引用結合在此。
清洗一離子植入系統的一前級管道的方法的另一實施方式包括在一渦輪泵下游提供該清洗氣並且將所述清洗氣連續地流過該離子植入系統的前級管道。此操作優選清洗前級管道上的沉積物(即使當該植入過程進行時),由此減小離子植入操作的中斷。
在上述的實施方式中,該清洗氣優選存儲在一氣瓶中;該方法優選進一步包括對在其中使該清洗氣存儲在室溫或室溫以上的該氣瓶進行加熱。
上述的實施方式優選進一步包括在該低真空泵的出口提供一氣體洗滌器以便從該清洗過程中去除所產生的揮發性副產物。
上述的實施方式進一步包括提供一如從Air Products and Chemicals,Inc.(PA,USA)可商購的Xe回收系統,並且描述於http://www.fabtech.org/product_briefings/_a/new_product_air_products_offers_on_site_xenon_recovery.,中,籍由引用結合在此。
在另一方面,本發明涉及改進具有一陰極的一離子植入系統的性能並且延長其壽命之方法,該方法包括將該陰極與由至少一種清洗 氣以及至少一種沉積氣的組成的一氣體混合物進行接觸,其中所述氣體混合物平衡了材料在該陰極上的沉積和該材料或其他材料從該陰極上的剝離。氣體混合物的清洗氣去除了沉積在該陰極上的摻雜劑以及陰極的材料,而氣體混合物的沉積氣直接或間接地引起摻雜劑沉積在該陰極上。此氣體混合物保持了在該陰極上摻雜材料的累積以及它或其他材料的剝離之間的一平衡,並且因此延長了離子源的壽命。該氣體混合物在離子源植入機中的存儲和分配可以籍由使用以下各項來完成:一吸附-解吸設備(被稱為SDS-安全遞送源),它描述於美國專利號5,518,528中,並且其內容籍由引用結合在此;一流體存儲和分配系統(被稱為VAC真空致動氣瓶),該系統包括用於保持一流體在所希望的一壓力下的一容器,描述於美國專利號6,101,816中並且其內容籍由引用結合在此;或一SDS與VAC的混合流體存儲和分配系統(被稱為VAC-Sorb),它描述於美國專利號6,089,027中並且其內容籍由引用結合在此。該等流體存儲和分配系統提供了氣體在低於大氣壓下的遞送,並且由此比高壓流體存儲和分配系統更安全並且更有效。此外,該氣體混合物中的一些氣體可以一起在SDS、VAC或VAC-Sorb系統中存儲和分配,該等氣體在高壓流體存儲和分配系統中的共存係不相容的。
在以上方法的一實施方式中,氣體混合物的多種氣體同時地流動以便接觸該陰極。
在以上方法的另一實施方式中,氣體混合物的多種氣體順序地流動以便接觸該陰極。
在以上方法的另一實施方式中,氣體混合物包括至少一種含氫氣體與至少一種含氟氣體的一組合,其中該含氫氣體作為清洗氣而該含氟氣體作為沉積氣體。
在以上方法的另一實施方式中,該氣體混合物包括至少一種非 摻雜氣體(即不包含As、P、Ge、B、Si、或C的氣體)與至少一種摻雜氣體的一組合,其中該非摻雜氣體作為清洗氣而該摻雜氣體作為沉積氣體。
清洗氣的實例包括但不限於Xe/H2、Ar/H2、Ne/H2、Xe/NH3、Ar/NH3、Ne/NH3、Ar/Xe、和Ar/Xe/H2
沉積氣體的實例係(但不限於):F2、N2F4、ClF3、WF6、MoF6、和NF3
氣體混合物的實例係(但不限於):AsH3/AsF3、AsH3/AsF5、PH3/PF3、PH3/PF5、SiH4/SiF4、H2/Xe/SiF4、GeH4/GeF4、H2/Xe/GeF4、H2/GeF4、B2H6/BF3、H2/BF3、F2/BF3、CO2/F2、CO2/CF4、CO/F2、CO/CF4、COF2/F2、COF2/CH4、COF2/H2
圖1係在引入原位清洗處理之前和之後的源壽命資料的一簡圖,示出了由於處理而帶來的壽命的延長;圖2係一簡圖,顯示了XeF2對抑制器的洩漏電流的影響,如在實例1中所詳述;圖3A和3B係示出了證明原位清洗的清洗效果的照片,如在實例1中所詳述;圖4A和4B示出了原位清洗的效果,如在實例5中所詳述;圖5A和5B係用XeF2流經過一實耗時間段的絲極重量增加(圖5A)以及絲極電流(圖5B)的簡圖;及圖6係對於用XeF2流在該系統中的鎢傳送,絲極重量變化作為絲極電流的一函數的一簡圖。
本發明的特點和優點籍由以下非限制性的實例更全面地示出。
實例1
此實例示出了在離子源壽命上的改進以及植入機的利用,這籍由使用一化學清洗劑來去除沉積物可以實現。優選地,沉積物以有規律的間隔去除以便阻止植入機中的污染物薄片和傳導膜的形成。
原位清洗係籍由位於在離子植入機的氣體箱中的XeF2的供給容器以有規律的間隔引入XeF2來進行,而XeF2清洗蒸汽以每日兩次每次10-15分鐘引入該離子源中。使用一高電流植入機來試驗以評估該清洗劑的流動動力學。確定了XeF2清洗特性並且證實了該清洗劑對植入機的束流管道部件沒有不利的影響。於是,使用XeF2試劑的清洗過程對於在一中度電流植入機裝置中使用係合格的。
圖1係由這種中度電流植入在原位清洗處理的實施之前和之後所彙編的離子源壽命資料的一簡圖。該等資料對於包括砷化氫以及磷化氫的一摻雜組合物進行了展示。在清洗之前,受兩種常見的故障模式的限制,該離子源具有大約250±90小時的平均運行壽命。
主要的故障模式係從一抑制器電壓電源的過度洩露。為了成功引出一穩定離子束,將抑制器電壓施加到該電弧室之外的一電極上。該電極籍由多個小的絕緣體進行電隔離,並且在該等絕緣體的一或多個上的一傳導膜的積累可以引起過度的抑制器的洩露。
故障的一第二模式係可歸因於所沉積材料的薄片的電弧室中部件的短路。
發現,該等故障模式籍由原位的化學清洗過程可最小化。定期每日兩次清洗增加了生產中源的壽命。
XeF2對抑制器的洩露電流的影響在圖2中進一步示出,它係對於中度電流工具在引入原位清洗操作之前以及之後的洩露電流的一簡圖。每個數據點表示在需要植入一晶圓批次的時間的過程中的一平均抑制器電流,並且該等點已經隨著幾個離子源的壽命標繪出。洩露的大小取決於從上一次預防性維護的絕緣體的更換開始的實耗時間。該 等資料示出定期原位清洗大大降低了洩露電流,這樣它從不達到1.5mA的上控制限,在該點需要一未經預訂的源維護。
還使用包括BF3和PH3的一植入摻雜混合評估了原位清洗的效果。該源在該等條件下運行了497小時並且在一電弧限制狀態下(涉及絲極上的鎢沉積物)發生了故障,這可歸因於BF3的化學性質。在試驗系統中497小時的單個源壽命與在相同系統中長期的歷史平均值299小時相比是有利的。這係一單個的資料點,但是它適合所建立的模型。在這種情況下,源壽命的改進看上去是由於在該源電弧室中用XeF2侵蝕了鎢沉積物。
圖3A和3B的照片提供了清洗劑效果的另外的證據。在兩幅照片中,示出了在每種情況下大約生產98天之後,移去週期性預防性維護的離子源組件之後離子源殼的外觀。對於圖3A中的照片,每天進行兩次原位清洗,而對於圖3B中的照片,沒有進行清洗。
在沒有清洗時,存在實質量的沉積的材料,其中有些已經開始層離和片落。在定期維護活動中,使用手動擦洗來從殼的內表面去除沉積的材料。用原位清洗該殼看上去更乾淨,無需花較少時間或不花時間進行手動清洗。沉積物籍由未反應的XeF2流出該電弧室並且傳到真空室的壁上進行去除,而摻雜劑以及其他沉積物籍由化學反應去除。
在該離子源之中以及周圍的沉積物產生所謂的「植入機記憶效應」。當從一摻雜劑源氣體改變成另一種時,在該第一摻雜氣體流入終止之後很長時間,來自該第一摻雜劑元素的離子繼續從該離子源電漿中引出。此效應在有些情況下引起所希望的離子束流的嚴重污染並且導致植入過程的惡化。
該植入機記憶效應的一實例係在一BF2植入中的P污染。這種污染對工藝產量的後果係如此嚴重,以致於眾多的半導體生產設施都在 避免將磷和硼的植入預先安排到相同的工具上。這係在預先安排植入作業時的一實質性障礙。P/BF2污染起因於使用PH3的植入的源中的磷沉積物。當更換成BF3氣體植入BF2 +時,一些氟反應形成了31P19F+31P19F+的質量係50。這非常足以接近對於11B19F2所希望的49的質量,這樣PF+與BF2 +離子共植入。結果係,BF2 +植入受到了在特定質量-能量範圍具有最低限度的質量分辨能力的某些高電流系統的限制。
用來自PH3摻雜氣的P+離子束使用一高電流植入機在模擬生產中運行大約200小時對XeF2清洗進行評估,以確定它對該植入機記憶效應的影響。該系統切換成BF3氣體並且使用一高劑量(5 x 1015ions/cm2)的BF2 +直接植入一裸露的矽監視器晶圓。在BF2 +植入的過程中,系統的分析磁體的分辨孔比通常更大地打開以確保污染結果對使用二次離子質譜(SIMS)分析的常規測量足夠大。
BF3、氬以及XeF2的清洗效果係籍由運行這3種氣體中的每一種並且然後籍由用BF2 +植入監視器晶圓週期地監測剩餘污染物的量來進行比較。與BF2共植入的P的量籍由SIMS進行測量。植入的磷的一典型的SIMS譜在圖4A中示出,其中在磷譜中的峰對應於從該離子源引出的PF+離子的植入深度,並且該劑量對應於在BF2中大約3%PF的一污染水平。
圖4B係使用BF3或XeF2污染水平作為清洗時間的一函數的圖,其中該圖在從PH3轉變成到BF3之後立即歸一化到污染水平。當運行BF3電漿時即使2小時之後對PF污染也幾乎無影響。當使用氬電漿時,獲得了類似的結果(未示出)。籍由比較,PF污染在用XeF2原位清洗僅15分鐘之後減少了兩倍,而在用XeF2原位清洗30分鐘之後減少了幾乎5倍。
使用原位清洗之前,該中等電流植入機單元每月每工具係平均3.3次源更換,而平均的源更換過程以及隨後的合格試驗需要大約5小 時,相當於每工具每年的生產時間損失近200小時。源壽命籍由原位清洗有效地加倍了,對於每個中等電流工具產生了約100小時的額外的生產時間。試驗晶圓產生的節省,連同生產時間以及合格晶圓的後處理所需的度量衡工具的節省(對於每個中等電流植入機每年進行高達40次合格試驗),證明了原位清洗的效力。
實例2
此實例證明了在一說明性的離子植入機系統的離子源中絲極生長的控制。
圖5A示出了就增加的絲極電流和重量而言XeF2流以及電弧功率變化的作用的一簡圖。該圖示出了絲極重量(以克計)作為植入機系統的運行實耗時間(以小時計)的函數的圖。該圖中較高的線代表以每分鐘2.2標準立方釐米(sccm)的XeF2流量以及100伏特/0.05安培的電弧功率,對此,3小時運行之後確定了一319毫克/小時的絲極重量增加。該圖中較低的線反映了0.5sccm的XeF2流量以及40伏特/0.05安培電弧功率,這在3小時的持續執行時間中產生了63毫克/小時的絲極重量增加。
圖5B示出了就絲極電流而言XeF2流量以及電弧功率變化的作用的一簡圖。該圖示出了絲極電流(以安培計)作為植入機系統的執行時間的一函數的圖。該圖中較高的線代表以每分鐘2.2標準立方釐米(sccm)的XeF2流量以及100伏特/0.05安培的電弧功率運行,對此,確定了16安培/小時的絲極電流增加。圖中較低的線反映了0.5sccm的XeF2流量以及40伏特/0.05安培的電弧功率,這在3小時的持續執行時間中產生了2.3安培/小時的絲極電流增加。
圖6係絲極重量變化(以毫克每小時計)作為平均絲極電流(以安培計)的一函數的一簡圖。該圖用對於低流量和高流量的熱絲極條件以及對於低流量以及高流量的電漿條件下的資料示出了熱流量(非電漿) 以及電漿條件對於鎢傳送的影響。該等資料示出,鎢在系統中的傳送可以籍由選擇適當的處理條件選擇性地進行調整以便實現材料在絲極上的沉積或可替代的侵蝕。
儘管已經參照不同的特別的實施方式對本發明進行了描述,應理解的是本發明並非因此受到限制,而且延伸到並涵蓋如熟習該項技術者所理解的不同的其他變更和實施方式。因此,本發明旨在根據所附的權利要求書來進行寬泛地解釋和詮釋。

Claims (16)

  1. 一種改進離子植入系統的性能並且延長其壽命之方法,該離子植入系統包括材料之蝕刻及沉積發生於其中之離子源室,該方法包括控制離子源室之溫度,並相應地在離子植入操作期間於該離子植入系統中控制該材料之蝕刻及沉積,及在離子植入操作期間於該離子植入系統中提供一種氣體混合物,其包含至少一種Xe、H2、NH3及CO之,其中該氣體混合物包括一種摻雜氣體。
  2. 如請求項1之方法,其中該摻雜氣體包括一種摻雜元素,其係選自由以下組成之群:硼、磷、砷、硒、氮、氬、鍺、矽、氧及氫。
  3. 如請求項1之方法,其中該氣體混合物包括一或多種氣體,其係選自由以下組成之群:BF3、B10H14、B18H22、PH3、AsH3、PF3、PF5、AsF3、AsF5、H2Se、N2、Ar、XeF2、XeF4、XeF6、GeF4、SiF4、WF4、WF5、WF6、TaF3、TaF5、NO、N2O、NO2、CO2、O2、H2、F2、GeH4、NF3、IF5、IF7、KrF2、SF6、C2F6、CF4、Cl2、HCl、ClF3、ClO2、N2F4、N2F2、N3F、NFH2、NH2F、HOBr、Br2、BrF3、C3F8、C4F8、C5F8、CHF3、CH2F2、CH3F、COF2、HF、C2HF5、C2H2F4、C2H3F3、C2H4F2、C2H5F、C3F6、COCl2、CCl4、CHCl3、CH2Cl2及CH3Cl。
  4. 如請求項1之方法,其中該溫度係經控制以維持該離子源室中之該離子源之絲極之預定電阻。
  5. 如請求項1之方法,其中該氣體混合物係作為混合物被供應至該離子源室。
  6. 如請求項1之方法,其中該氣體混合物係藉由並流至該氣體混合 物之氣體之離子源室於該離子源室中被提供。
  7. 如請求項1之方法,其中該氣體混合物之氣體係同時流入該離子源室中。
  8. 如請求項1之方法,其中該氣體混合物之氣體係依序流入該離子源室中。
  9. 如請求項1之方法,其中該氣體混合物包括清洗氣及摻雜氣體,其中該氣體混合物平衡了材料在該離子源室中之陰極上之沉積以及沉積材料或其他材料從該陰極上之剝離。
  10. 如請求項1之方法,其中該摻雜氣體包括BF3
  11. 如請求項1之方法,其中該摻雜氣體包括GeF4
  12. 如請求項1之方法,其中該氣體混合物包括Xe。
  13. 如請求項1之方法,其中該氣體混合物包括H2
  14. 如請求項1之方法,其中該氣體混合物包括Xe及H2
  15. 如請求項1之方法,其中該氣體混合物包括NH3
  16. 如請求項1之方法,其中該氣體混合物包括CO。
TW105140436A 2008-02-11 2009-02-11 在半導體處理系統中離子源之清洗 TWI619153B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US2782408P 2008-02-11 2008-02-11
US61/027,824 2008-02-11

Publications (2)

Publication Number Publication Date
TW201724209A true TW201724209A (zh) 2017-07-01
TWI619153B TWI619153B (zh) 2018-03-21

Family

ID=40957467

Family Applications (3)

Application Number Title Priority Date Filing Date
TW098104345A TWI494975B (zh) 2008-02-11 2009-02-11 在半導體處理系統中離子源之清洗
TW104114198A TWI573179B (zh) 2008-02-11 2009-02-11 在半導體處理系統中離子源之清洗
TW105140436A TWI619153B (zh) 2008-02-11 2009-02-11 在半導體處理系統中離子源之清洗

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW098104345A TWI494975B (zh) 2008-02-11 2009-02-11 在半導體處理系統中離子源之清洗
TW104114198A TWI573179B (zh) 2008-02-11 2009-02-11 在半導體處理系統中離子源之清洗

Country Status (8)

Country Link
US (2) US20110259366A1 (zh)
EP (1) EP2248153B1 (zh)
JP (4) JP2011512015A (zh)
KR (3) KR101755970B1 (zh)
CN (1) CN101981661A (zh)
SG (1) SG188150A1 (zh)
TW (3) TWI494975B (zh)
WO (1) WO2009102762A2 (zh)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7819981B2 (en) 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
CN102747336A (zh) 2006-04-26 2012-10-24 高级技术材料公司 半导体加工***的清洁方法和装置
CN101981661A (zh) * 2008-02-11 2011-02-23 高级技术材料公司 在半导体处理***中离子源的清洗
US8809800B2 (en) * 2008-08-04 2014-08-19 Varian Semicoductor Equipment Associates, Inc. Ion source and a method for in-situ cleaning thereof
US20110021011A1 (en) * 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
US20110059617A1 (en) * 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch
US20110108058A1 (en) * 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
TWI582836B (zh) * 2010-02-26 2017-05-11 恩特葛瑞斯股份有限公司 用以增進離子植入系統中之離子源的壽命及性能之方法與設備
KR101898597B1 (ko) * 2010-09-15 2018-09-14 프랙스에어 테크놀로지, 인코포레이티드 이온 소스의 수명 연장 방법
US9984855B2 (en) * 2010-11-17 2018-05-29 Axcelis Technologies, Inc. Implementation of co-gases for germanium and boron ion implants
US9805912B2 (en) 2010-11-17 2017-10-31 Axcelis Technologies, Inc. Hydrogen COGas for carbon implant
RU2522662C2 (ru) * 2011-08-03 2014-07-20 Федеральное государственное бюджетное учреждение "Государственный научный центр Российской Федерации - Институт Теоретической и Экспериментальной Физики" (ФГБУ "ГНЦ РФ ИТЭФ") Способ нерпрерываемого производства пучка ионов карборана с постоянной самоочисткой ионного источника и компонент системы экстракции ионного имплантатора
WO2013122986A1 (en) * 2012-02-14 2013-08-22 Advanced Technology Materials, Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
US9064795B2 (en) * 2012-03-30 2015-06-23 Varian Semiconductor Equipment Associates, Inc. Technique for processing a substrate
US9396902B2 (en) * 2012-05-22 2016-07-19 Varian Semiconductor Equipment Associates, Inc. Gallium ION source and materials therefore
US8603363B1 (en) * 2012-06-20 2013-12-10 Praxair Technology, Inc. Compositions for extending ion source life and improving ion source performance during carbon implantation
US20130341761A1 (en) * 2012-06-20 2013-12-26 Ashwini K. Sinha Methods for extending ion source life and improving ion source performance during carbon implantation
CN103785647A (zh) * 2012-10-26 2014-05-14 上海华虹宏力半导体制造有限公司 离子注入设备自动清洁离子腔体以提高部件寿命的方法
WO2014100621A1 (en) * 2012-12-21 2014-06-26 Praxair Technology, Inc. Storage and sub-atmospheric delivery of dopant compositions for carbon ion implantation
JP5950855B2 (ja) * 2013-03-19 2016-07-13 住友重機械イオンテクノロジー株式会社 イオン注入装置およびイオン注入装置のクリーニング方法
US9570271B2 (en) 2014-03-03 2017-02-14 Praxair Technology, Inc. Boron-containing dopant compositions, systems and methods of use thereof for improving ion beam current and performance during boron ion implantation
FR3024161B1 (fr) * 2014-07-24 2016-08-12 Altatech Semiconductor Procede de nettoyage d'une chambre de depot
TWI674614B (zh) * 2014-10-27 2019-10-11 美商恩特葛瑞斯股份有限公司 離子佈植方法及設備
WO2016182648A1 (en) * 2015-05-08 2016-11-17 Applied Materials, Inc. Method for controlling a processing system
JP6499754B2 (ja) * 2015-05-25 2019-04-10 株式会社日立ハイテクノロジーズ イオンミリング装置、及びイオンミリング方法
CN106298421A (zh) * 2015-06-23 2017-01-04 应用材料公司 用以消除来自离子注入工艺的自燃副产物的方法和装置
FR3046801B1 (fr) * 2016-01-19 2020-01-17 Kobus Sas Procede d'elimination d'un depot metallique dispose sur une surface dans une enceinte
JP2018049915A (ja) * 2016-09-21 2018-03-29 マイクロン テクノロジー, インク. 半導体装置及びその製造方法
US10256069B2 (en) * 2016-11-24 2019-04-09 Axcelis Technologies, Inc. Phosphorous trifluoride co-gas for carbon implants
US10361081B2 (en) * 2016-11-24 2019-07-23 Axcelis Technologies, Inc. Phosphine co-gas for carbon implants
US10597773B2 (en) * 2017-08-22 2020-03-24 Praxair Technology, Inc. Antimony-containing materials for ion implantation
JP6529000B2 (ja) * 2017-09-27 2019-06-12 日新イオン機器株式会社 イオン源、イオン源の運転方法
US10700207B2 (en) 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method
KR20200089764A (ko) * 2017-12-15 2020-07-27 엔테그리스, 아이엔씨. 플라즈마 플러드 건(pfg) 작동을 위해 불소 함유 가스 및 불활성 가스를 사용하는 방법 및 어셈블리
WO2019221812A1 (en) * 2018-05-17 2019-11-21 Entegris, Inc. Germanium tetraflouride and hydrogen mixtures for an ion implantation system
US11222768B2 (en) * 2018-09-07 2022-01-11 Varian Semiconductor Equipment Associates, Inc. Foam in ion implantation system
US10643823B2 (en) 2018-09-07 2020-05-05 Varian Semiconductor Equipment Associates, Inc. Foam in ion implantation system
US10784079B2 (en) * 2018-09-26 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Ion implantation system and source bushing thereof
SG11202105497PA (en) * 2018-12-15 2021-06-29 Entegris Inc Fluorine ion implantation system with non-tungsten materials and methods of using
US11127601B2 (en) 2019-05-21 2021-09-21 Applied Materials, Inc. Phosphorus fugitive emission control
CN111081516B (zh) * 2019-12-27 2022-10-04 华虹半导体(无锡)有限公司 离子注入机清洁方法
TWI793852B (zh) * 2021-11-11 2023-02-21 南亞科技股份有限公司 清洗方法
WO2023091299A1 (en) * 2021-11-16 2023-05-25 Lam Research Corporation Silicon etch with organochloride
US20240112883A1 (en) * 2022-09-30 2024-04-04 Applied Materials, Inc. Helical voltage standoff

Family Cites Families (178)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3625749A (en) 1966-04-06 1971-12-07 Matsushita Electronics Corp Method for deposition of silicon dioxide films
DE1789021C3 (de) 1967-09-25 1975-04-10 Hitachi, Ltd., Tokio Zenerdiode und Verfahren zu ihrer Herstellung
JPS4820106B1 (zh) 1968-03-08 1973-06-19
US3658586A (en) 1969-04-11 1972-04-25 Rca Corp Epitaxial silicon on hydrogen magnesium aluminate spinel single crystals
US3725749A (en) 1971-06-30 1973-04-03 Monsanto Co GaAS{11 {11 {11 P{11 {11 ELECTROLUMINESCENT DEVICE DOPED WITH ISOELECTRONIC IMPURITIES
JPS5183473A (en) 1975-01-20 1976-07-22 Hitachi Ltd Fujunbutsuno doopinguhoho
US4128733A (en) 1977-12-27 1978-12-05 Hughes Aircraft Company Multijunction gallium aluminum arsenide-gallium arsenide-germanium solar cell and process for fabricating same
US4369031A (en) 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US4498953A (en) 1983-07-27 1985-02-12 At&T Bell Laboratories Etching techniques
JPS60138247A (ja) 1983-12-27 1985-07-22 Diesel Kiki Co Ltd 燃料噴射時期制御装置
US4619729A (en) 1984-02-14 1986-10-28 Energy Conversion Devices, Inc. Microwave method of making semiconductor members
JPS60138247U (ja) * 1984-02-24 1985-09-12 日新電機株式会社 イオン照射装置
US4600801A (en) 1984-11-02 1986-07-15 Sovonics Solar Systems Fluorinated, p-doped microcrystalline silicon semiconductor alloy material
US4718240A (en) 1985-03-01 1988-01-12 Helix Technology Corporation Cryopump regeneration method and apparatus
JP2530434B2 (ja) 1986-08-13 1996-09-04 日本テキサス・インスツルメンツ株式会社 イオン発生装置
JP2651530B2 (ja) 1988-04-15 1997-09-10 住友化学工業株式会社 気相成長用有機金属化合物供給装置
JP2813762B2 (ja) 1990-02-23 1998-10-22 東京エレクトロン株式会社 イオン注入装置
JPH04112441A (ja) 1990-08-31 1992-04-14 Toshiba Corp イオン注入装置及びそのクリーニング方法
JPH04333572A (ja) 1991-05-10 1992-11-20 Chodendo Hatsuden Kanren Kiki Zairyo Gijutsu Kenkyu Kumiai 酸化物超電導体用mo原料の気化方法
US5565038A (en) 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
US5716494A (en) 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5347460A (en) 1992-08-25 1994-09-13 International Business Machines Corporation Method and system employing optical emission spectroscopy for monitoring and controlling semiconductor fabrication
US5370568A (en) 1993-03-12 1994-12-06 Harris Corporation Curing of a tungsten filament in an ion implanter
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5421957A (en) 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
JPH0786242A (ja) 1993-09-10 1995-03-31 Fujitsu Ltd 半導体装置の製造方法
JP2889098B2 (ja) 1993-10-13 1999-05-10 株式会社本山製作所 特定ガスの供給制御装置
US5436180A (en) 1994-02-28 1995-07-25 Motorola, Inc. Method for reducing base resistance in epitaxial-based bipolar transistor
JP3593363B2 (ja) * 1994-08-10 2004-11-24 株式会社東芝 半導体薄膜を具備するアクティブマトリックス型液晶表示装置の製造方法
US5518528A (en) 1994-10-13 1996-05-21 Advanced Technology Materials, Inc. Storage and delivery system for gaseous hydride, halide, and organometallic group V compounds
US5554845A (en) 1994-11-14 1996-09-10 Santa Barbara Research Center Method and apparatus to effectively eliminate optical interference structure in detector response
US5497006A (en) 1994-11-15 1996-03-05 Eaton Corporation Ion generating source for use in an ion implanter
FR2727322B1 (fr) 1994-11-30 1996-12-27 Kodak Pathe Procede pour la sublimation d'un materiau solide et dispositif pour la mise en oeuvre du procede
JP3609131B2 (ja) 1994-12-06 2005-01-12 株式会社半導体エネルギー研究所 イオンドーピング装置のクリーニング方法
US5633506A (en) 1995-07-17 1997-05-27 Eaton Corporation Method and apparatus for in situ removal of contaminants from ion beam neutralization and implantation apparatuses
US5554854A (en) 1995-07-17 1996-09-10 Eaton Corporation In situ removal of contaminants from the interior surfaces of an ion beam implanter
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5977552A (en) 1995-11-24 1999-11-02 Applied Materials, Inc. Boron ion sources for ion implantation apparatus
JPH09298166A (ja) 1996-05-09 1997-11-18 Hitachi Ltd 半導体製造方法および装置
JP3077591B2 (ja) 1996-06-20 2000-08-14 日本電気株式会社 Cvd装置及びcvd成膜方法
US5988187A (en) 1996-07-09 1999-11-23 Lam Research Corporation Chemical vapor deposition system with a plasma chamber having separate process gas and cleaning gas injection ports
US6080297A (en) 1996-12-06 2000-06-27 Electron Transfer Technologies, Inc. Method and apparatus for constant composition delivery of hydride gases for semiconductor processing
US6322756B1 (en) 1996-12-31 2001-11-27 Advanced Technology And Materials, Inc. Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US5834371A (en) 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
AU7147798A (en) 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US5940724A (en) 1997-04-30 1999-08-17 International Business Machines Corporation Method for extended ion implanter source lifetime
US5943594A (en) * 1997-04-30 1999-08-24 International Business Machines Corporation Method for extended ion implanter source lifetime with control mechanism
GB9709659D0 (en) 1997-05-13 1997-07-02 Surface Tech Sys Ltd Method and apparatus for etching a workpiece
US5851270A (en) 1997-05-20 1998-12-22 Advanced Technology Materials, Inc. Low pressure gas source and dispensing apparatus with enhanced diffusive/extractive means
US6534007B1 (en) 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6143191A (en) 1997-11-10 2000-11-07 Advanced Technology Materials, Inc. Method for etch fabrication of iridium-based electrode structures
US6846424B2 (en) 1997-11-10 2005-01-25 Advanced Technology Materials, Inc. Plasma-assisted dry etching of noble metal-based materials
US6018065A (en) 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
TW444270B (en) 1997-11-12 2001-07-01 Nippon Kogaku Kk Exposure apparatus, apparatus for fabricating device and fabricating method of exposure apparatus
JP3099819B2 (ja) 1997-11-28 2000-10-16 セイコーエプソン株式会社 半導体装置の製造方法
US6673262B1 (en) 1997-12-18 2004-01-06 Central Glass Company, Limited Gas for removing deposit and removal method using same
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6135128A (en) 1998-03-27 2000-10-24 Eaton Corporation Method for in-process cleaning of an ion source
US6101816A (en) 1998-04-28 2000-08-15 Advanced Technology Materials, Inc. Fluid storage and dispensing system
US6620256B1 (en) 1998-04-28 2003-09-16 Advanced Technology Materials, Inc. Non-plasma in-situ cleaning of processing chambers using static flow methods
JPH11317174A (ja) * 1998-04-30 1999-11-16 Sozo Kagaku:Kk ガスによるイオン源絶縁フランジのクリーニング方法とクリーニング機構
CA2332390A1 (en) 1998-05-18 1999-11-25 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6355933B1 (en) 1999-01-13 2002-03-12 Advanced Micro Devices, Inc. Ion source and method for using same
JP3836991B2 (ja) 1999-02-02 2006-10-25 三菱化学株式会社 製膜方法および磁気記録媒体の製造方法
US6756600B2 (en) 1999-02-19 2004-06-29 Advanced Micro Devices, Inc. Ion implantation with improved ion source life expectancy
US6346452B1 (en) 1999-05-03 2002-02-12 National Semiconductor Corporation Method for controlling an N-type dopant concentration depth profile in bipolar transistor epitaxial layers
JP2000350970A (ja) 1999-05-10 2000-12-19 Eaton Corp イオン注入装置における汚染された表面を洗浄するための方法および装置
US6259105B1 (en) 1999-05-10 2001-07-10 Axcelis Technologies, Inc. System and method for cleaning silicon-coated surfaces in an ion implanter
US6221169B1 (en) 1999-05-10 2001-04-24 Axcelis Technologies, Inc. System and method for cleaning contaminated surfaces in an ion implanter
JP4182535B2 (ja) 1999-05-27 2008-11-19 株式会社Ihi セルフクリ−ニングイオンドーピング装置およびその方法
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6290864B1 (en) 1999-10-26 2001-09-18 Reflectivity, Inc. Fluoride gas etching of silicon with improved selectivity
JP3732697B2 (ja) 1999-12-09 2006-01-05 住友イートンノバ株式会社 イオン注入装置及びイオンビームラインのクリーニング方法
US6772781B2 (en) 2000-02-04 2004-08-10 Air Liquide America, L.P. Apparatus and method for mixing gases
DE10011274A1 (de) 2000-03-08 2001-09-13 Wolff Walsrode Ag Plasmabehandelte bahnförmige Werkstoffe
US20030010354A1 (en) 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
DE60140749D1 (de) 2000-07-10 2010-01-21 Tel Epion Inc Ung
JP2002057149A (ja) 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置及びそのクリーニング方法
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6887337B2 (en) 2000-09-19 2005-05-03 Xactix, Inc. Apparatus for etching semiconductor samples and a source for providing a gas by sublimation thereto
JP2002110611A (ja) 2000-10-04 2002-04-12 Texas Instr Japan Ltd 半導体ウェハの洗浄方法及び装置
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3891773B2 (ja) * 2000-10-20 2007-03-14 大陽日酸株式会社 ガスの分離精製方法及びその装置
US6559462B1 (en) 2000-10-31 2003-05-06 International Business Machines Corporation Method to reduce downtime while implanting GeF4
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
CN1461493A (zh) 2000-12-18 2003-12-10 住友精密工业株式会社 清洗方法和腐蚀方法
US6843258B2 (en) 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
JP2004537844A (ja) * 2001-01-09 2004-12-16 アプライド マテリアルズ インコーポレイテッド 基板処理における白色粉末排気除去用装置
JP4014913B2 (ja) 2001-04-13 2007-11-28 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2002313776A (ja) 2001-04-19 2002-10-25 Toshiba Corp ドライエッチング方法及びドライエッチング装置
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6529424B2 (en) 2001-05-17 2003-03-04 Koninklijke Philips Electronics N.V. Propagation delay independent SDRAM data capture device and method
JP3824058B2 (ja) 2001-05-23 2006-09-20 独立行政法人産業技術総合研究所 カルボランスーパークラスターおよびその製造方法
US6685803B2 (en) 2001-06-22 2004-02-03 Applied Materials, Inc. Plasma treatment of processing gases
US6835414B2 (en) 2001-07-27 2004-12-28 Unaxis Balzers Aktiengesellschaft Method for producing coated substrates
JP3987312B2 (ja) 2001-08-31 2007-10-10 株式会社東芝 半導体装置の製造装置および製造方法ならびに半導体製造装置のクリーニング方法
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030098038A1 (en) 2001-11-26 2003-05-29 Siegele Stephen H. System and method for on-site generation and distribution of fluorine for fabrication processes
JP3891834B2 (ja) * 2001-12-04 2007-03-14 大陽日酸株式会社 ガス供給方法及び装置
US6620225B2 (en) 2002-01-10 2003-09-16 Advanced Technology Materials, Inc. Adsorbents for low vapor pressure fluid storage and delivery
JP2003272554A (ja) 2002-03-15 2003-09-26 Seiko Epson Corp イオン注入装置及びその稼動制御方法
JP2003297280A (ja) 2002-03-29 2003-10-17 Toshiba Corp イオン注入装置
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6902629B2 (en) 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US6617175B1 (en) 2002-05-08 2003-09-09 Advanced Technology Materials, Inc. Infrared thermopile detector system for semiconductor process monitoring and control
JP4486794B2 (ja) 2002-06-17 2010-06-23 エーエスエム インターナショナル エヌ.ヴェー. 固体の先駆物質から蒸気を生成する方法、基板処理システム及び混合物
AU2003258960A1 (en) 2002-06-26 2004-01-19 Semequip Inc. Ion implantation device and method
US20040006249A1 (en) 2002-07-08 2004-01-08 Showa Denko K.K., Nikon Corporation Fluorination treatment apparatus, process for producing fluorination treated substance, and fluorination treated substance
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7192486B2 (en) 2002-08-15 2007-03-20 Applied Materials, Inc. Clog-resistant gas delivery system
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US6841141B2 (en) 2002-09-26 2005-01-11 Advanced Technology Materials, Inc. System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (XFn) compounds for use in cleaning semiconductor processing chambers
US7080545B2 (en) 2002-10-17 2006-07-25 Advanced Technology Materials, Inc. Apparatus and process for sensing fluoro species in semiconductor processing systems
US20040163445A1 (en) 2002-10-17 2004-08-26 Dimeo Frank Apparatus and process for sensing fluoro species in semiconductor processing systems
US7296458B2 (en) 2002-10-17 2007-11-20 Advanced Technology Materials, Inc Nickel-coated free-standing silicon carbide structure for sensing fluoro or halogen species in semiconductor processing systems, and processes of making and using same
US7228724B2 (en) 2002-10-17 2007-06-12 Advanced Technology Materials, Inc. Apparatus and process for sensing target gas species in semiconductor processing systems
US6818566B2 (en) 2002-10-18 2004-11-16 The Boc Group, Inc. Thermal activation of fluorine for use in a semiconductor chamber
US6812648B2 (en) 2002-10-21 2004-11-02 Guardian Industries Corp. Method of cleaning ion source, and corresponding apparatus/system
US6908846B2 (en) 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
KR100505065B1 (ko) 2002-12-26 2005-07-29 삼성전자주식회사 증착 챔버 세정 방법 및 인시튜 세정이 가능한 증착 장치
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7098143B2 (en) 2003-04-25 2006-08-29 Texas Instruments Incorporated Etching method using an at least semi-solid media
US6936505B2 (en) 2003-05-20 2005-08-30 Intel Corporation Method of forming a shallow junction
JP4374487B2 (ja) * 2003-06-06 2009-12-02 株式会社Sen イオン源装置およびそのクリーニング最適化方法
WO2005028871A1 (en) * 2003-09-23 2005-03-31 The Boc Group Plc Cleaning method of a rotary piston vacuum pump
US7791047B2 (en) 2003-12-12 2010-09-07 Semequip, Inc. Method and apparatus for extracting ions from an ion source for use in ion implantation
US20080223409A1 (en) 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
CN1894763B (zh) 2003-12-12 2010-12-08 山米奎普公司 用于在离子植入中延长设备正常运行时间的方法及装置
TWI375660B (en) 2004-01-22 2012-11-01 Semequip Inc Isotopically-enriched boranes and methods of preparing them
US7015108B2 (en) 2004-02-26 2006-03-21 Intel Corporation Implanting carbon to form P-type drain extensions
GB2412488B (en) 2004-03-26 2007-03-28 Applied Materials Inc Ion sources
US20050252529A1 (en) 2004-05-12 2005-11-17 Ridgeway Robert G Low temperature CVD chamber cleaning using dilute NF3
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US20060005856A1 (en) 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
GB0415560D0 (en) * 2004-07-12 2004-08-11 Boc Group Plc Pump cleaning
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
DE102004051635A1 (de) 2004-10-23 2006-05-18 Man Roland Druckmaschinen Ag Verfahren zur Schnittregistereinstellung bei einer Rollenrotationsdruckmaschine
US7955797B2 (en) 2004-10-25 2011-06-07 Advanced Technology Materials, Inc. Fluid storage and dispensing system including dynamic fluid monitoring of fluid storage and dispensing vessel
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US7459704B2 (en) 2004-11-12 2008-12-02 Varian Semiconductor Equipment Associates, Inc. Ion source configuration for production of ionized clusters, ionized molecules and ionized mono-atoms
US20060115590A1 (en) 2004-11-29 2006-06-01 Tokyo Electron Limited; International Business Machines Corporation Method and system for performing in-situ cleaning of a deposition system
US7438079B2 (en) 2005-02-04 2008-10-21 Air Products And Chemicals, Inc. In-line gas purity monitoring and control system
CN101495190B (zh) 2005-03-16 2013-05-01 高级技术材料公司 用于从固体源递送试剂的***
KR100706792B1 (ko) * 2005-08-01 2007-04-12 삼성전자주식회사 펌프 유닛을 가지는 반도체 소자 제조 장치 및 상기 펌프유닛을 세정하는 방법
US7491947B2 (en) * 2005-08-17 2009-02-17 Varian Semiconductor Equipment Associates, Inc. Technique for improving performance and extending lifetime of indirectly heated cathode ion source
US20080220596A1 (en) 2005-08-30 2008-09-11 Advanced Technology Materials, Inc. Delivery of Low Pressure Dopant Gas to a High Voltage Ion Source
US20100112795A1 (en) 2005-08-30 2010-05-06 Advanced Technology Materials, Inc. Method of forming ultra-shallow junctions for semiconductor devices
TWI520905B (zh) 2005-08-30 2016-02-11 安特格利斯公司 利用選擇性氟化硼前驅物之硼離子植入方法,及供植入用之大群氫化硼之形成方法
US8278222B2 (en) 2005-11-22 2012-10-02 Air Products And Chemicals, Inc. Selective etching and formation of xenon difluoride
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
KR101455564B1 (ko) 2005-12-09 2014-10-27 세미이큅, 인코포레이티드 탄소 클러스터의 주입에 의한 반도체 디바이스의 제조를 위한 시스템 및 방법
JP2007190448A (ja) * 2006-01-17 2007-08-02 Taiyo Nippon Sanso Corp 混合ガス製造装置及び方法
CN102747336A (zh) 2006-04-26 2012-10-24 高级技术材料公司 半导体加工***的清洁方法和装置
US20070259111A1 (en) 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US8013312B2 (en) 2006-11-22 2011-09-06 Semequip, Inc. Vapor delivery system useful with ion sources and vaporizer for use in such system
US7853364B2 (en) 2006-11-30 2010-12-14 Veeco Instruments, Inc. Adaptive controller for ion source
US7919402B2 (en) 2006-12-06 2011-04-05 Semequip, Inc. Cluster ion implantation for defect engineering
US20080142039A1 (en) 2006-12-13 2008-06-19 Advanced Technology Materials, Inc. Removal of nitride deposits
TW200839829A (en) 2007-03-21 2008-10-01 Advanced Micro Fab Equip Inc Capacitance-coupled plasma chamber, structure and manufacturing method of gas distribution head, refurbishment and reuse method thereof
US8021492B2 (en) * 2007-05-29 2011-09-20 United Microelectronics Corp. Method of cleaning turbo pump and chamber/turbo pump clean process
US20080305598A1 (en) 2007-06-07 2008-12-11 Horsky Thomas N Ion implantation device and a method of semiconductor manufacturing by the implantation of ions derived from carborane molecular species
JP2009021066A (ja) 2007-07-11 2009-01-29 Sharp Corp イオンドーピング装置、イオンドーピング装置用フィラメントおよびその製造方法
CN101981661A (zh) 2008-02-11 2011-02-23 高级技术材料公司 在半导体处理***中离子源的清洗
US7947582B2 (en) 2009-02-27 2011-05-24 Tel Epion Inc. Material infusion in a trap layer structure using gas cluster ion beam processing
US9627180B2 (en) 2009-10-01 2017-04-18 Praxair Technology, Inc. Method for ion source component cleaning
US8237136B2 (en) 2009-10-08 2012-08-07 Tel Epion Inc. Method and system for tilting a substrate during gas cluster ion beam processing
US8187971B2 (en) 2009-11-16 2012-05-29 Tel Epion Inc. Method to alter silicide properties using GCIB treatment
JP5714831B2 (ja) 2010-03-18 2015-05-07 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
KR20110005683A (ko) 2011-01-18
EP2248153A4 (en) 2012-01-18
TW201530624A (zh) 2015-08-01
CN101981661A (zh) 2011-02-23
US9991095B2 (en) 2018-06-05
US20110259366A1 (en) 2011-10-27
EP2248153A2 (en) 2010-11-10
JP2012517684A (ja) 2012-08-02
JP2011512015A (ja) 2011-04-14
TWI494975B (zh) 2015-08-01
TWI619153B (zh) 2018-03-21
JP2014089968A (ja) 2014-05-15
JP5433025B2 (ja) 2014-03-05
EP2248153B1 (en) 2016-09-21
JP6208109B2 (ja) 2017-10-04
KR20150115960A (ko) 2015-10-14
KR101822779B1 (ko) 2018-01-26
TW201005806A (en) 2010-02-01
TWI573179B (zh) 2017-03-01
JP2015026623A (ja) 2015-02-05
SG188150A1 (en) 2013-03-28
WO2009102762A3 (en) 2009-11-12
US20120058252A1 (en) 2012-03-08
KR101755970B1 (ko) 2017-07-07
JP5686423B2 (ja) 2015-03-18
KR20160128462A (ko) 2016-11-07
WO2009102762A2 (en) 2009-08-20

Similar Documents

Publication Publication Date Title
TWI619153B (zh) 在半導體處理系統中離子源之清洗
TWI463516B (zh) 在半導體處理系統中離子源之清洗之方法
US8603252B2 (en) Cleaning of semiconductor processing systems
TWI412620B (zh) 清潔半導體製造工具之方法與裝置