KR102491212B1 - 진공 처리 장치 및 기판 반송 방법 - Google Patents

진공 처리 장치 및 기판 반송 방법 Download PDF

Info

Publication number
KR102491212B1
KR102491212B1 KR1020217010580A KR20217010580A KR102491212B1 KR 102491212 B1 KR102491212 B1 KR 102491212B1 KR 1020217010580 A KR1020217010580 A KR 1020217010580A KR 20217010580 A KR20217010580 A KR 20217010580A KR 102491212 B1 KR102491212 B1 KR 102491212B1
Authority
KR
South Korea
Prior art keywords
substrate
vacuum
normal
normal pressure
load
Prior art date
Application number
KR1020217010580A
Other languages
English (en)
Other versions
KR20210055082A (ko
Inventor
신지 와카바야시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20210055082A publication Critical patent/KR20210055082A/ko
Application granted granted Critical
Publication of KR102491212B1 publication Critical patent/KR102491212B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

[과제] 진공 분위기 하에서 기판에 처리를 실행하는 진공 처리 장치에 있어서, 장치의 풋프린트의 증가를 방지하는 것.
[해결 수단] 처리 모듈에서 기판을 평면에서 바라볼 때 좌우로 나열하여 배치하여 일괄 처리하여 고 스루풋화를 도모할 때, 로드록 모듈에 대해서도 처리 모듈과 마찬가지로 기판을 평면에서 바라볼 때 좌우로 나열하여 배치하도록 구성하고 있다. 그리고, 상압 반송실을, 이 로드록 모듈의 좌우 중 일방측으로부터 로드록 모듈의 하방측을 신장하여 좌우 중 타방측에 걸치도록 마련하고, 상압 반송실에 있어서의 기판의 반송 영역과 로드록 모듈을 상하로 오버랩시키고 있다. 게다가 상압 반송 기구에 의해, 로드록 모듈의 좌우에서, 반입출 포트의 캐리어에 대해서의 웨이퍼(W)의 주고받음이 실행될 수 있도록 하고 있다.

Description

진공 처리 장치 및 기판 반송 방법
본 개시는 진공 처리 장치 및 기판을 반송하는 기술에 관한 것이다.
반도체 디바이스의 제조 공정에 있어서의 진공 처리를 실행하는 진공 처리 장치는, 상압(常壓) 분위기와 진공 분위기를 전환하는 로드록 모듈을 구비하고, 반송 용기에 수납되어서 반송된 반도체 웨이퍼(이하 「웨이퍼」라고 함)를 상압 반송실을 거쳐서 로드록 모듈로 반송한다. 그리고 로드록 모듈 내를 상압 분위기로부터 진공 분위기로 전환한 후, 진공 반송실을 거쳐서 예를 들면, 복수의 진공 처리부에 각각 웨이퍼를 반송한다.
예를 들어, 특허문헌 1에는, 수평으로 나열된 2매의 웨이퍼(W)를 처리하는 처리 모듈을 구비한 진공 처리 장치에 있어서, 상압 분위기와 진공 분위기를 전환하는 로드록 모듈에 기판을 좌우로 2매 나열하여 배치한 구성이 기재되어 있다. 본 진공 처리 장치에서는, 반송 아암에 의해 로드록 모듈 및 처리 모듈 사이에서 2매의 웨이퍼를 일괄하여 주고받음이 가능하도록 구성하여 있다.
일본 특허 공개 제 2013-171872 호 공보
본 개시는 이러한 사정 하에 이루어진 것이며, 진공 분위기 하에서 기판에 처리를 실행하는 진공 처리 장치에 있어서, 장치의 풋프린트의 증가를 방지하는 기술을 제공하는 것에 있다.
본 개시의 진공 처리 장치는, 내부가 상압 분위기와 진공 분위기로 전환 가능하며, 좌우의 각각에 형성된 개폐 가능한 제 1 기판 반송구와 후방에 형성된 개폐 가능한 제 2 기판 반송구를 구비한 하우징과, 상기 하우징 내에서 기판을 좌우에 각각 보지하는 복수의 기판 보지부를 구비한 로드록 모듈과,
상기 하우징의 후방에 접속되고, 상기 제 2 기판 반송구가 개구하는 진공 분위기의 진공 반송실과,
상기 진공 반송실에 접속되고, 상기 기판을 진공 처리하기 위한 처리 모듈과,
상기 로드록 모듈과 상기 반송실과 상기 처리 모듈 사이에서 상기 기판을 반송하는 진공 반송 기구와,
상기 각 제 1 기판 반송구가 개구하도록 상기 하우징의 상방 또는 하방을 넘어서 해당 하우징의 좌우 중 일방으로부터 타방에 걸쳐서 형성되고, 해당 하우징과 겹쳐지는 상기 기판의 반송 영역인 적층 반송 영역을 구비하는 상압 분위기의 상압 반송실과,
상기 상압 반송실의 외측의 좌우에 각각 마련된, 상기 기판을 격납하는 반송 용기를 탑재하고, 상기 기판을 반입출하는 복수의 반입출 포트와,
상기 적층 반송 영역을 경유하여 상기 각 반입출 포트에 반입된 상기 반송 용기와 상기 각 기판 보지부 사이에서 상기 기판을 반송하는 상압 반송 기구를 구비하고 있다.
본 개시에 의하면, 진공 분위기 하에서 기판에 처리를 실행하는 진공 처리 장치에 있어서, 장치의 풋프린트의 증가를 방지할 수 있다.
도 1은 제 1 실시형태에 따른 진공 처리 장치를 도시하는 평면도이다.
도 2는 상압 반송실의 일부의 평면도이다.
도 3은 상압 반송실의 종단면도이다.
도 4는 로드록 모듈의 평면도이다.
도 5는 로드록 모듈의 기판 탑재부를 도시하는 사시도이다.
도 6은 정렬 모듈을 도시하는 사시도이다.
도 7은 처리 모듈을 도시하는 종단면도이다.
도 8은 제 1 실시형태의 작용을 도시하는 설명도이다.
도 9는 제 1 실시형태의 작용을 도시하는 설명도이다.
도 10은 제 1 실시형태의 작용을 도시하는 설명도이다.
도 11은 제 1 실시형태의 작용을 도시하는 설명도이다.
도 12는 제 1 실시형태의 작용을 도시하는 설명도이다.
도 13은 제 1 실시형태의 작용을 도시하는 설명도이다.
도 14는 제 2 실시형태에 따른 진공 처리 장치의 평면도이다.
도 15는 상압 반송실의 종단면도이다.
[제 1 실시형태]
일 실시형태에 따른 진공 처리 장치에 대해서 설명한다. 도 1 내지 도 3에 도시되는 바와 같이, 본 진공 처리 장치는 그 내부 분위기가 클린 에어, 일례로서 건조 가스에 의해 상압 분위기(공기의 경우에는 대기 분위기라고 할 수도 있음)로 되는 좌우 방향으로 신장되는 직사각형의 상압 반송실(2)을 구비하고 있다.
또한 진공 처리 장치는 상하로 적층된 2대의 로드록 모듈(3A, 3B)을 구비하고, 상압 반송실(2)은 로드록 모듈(3A, 3B)의 하방을 넘어서 해당 로드록 모듈(3A, 3B)의 좌우 중 일방으로부터 타방에 걸쳐서 형성되어 있다.
또한 상압 반송실(2) 내에 있어서의 로드록 모듈(3A, 3B)의 하방의 영역은, 기판인 웨이퍼(W)를 반송하는 반송 영역으로 되어 있다. 해당 로드록 모듈(3A, 3B)과 적층되는 반송 영역은, 적층 반송 영역에 상당한다. 로드록 모듈(3A, 3B)의 하방의 영역에는, 탑재부에 상당하는 냉각 모듈(21A, 21B)과, 정렬 모듈(20)이 마련되어 있다.
상압 반송실(2)의 전방측에는, 웨이퍼(W)의 반송 용기인 캐리어(C)를 탑재하기 위해 4대의 반입출 포트(1A 내지 1D)가 마련되어 있다. 이후 반입출 포트(1A 내지 1D)측을 전방, 상압 반송실(2)측을 후방으로 하여 설명한다. 반입출 포트(1A 내지 1D)는 전방에서 바라보았을 때에 로드록 모듈(3A, 3B)의 좌우로 벗어난 위치에, 좌우로 각각 2대씩 배치되어 있고, 좌측으로부터 우측을 향해서 1A, 1B, 1C, 1D의 순서로 배치되어 있는 것으로 한다. 또한 반입출 포트(1A 내지 1D)는 도 3에 도시되는 바와 같이 반입출 포트(1A 내지 1D)에 탑재된 캐리어(C)가 로드록 모듈(3A, 3B)과, 냉각 모듈(21A, 21B) 및 정렬 모듈(20) 사이의 높이 위치가 되도록 설치되어 있다. 또한 도 1 및 도 2 중 부호 11은, 캐리어(C)의 덮개부와 함께 개방되는 도어이다.
또한, 도 3에 도시되는 바와 같이 상압 반송실(2)에 있어서의 냉각 모듈(21A, 21B), 정렬 모듈(20) 및 로드록 모듈(3A, 3B)을 사이에 두는 좌우의 영역의 바닥부에는, 각각 제 1 상압 반송 기구(4A), 제 2 상압 반송 기구(4B)가 마련되어 있다. 전방에서 바라볼 때, 좌측에 제 1 상압 반송 기구(4A)가, 우측에 제 2 상압 반송 기구(4B)가 각각 배치되어 있다. 제 1 상압 반송 기구(4A)는 반입출 포트(1A 내지 1D) 중, 반입출 포트(1A, 1B)로 반송된 캐리어(C)의 웨이퍼(W)의 주고받음에 전용(專用)된다. 제 2 상압 반송 기구(4B)는 반입출 포트(1A 내지 1D) 중, 반입출 포트(1C, 1D)로 반송된 캐리어(C)의 웨이퍼(W)의 주고받음에 전용된다.
제 1 상압 반송 기구(4A) 및 제 2 상압 반송 기구(4B)는 대략 동일한 구성이므로, 제 1 상압 반송 기구(4A)를 예로 설명한다. 제 1 상압 반송 기구(4A)는 도시되지 않은 회전축을 거쳐서 접속된 하단 아암부(41), 상단 아암부(42)를 연결한 관절 아암으로서 구성되어 있다. 게다가 각각 웨이퍼(W)를 보지하는 포크 형상의 2개의 지지 포크부(43)가 상단 아암부(42)의 선단에 회전축을 거쳐서 접속되어 있다. 2개의 지지 포크부(43)는 서로 독립하여 회동하도록 구성되고, 각각 선단측에 1매의 웨이퍼(W)를 보지할 수 있다.
도 3에 도시되는 바와 같이 제 1 상압 반송 기구(4A)는 상압 반송실(2)의 바닥면에 고정된 기대(40)를 구비하고 있다. 기대(40)는 하단 부재(40A)와, 하단 부재(40A)의 상면으로부터 돌몰되도록 마련된 중단 부재(40B)와, 중단 부재(40B)의 상면으로부터 돌몰되도록 마련된 상단 부재(40C)를 구비하고, 상단 부재(40C)의 상면에 하단 아암부(41)의 기단측이 회동 가능하게 접속되어 있다. 그리고 중단 부재(40B) 및 상단 부재(40C)가 각각 하단 부재(40A) 및 중단 부재(40B)로부터 돌몰되는 것에 의해 기대(40)가 연직 방향으로 신축하고, 그 높이가 변하여, 지지 포크부(43)의 높이 위치를 변경할 수 있다. 또한 기대(40)의 신축에 의해 지지 포크부(43)의 높이 위치는, 후술하는 정렬 모듈(20) 및 냉각 모듈(21A, 21B)에 웨이퍼(W)를 주고받을 수 있는 높이 위치보다 낮은 높이 위치로부터, 상측의 로드록 모듈(3A)에 웨이퍼(W)를 주고받을 수 있는 높이 위치까지 가변하게 되어 있다.
계속해서 로드록 모듈(3A, 3B)에 대해서 설명한다. 로드록 모듈(3A, 3B)은 거의 마찬가지로 구성되므로, 상측의 로드록 모듈(3A)을 예로 설명한다. 로드록 모듈(3A)은 내부가 상압 분위기와 진공 분위기로 전환 가능한 하우징(30)을 구비하고 있다. 도 1 및 도 4에 도시되는 바와 같이 하우징(30)은 편평한 직사각형상으로 형성되고, 하우징(30)의 전방 벽, 후방 벽은 상압 반송실(2)의 전방 벽, 후방 벽에 각각 대체로 정렬되도록 형성되어 있다.
하우징(30) 내에는, 좌우 2열, 전후 2행으로 나열된 각각 2매의 웨이퍼(W)를 보지하도록 구성된 4개의 선반부(38)가 마련되어 있다. 각 선반부(38)는 도 5에 도시되는 바와 같이 하우징(30)의 바닥면으로부터 상방으로 돌출하는 3개의 지지 핀(35)에 의해 웨이퍼(W)의 둘레 가장자리를 하방으로부터 지지하는 하단측의 웨이퍼 보지부(38B)를 구비하고 있다. 또한, 선반부(38)는 하우징(30)의 바닥면에 마련된 대좌(臺座)부(36)의 상면으로부터 수평으로 신장되는 3개의 지지 비임(37)에 의해서 하단측의 웨이퍼 보지부(38B)에 보지된 웨이퍼(W)의 상방에서, 웨이퍼(W)의 둘레 가장자리의 하부를 지지하는 상단측의 웨이퍼 보지부(38A)를 구비하고 있다. 각 지지 비임(37)은 그 선단에서 웨이퍼(W)의 둘레 가장자리를 지지하고, 지지 비임(37)의 선단부가 지지 핀(35)의 상방에 정럴되도록 배치되어 있다. 각 선반부(38)는 기판 보지부에 상당한다.
하우징(30)의 좌측면에는, 전방에서 바라볼 때 좌측의 선반부(38)에 보지되는 웨이퍼(W)에 대응하는 위치에, 각각 제 1 상압 반송 기구(4A)가 진입하는 좌측 반송로(31A)가 형성되어 있다. 또한 하우징(30)의 우측면에는, 전방에서 바라볼 때 우측의 선반부(38)에 보지되는 웨이퍼(W)에 대응하는 위치에, 제 2 상압 반송 기구(4B)가 진입하는 우측 반송로(31B)가 형성되어 있다. 좌측 반송로(31A) 및 우측 반송로(31B)에는 각각 게이트 밸브(32A 및 32B)가 마련되어 있다. 따라서, 게이트 밸브(32A, 32B)에 의해, 좌측 반송로(31A), 우측 반송로(31B)의 개폐가 각각 실행된다. 좌측 반송로(31A) 및 우측 반송로(31B)는 제 1 기판 반송구에 상당한다. 또한 하우징(30)의 후방측의 측면에는, 후술하는 진공 반송실(9)과 연결하고, 진공 반송실(9)에 마련된 진공 반송 기구(51)가 진입하는 후방측 반송로(33)가 형성되어 있다. 후방측 반송로(33)에는, 게이트 밸브(34)가 마련되어 있다. 후방측 반송로(33)는 제 2 기판 반송구에 상당한다. 따라서, 게이트 밸브(34)에 의해, 후방측 반송로(33)의 개폐가 실행된다.
이하, 특별히 기재가 없는 경우, 좌측, 우측에 대해서는 전방에서 바라보았을 때의 좌측, 우측인 것으로 한다. 상기의 웨이퍼 보지부(38A, 38B) 중, 좌측의 웨이퍼 보지부(38A, 38B)에 대해서는 제 1 상압 반송 기구(4A)에 의해, 우측의 웨이퍼 보지부(38A, 38B)에 대해서는 제 2 상압 반송 기구(4B)에 의해 각각 웨이퍼(W)의 주고받음이 실행된다. 제 1 상압 반송 기구(4A) 및 제 2 상압 반송 기구(4B)의 지지 포크부(43)를 웨이퍼 보지부(38A), 웨이퍼 보지부(38B)에 대해서 승강시킴으로써, 지지 포크부(43)와, 웨이퍼 보지부(38A) 및 웨이퍼 보지부(38B) 사이에서 각각 웨이퍼(W)가 주고받아진다. 이와 같이 하우징(30) 내에서 웨이퍼 보지부(38A, 38B)에 각각 웨이퍼(W)를 주고받기 위해서 지지 포크부(43)가 승강하는 위치를 웨이퍼(W)의 주고받음 위치로 한다. 도 4에 도시되는 바와 같이 3개의 지지 비임(37)은, 지지 포크부(43)를 웨이퍼(W)의 주고받음 위치에 진입시켜서, 승강시켰을 때에 지지 비임(37)과, 지지 포크부(43)가 서로 간섭하지 않도록 마련되어 있다. 또한 지지 핀(35)은 각각 지지 비임(37)의 선단부의 하방에 정렬되도록 배치되어 있으므로, 웨이퍼(W)의 주고받음 위치에 지지 포크부(43)를 승강시켰을 때에 지지 핀(35)과, 지지 포크부(43)가 서로 간섭하지 않는다. 또한, 진공 반송실(9)로부터 반송되는 웨이퍼(W)는, 성막 처리가 실행되어 있고 파티클 발생원이 될 우려가 있으므로, 상단측의 웨이퍼 보지부(38A)가 상압 반송실(2)로부터 반송된 웨이퍼(W)를 보지하고, 하단측의 웨이퍼(W) 보지부(38B)가 후술의 진공 반송실(9)로부터 반송된 웨이퍼(W)를 보지한다.
게다가 각 로드록 모듈(3A)은 전방측의 측면에 배기구(300) 및 가스 공급구(301)를 구비하고 있다. 배기구(300)에는, 상압 반송실(2)의 전방측으로부터 배기관(302)의 일단이 접속되고, 배기관(302)의 타단에는, 하우징(30) 내를 진공 분위기로 전환하기 위한 진공 배기 기구(303)가 접속되어 있다. 또한 가스 공급구(301)에는, 하우징(30)의 전방측으로부터 가스 공급관(304)의 일단이 접속되고, 가스 공급관(304)의 타단에는, 하우징(30) 내에 질소 가스를 공급하고, 하우징(30) 내를 상압 분위기로 전환하기 위한 질소 가스 공급부(305)가 접속되어 있다.
계속해서 정렬 모듈(20)에 대해서 설명한다. 정렬 모듈(20)은 도 2에 도시되는 바와 같이 로드록 모듈(3B)의 하방 후방 근처의 위치에 마련되어 있다. 도 6에 도시되는 바와 같이 정렬 모듈(20)은 각각 2매의 웨이퍼(W)를 상하로 유지하여 위치 결정하는 정렬 기구(20A, 20B)가 2개, 상하에 마련되어 있다. 이후는 상방측의 정렬 기구를 20A, 하방측의 정렬 기구를 20B로서 기재한다. 정렬 기구(20A, 20B)는 서로 마찬가지로 구성되어 있고, 대표하여 정렬 기구(20A)에 대해서 설명한다. 정렬 기구(20A)는 상하에 간격을 두고, 평면에서 바라볼 때 서로 겹쳐지도록 마련된 웨이퍼(W)의 탑재부(111, 112)를 구비하고 있다.
도 6에 도시되는 바와 같이, 탑재부(111, 112)는 각각 도시되지 않은 회전축을 거쳐서, 하우징(119)에 지지되어 있다. 하우징(119)은 수직부(119A)와, 수직부(119A)로부터 상하 2단으로 수평으로 연장된 수평부(119B)를 구비하고, 탑재부(111, 112)는 각각 수평부(119B)의 하단, 상단에 지지되어 있다. 하우징(119) 내에는, 도시되지 않은 풀리, 타이밍 벨트, 모터가 마련되고, 탑재부(111, 112)를 회전축을 거쳐서 연직축을 중심으로 회전시키도록 구성되어 있다.
도 6 중 121은 광 검출부이며, 해당 광 검출부(121)를 구성하는 측방으로 돌출하는 2개의 돌기는, 탑재부(111, 112)에 각각 탑재되는 웨이퍼(W)의 주연부를 상하 방향으로 사이에 개재하도록 형성되어 있다. 본 2개의 돌기가 서로 대향하는 투과형의 광 센서로서 구성되어 있고, 상측의 돌기는 투광부, 하측의 돌기는 수광부를 이루고, 투광부로부터 수광부로 수직 하방으로 광을 조사한다.
탑재부(111, 112)에 의한 웨이퍼(W)의 회전 중에 이 광 조사가 실행되어 웨이퍼(W)의 위치를 검출한다. 해당 검출을 위치 결정한다고 하는 경우가 있다. 이와 같이 검출되는 웨이퍼(W)의 위치에는 예를 들면, 웨이퍼(W)의 주연부에 형성된 절결부(노치)의 위치 및 웨이퍼(W)의 주연부의 위치가 포함된다. 본 정렬 기구(20A, 20B)의 탑재부(111, 112)는 모두 제 1 상압 반송 기구(4A), 제 2 상압 반송 기구(4B)의 양방으로부터 웨이퍼(W)를 주고받아지도록 상압 반송실(2)의 좌우의 중심부에 배치되어 있다. 상기와 같이 위치 검출된 웨이퍼(W)에 대해서, 제 1 상압 반송 기구(4A), 제 2 상압 반송 기구(4B)가 지지 포크부(43) 상에서 소정의 방향을 향해서, 또한 소정의 위치에 위치하도록 웨이퍼(W)를 수취한다(위치 맞춤된다).
또한, 도 2로 돌아와서 상압 반송실(2) 내에 있어서의 정렬 모듈(20)의 전방측에는, 냉각 모듈(21A, 21B)이 좌우로 나열되어 마련되어 있다. 냉각 모듈(21A)은 상압 반송실(2)의 좌우의 중심보다 좌측에, 냉각 모듈(21B)은 상압 반송실(2)의 좌우의 중심보다 우측에 각각 마련되어 있다. 냉각 모듈(21A, 21B)은 복수의 웨이퍼(W)를 상하 방향으로 탑재하는 도시되지 않은 선반 형상의 탑재부를 구비하고, 탑재부에 탑재된 웨이퍼(W)는 후술의 FFU(23)에 의해서 형성되는 기류에 노출되어서 냉각된다. 좌측의 냉각 모듈(21A)은 제 1 상압 반송 기구(4A)에 의해 웨이퍼(W)의 주고받음이 실행되고, 우측의 냉각 모듈(21B)은 제 2 상압 반송 기구(4B)에 의해 웨이퍼(W)의 주고받음이 실행된다.
도 3에 도시되는 바와 같이 상압 반송실(2)에 있어서의 로드록 모듈(3A, 3B)을 사이에 둔 좌우의 천정면에는, 상압 반송실(2) 내에 하강 기류를 형성하기 위한 팬 필터 유닛(Fan Filter Unit; FFU)(22)이 마련되어 있다. 로드록 모듈(3B)의 하방 영역은 상압 반송실(2)의 천정면에 마련한 FFU(22)에 의한 다운 플로우가 로드록 모듈(3A, 3B)에 차단될 우려가 있다. 그 때문에 로드록 모듈(3B)의 하방의 상압 반송실(2)의 천정부에는, 하방에 해당 상압 반송실(2)의 분위기를 구성하는 기체를 공급하여 다운 플로우을 형성하는 FFU(23)가 마련되어 있다.
도 1에 도시되는 바와 같이 로드록 모듈(3A, 3B)의 후방측에는, 내부를 진공 분위기로 하고, 후방측 반송로(33)를 거쳐서 접속된 진공 반송실(9)이 접속되어 있다. 진공 반송실(9)은 전후 방향으로 신장되는 개략 직사각형으로 구성되고, 전방측에서 바라볼 때 진공 반송실(9)의 좌우에 처리 모듈(6)이 각각 3대 전후 방향으로 나열되어 마련되어 있다.
또한, 도 1에 도시되는 바와 같이 진공 반송실(9) 내에는, 다관절 아암인 웨이퍼(W)의 진공 반송 기구(51)가 마련되어 있다. 진공 반송 기구(51)는 기대(52), 수평으로 신장되는 제 1 아암(53), 수평으로 신장되는 제 2 아암(54), 웨이퍼 지지부(55)에 의해 구성되어 있다. 기대(52)는 진공 반송실(9) 내의 마루에 있어서 전후의 중앙에 있어서 왼쪽 근처에 마련되고, 승강 가능하게 구성되어 있다. 제 1 아암(53)은 기초부측이 기대(52) 상에 마련되고, 해당 기대(52) 상의 수직한 선회축을 중심으로 선회하고, 제 2 아암(54)은 기초부측이 제 1 아암(53)의 선단부 상에 마련되고, 해당 제 1 아암(53)의 선단부 상의 수직한 선회축을 중심으로 선회한다. 웨이퍼 지지부(55)는 서로 평행하게 수평으로 신장되는 2개의 가늘고 긴 주걱 형상의 지지부 본체(56)와, 지지부 본체(56)의 신장 방향에 대해서 직교하도록 수평 방향으로 신장되고, 2개의 지지부 본체(56)의 기단을 서로 접속하는 접속부(57)를 구비하고 있다. 접속부(57)의 길이 방향의 중앙부는 제 2 아암(54)의 선단부 상에 마련되고, 해당 제 2 아암(54)의 선단부 상의 수직한 선회축을 중심으로 선회한다.
도 1에 예시한 바와 같이 1개의 지지부 본체(56)의 선단측과 기단측에 서로 간격을 두고 웨이퍼(W)의 이면이 지지된다. 따라서, 진공 반송 기구(51)의 웨이퍼 지지부(55)는 4매의 웨이퍼(W)를 일괄하여 반송할 수 있다. 그리고 도 4에 도시되는 바와 같이 로드록 모듈(3A, 3B) 내에 웨이퍼 지지부(55)를 진입시키고, 웨이퍼(W)의 주고받음 위치에 웨이퍼 지지부(55)를 승강시켰을 때에 지지 비임(37)과 웨이퍼 지지부(55)가 서로 간섭하지 않도록 배치되어 있다. 기술과 같이 지지 핀(35)은 지지 비임(37)의 선단의 하방에 배치되므로 웨이퍼 지지부(55)와 지지 핀(35)도 서로 간섭하지 않도록 배치되어 있다.
따라서 웨이퍼 지지부(55)에 4매의 웨이퍼(W)를 지지시킨 상태로, 웨이퍼 지지부(55)를 웨이퍼 주고받음 위치에서 지지 비임(37)보다 상방의 위치로부터 하방의 위치에 하강시킴으로써, 웨이퍼 지지부(55)가 보지한 4매의 웨이퍼(W)가 상단측의 웨이퍼 보지부(38A)에 일괄하여 주고받아진다. 또한 4개소의 상단측의 웨이퍼 보지부(38A)에 웨이퍼(W)를 보지시킨 상태로, 웨이퍼 지지부(55)를 웨이퍼 주고받음 위치에서 지지 비임(37)보다 하방의 위치로부터 상방의 위치에 상승시킴으로써, 상단측의 웨이퍼 보지부(38A)가 보지한 4매의 웨이퍼(W)가 웨이퍼 지지부(55)에 일괄하여 주고받아진다. 또한, 하단측의 웨이퍼 보지부(38B)에 있어서도 마찬가지로 웨이퍼 지지부(55) 사이에서 4매의 웨이퍼(W)가 일괄하여 주고받아진다.
계속해서 처리 모듈(6)에 대해서, 도 7의 종단측면도를 참조하면서 설명한다. 6개의 처리 모듈(6)은 웨이퍼(W)에 플라즈마 ALD(Atomic Layer Deposition)에 의해 성막하는 성막 모듈이며, 6개 모두 마찬가지로 구성되고, 처리 모듈(6) 사이에서 서로 병행하여 웨이퍼(W)의 처리를 실행할 수 있다. 처리 모듈(6)은 평면에서 바라볼 때, 직사각형의 진공 용기(처리 용기)(61)를 구비하고 있고(도 1 참조), 진공 용기(61)의 측벽에는 게이트 밸브(G)에 의해서 개폐되는 웨이퍼(W)의 반송구(62)가 개구하여 있다. 도 7 중 부호 63은 진공 용기(61)의 바닥면에 개구한 배기구이며, 배기관(64)을 거쳐서 진공 펌프(65)에 접속되어 있다. 도 7 중 부호 66은 배기관(64)에 개설(介設)된 압력 조정부이다.
진공 용기(61) 내에는, 반송구(62)에서 바라볼 때, 앞쪽으로부터 안쪽을 향해서 웨이퍼(W)를 탑재하는 탑재부(67A, 67B)가 열을 이뤄서 이 순서로 마련되고, 이 탑재부(67A, 67B)의 열은 반송구(62)에서 바라볼 때 좌우로 나열되어서 마련되어 있다. 평면에서 바라볼 때 웨이퍼(W)는 진공 용기(61) 내에 2×2의 행렬 형상으로, 합계 4매 탑재되고, 이 탑재부(67A, 67B)의 간격에 맞춰서, 웨이퍼 지지부(55)에 지지되는 4매의 웨이퍼(W)의 간격이 설정되어 있다. 도 7 중 부호 70은 탑재부(67A, 67B)에 각각 매설된 히터이며, 탑재부(67A, 67B)에 탑재된 각 웨이퍼(W)를 300℃ 내지 450℃로 가열한다.
도 7 중 부호 68은 진공 용기(61)의 바닥면의 중앙부를 관통하는 지주이며, 해당 지주(68)의 상단으로부터는 4개의 지지 아암(69)이 수평으로 방사상으로 신장되어, 탑재부(67A, 67B)를 하방측으로부터 지지하여 있다. 지주(68)의 하단측은 진공 용기(61)의 하방 외측으로부터 승강 기구(71)에 접속되어 있고, 해당 승강 기구(71)에 의해 지주(68) 및 지지 아암(69)을 거쳐서 탑재부(67A, 67B)가, 도 7 중에 실선으로 나타내는 웨이퍼(W)의 처리 위치와 쇄선으로 나타내는 웨이퍼(W)의 주고받음 위치 사이에서 승강한다. 또한, 도 7 중의 72는 진공 용기(61) 내를 기밀하게 유지하기 위한 시일 부재이다.
각 탑재부(67A, 67B)에는, 3개의 관통 구멍(73)이 형성되어 있고, 각 관통 구멍(73)에는 진공 반송 기구(51) 사이에서 웨이퍼(W)를 주고받기 위해서 승강하는 승강 핀(75)이 마련되어서 승강 기구(74)에 의해 승강할 수 있도록 구성되어 있다. 승강 핀(75)은 각 탑재부(67A, 67B)에 웨이퍼(W)를 주고받을 때의 웨이퍼 지지부(55)와 간섭하지 않도록 배치되어 있다. 기술과 같이 각 탑재부(67A, 67B)는 웨이퍼 지지부(55)가 보지하는 4매의 웨이퍼(W)의 위치에 맞춰서 배치되어 있다. 따라서 웨이퍼 지지부(55)를 웨이퍼(W)의 주고받음 위치에 진입시켜서, 웨이퍼 지지부(55)와 승강 핀의 협동 작용으로 웨이퍼(W)의 주고받음을 실행했을 때에 4매의 웨이퍼(W)를 웨이퍼 지지부(55)와, 각 탑재부(67A, 67B) 사이에서 일괄하여 주고받을 수 있다. 또한 도 7 중의 76은 진공 용기(61) 내의 기밀성을 확보하기 위한 벨로우즈이다.
진공 용기(61)의 천정에 있어서 탑재부(67A 및 67B)의 상방에는, 가스 샤워 헤드(77)가 절연 부재(77A)를 거쳐서 각각 마련되어 있다. 가스 샤워 헤드(77)의 하면은 탑재부(67A, 67B)에 대향하고, 해당 하면에는 가스 토출 구멍(78)이 다수, 분산하여 배치되어 있다. 가스 샤워 헤드(77)에는 정합기(701)를 거쳐서 고주파 전원(702)이 접속된다. 또한 탑재대(67A, 67B) 내에는, 도시되지 않은 하부 전극이 매설되어 있고, 하부 전극은 접지 전위에 접속되어 있다. 도 7 중의 부호 79는 가스 공급부이며, 가스 샤워 헤드(77)에 사염화티타늄(TiCl4), 수소(H2) 가스, 암모니아(NH3) 가스, 아르곤(Ar) 가스, 질소(N2) 가스를 각각 독립하여 공급하고, 이러한 가스가 가스 토출 구멍(78)으로부터 각각 토출된다.
처리 모듈(6)에 의한 웨이퍼(W)의 성막 처리에 대해서 설명하면, 주고받음 위치에 위치하는 2개의 탑재부(67A), 2개의 탑재부(67B)에 웨이퍼(W)가 탑재된 후, 히터(70)에 의해 웨이퍼(W)가 가열되는 동시에 탑재부(67A, 67B)가 상승하여 처리 위치로 이동한다. 그 다음에, 가스 샤워 헤드(77)로부터 성막용의 가스로서 TiCl4 가스를 공급하고, 웨이퍼(W)의 표면에 흡착시킨다. 또한, 웨이퍼(W)에 반응 가스로서 Ar 가스 및 H2 가스를 공급한다. 게다가 고주파 전원(702)으로부터 가스 샤워 헤드(77)와 탑재대(67A, 67B) 내의 하부 전극 사이에 고주파 전력을 인가하는 것에 의해 공급된 반응 가스를 용량 결합에 의해 플라즈마화한다. 이에 의해 TiCl4 가스와 H2 가스가 활성화되어서 반응하고, 웨이퍼(W) 표면에 Ti(티타늄)의 층이 성막된다. 각 탑재부(67A, 67B) 및 탑재부(67A, 67B)에 대응하는 가스 샤워 헤드(77)는 기판 처리부를 구성한다.
이와 같이 TiCl4 가스의 흡착, Ar 가스 및 H2 가스의 공급과 함께 반응 가스의 플라즈마화를 차례로 복수회 반복한다. 이에 의해 상기의 Ti층의 형성이 반복하여 실행되어서, 소망의 막 두께를 갖는 Ti막이 성막된다.
진공 처리 장치는 도 1에 도시되는 바와 같이 진공 처리 장치 내에 있어서의 웨이퍼(W)의 반송, 처리 모듈(6)에 있어서의 성막 처리의 프로세스, 로드록 모듈(3A, 3B)에 있어서의 분위기의 전환을 제어하는 제어부(100)를 구비하고 있다. 제어부(100)는 예를 들면, 도시되지 않은 CPU와 기억부를 구비한 컴퓨터로 이루어지고, 이 기억부에는 처리 모듈(6)에 있어서의 성막 처리의 레시피나, 해당 진공 처리 장치에 있어서, 상압 반송 기구(4A, 4B) 및 진공 반송 기구(51)에 의한 웨이퍼(W)의 반송을 실행하기 위한 단계(명령)군이 조직된 프로그램이 기록되어 있다. 본 프로그램은 예를 들면, 하드 디스크, 콤팩트 디스크, 마그넷 옵티컬 디스크, 메모리 카드 등의 기억 매체에 격납되고, 그곳으로부터 컴퓨터에 인스톨된다.
계속해서 상술의 실시형태의 작용에 대해서 설명한다. 도 8 내지 도 13은 편의상 반입출 포트(1A 내지 1D) 중 반입출 포트(1A, 1C)를 각각 상압 반송실(2)의 좌우에 도시하는 동시에, 정렬 모듈(20) 및 냉각 모듈(21A, 21B)을 상하로 배치하여 도시하고 있다. 반입출 포트(1B, 1D)의 도시는, 편의상 생략하고 있다. 게다가 이러한 도 8 내지 도 13에서는 로드록 모듈(3A, 3B)에 최대 4단으로 웨이퍼(W)를 도시한다. 이 4단의 웨이퍼(W)에 대해서, 상 2단의 웨이퍼(W)는 웨이퍼 보지부(38A)의 웨이퍼(W), 즉, 모듈의 상측에 보지된 웨이퍼(W)를 나타내고, 하 2단의 웨이퍼(W)는 웨이퍼 보지부(38B)의 웨이퍼(W), 즉, 모듈의 하측에 보지된 웨이퍼(W)를 나타내고 있다. 또한 도 10 내지 도 13에서는, 처리 모듈(6)에서 처리를 실행한 후의 웨이퍼(W)에 사선을 부여하고 있다.
도 8에 도시되는 바와 같이 미처리의 웨이퍼(W)를 수용한 캐리어(C)가 반입출 포트(1A 내지 1D) 상에 탑재되면, 예를 들면, 전방에서 바라볼 때 좌측의 반입출 포트(1A, 1B)에 탑재된 캐리어(C) 내의 4매의 미처리 웨이퍼(W)가, 제 1 상압 반송 기구(4A)에 의해서 취출되고, 정렬 모듈(20)로 반송된다. 정렬 모듈(20)은 이와 같이 로드록 모듈(3A, 3B)로 반송되는 처리 전의 웨이퍼(W)가 탑재되므로 처리전 탑재부에 상당한다.
그리고 정렬 모듈(20)에서 4매의 웨이퍼(W)의 위치 결정이 실행된다. 그 다음에 도 9에 도시되는 바와 같이 정렬 모듈(20)에 탑재되어 있는 4매의 웨이퍼(W) 중, 예를 들면, 제 2 상압 반송 기구(4B)에 의해 상방측의 정렬 기구(20A)에 탑재된 2매의 웨이퍼(W)를 수취한다. 또한, 제 1 상압 반송 기구(4A)에 의해 하방측의 정렬 기구(20B)의 2매의 웨이퍼(W)를 수취한다.
게다가 상측의 로드록 모듈(3A)의 좌우의 게이트 밸브(32A, 32B)가 개방된다. 그리고 제 1 상압 반송 기구(4A)가 좌측 반송로(31A)로부터 로드록 모듈(3A)에 진입하고, 좌측의 열의 2개의 선반부(38)의 상단측의 웨이퍼 보지부(38A)에 2매의 웨이퍼(W)를 주고받는다. 또한 제 2 상압 반송 기구(4B)가 우측 반송로(31B)로부터 로드록 모듈(3A)에 진입하고, 우측의 열의 2개의 선반부(38)의 상단측의 웨이퍼 보지부(38A)에 2매의 웨이퍼(W)를 주고받는다. 이와 같이 위치맞춤을 실행한 4매의 웨이퍼(W)를 제 1 상압 반송 기구(4A)와, 제 2 상압 반송 기구(4B)로 병행하여 각각 2매씩 반송할 수 있기 때문에, 반송에 걸리는 시간이 짧아진다.
4매의 웨이퍼(W)가 상측의 로드록 모듈(3A)에 반입되면, 제 1 상압 반송 기구(4A) 및 제 2 상압 반송 기구(4B)가 로드록 모듈(3A)로부터 퇴출한다. 게다가 로드록 모듈(3A)의 좌우의 게이트 밸브(32A, 32B)를 폐쇄하고, 로드록 모듈(3A) 내를 진공 분위기로 전환한다. 그리고 진공 분위기로 전환한 후, 상측의 로드록 모듈(3A)의 진공 반송실(9)측의 게이트 밸브(34)를 개방하고, 진공 반송 기구(51)를 로드록 모듈(3A)에 진입시킨다. 이때 로드록 모듈(3A)의 각 선반부(38)의 상단측의 웨이퍼 보지부(38A)에 탑재된 웨이퍼(W)의 하방에 진공 반송 기구(51)의 웨이퍼 지지부(55)가 진입한다. 게다가 웨이퍼 지지부(55)를 상승시킴으로써 각 웨이퍼 보지부(38A)에 탑재된, 합쳐서 4매의 웨이퍼(W)가 일괄하여 웨이퍼 지지부(55)에 들어올려진다.
진공 반송실(9)의 좌우에 접속된 처리 모듈(6)을 전방측으로부터 전단, 중단, 후단으로 하면, 진공 반송 기구(51)는 웨이퍼(W)를 수취하면, 웨이퍼(W)를 보지한 상태로, 처리 모듈(6), 예를 들면, 전방에서 바라볼 때 우측의 후단의 처리 모듈(6)에 진입한다. 진공 반송 기구(51)에 보지된 각 웨이퍼(W)는 각각 대응하는 탑재부(67A, 67B)의 상방에 위치하고, 각 탑재부(67A, 67B)의 승강 핀(75)과 진공 반송 기구(51)의 협동 작용에 의해 4매의 웨이퍼(W)가 일괄하여 대응하는 탑재부(67A, 67B)에 주고받아진다.
또한, 상압 반송실(2)측에서는, 마찬가지로 후속의 웨이퍼(W)가 정렬 모듈(20)로 반송되고, 게다가 하측의 로드록 모듈(3B)로 반송된다. 그리고 마찬가지로 로드록 모듈(3B) 내를 진공 분위기로 전환하고, 진공 반송 기구(51)에 의해 로드록 모듈(3B) 내의 4매의 웨이퍼(W)가 처리 모듈(6)로 반송된다.
이와 같이 웨이퍼(W)를 순차적으로 상측 및 하측의 로드록 모듈(3A, 3B)에 반입하고, 진공 반송실(9)을 거쳐서, 각 처리 모듈(6)에 웨이퍼(W)를 반송한다. 그리고 각 처리 모듈(6)에서, 기술과 같이 웨이퍼(W)의 처리가 실행된다.
각 처리 모듈(6)에서 웨이퍼(W)의 처리가 실행된 후, 예를 들면, 전방측에서 바라볼 때 우측 후단의 처리 모듈(6)의 게이트 밸브(G)가 개방된다. 그리고 진공 반송 기구(51)에 의해 4개의 탑재부(67A, 67B)에 탑재되어 있는 처리완료의 웨이퍼(W)가 일괄하여 수취된다. 그리고 예를 들면, 상측의 로드록 모듈(3A)의 게이트 밸브(34)를 개방하고, 웨이퍼 지지부(55)를 로드록 모듈(3A)에 진입시켜서 하단측의 웨이퍼 보지부(38B)에 4매의 웨이퍼(W)를 일괄하여 주고받는다. 그 후 웨이퍼 지지부(55)를 진공 반송실(9)에 퇴피시키고, 게이트 밸브(34)를 폐쇄하고, 로드록 모듈(3A) 내의 분위기를 상압 분위기로 전환한다. 마찬가지로 예를 들면, 전방에서 바라볼 때 좌측 후단의 처리 모듈(6)의 4매의 웨이퍼(W)를 하측의 로드록 모듈(3B)의 하단측의 웨이퍼 보지부(38B)에 주고받는다. 게다가 로드록 모듈(3B) 내의 분위기를 상압 분위기로 전환한다.
이때 상압 반송실(2)에 있어서는, 계속해서 처리를 실행할 웨이퍼(W)가 캐리어(C)에서 취출되고, 예를 들면, 정렬 모듈(20)에서 위치 결정을 하고 대기하여 있다.
그리고 도 10에 도시되는 바와 같이 최초의 미처리의 웨이퍼(W)를 로드록 모듈(3A)로 반송했을 때와 마찬가지로, 상측의 로드록 모듈(3A)의 좌우의 게이트 밸브(32A, 32B)를 각각 개방한다. 게다가 제 1 상압 반송 기구(4A)와, 제 2 상압 반송 기구(4B)에 의해 정렬 모듈(20)의 4매의 미처리의 웨이퍼(W)를 로드록 모듈(3A)의 상단측의 웨이퍼 보지부(38A)에 주고받는다. 그 후, 도 11에 도시되는 바와 같이 제 1 상압 반송 기구(4A)는 로드록 모듈(3A)의 좌측의 열의 하단측의 웨이퍼 보지부(38B)에 탑재된 2매의 처리완료의 웨이퍼(W)를 수취한다. 또한, 제 2 상압 반송 기구(4B)는 로드록 모듈(3A)의 우측의 열의 하단측의 웨이퍼 보지부(38B)의 2매의 처리완료의 웨이퍼(W)를 수취한다.
그리고 제 1 상압 반송 기구(4A)는 보지한 처리완료의 웨이퍼(W)를 좌측의 냉각 모듈(21A)로 반송하고, 제 2 상압 반송 기구(4B)는 보지한 처리완료의 웨이퍼(W)를 우측의 냉각 모듈(21B)로 반송한다. 상측의 로드록 모듈(3A)에서 4매의 처리완료의 웨이퍼(W)가 반출된 후, 상측의 로드록 모듈(3A)은 좌우의 게이트 밸브(32A, 32B)가 폐쇄되고, 진공 분위기로 전환된다. 그 후 로드록 모듈(3A)의 4매의 미처리의 웨이퍼(W)는 기술과 같이 진공 반송 기구(51)에 의해 취출되고, 소정의 처리 모듈(6)로 반송된다.
또한, 냉각 모듈(21A, 21B)에서 웨이퍼(W)를 냉각하고 있는 동안에 미처리 웨이퍼(W)가 정렬 모듈(20)을 거쳐서, 로드록 모듈(3B)로 반송되고, 마찬가지로 제 1 상압 반송 기구(4A) 및 제 2 상압 반송 기구(4B)에 의해 로드록 모듈(3B)에 수납되어 있는 처리완료의 웨이퍼(W)가 냉각 모듈(21A, 21B)로 반송된다. 이와 같이 냉각 모듈(21A, 21B)은 로드록 모듈(3A, 3B)로부터 반출된 웨이퍼(W)를 대기시키므로 처리후 대기부라고 말하고, 냉각 모듈(21A, 21B)은 각각 제 1 처리후 대기부, 제 2 처리후 대기부에 상당한다.
그 후 냉각 모듈에 탑재된 웨이퍼(W)가 충분히 냉각되면, 도 12에 도시되는 바와 같이 제 1 상압 반송 기구(4A)는 좌측의 냉각 모듈(21A)로부터, 냉각된 웨이퍼(W)를 수취하여, 반입출 포트(1A, 1B)의 캐리어(C)에 되돌린다. 또한 제 2 상압 반송 기구(4B)는 우측의 냉각 모듈(21B)로부터, 냉각된 웨이퍼(W)를 수취하여, 정렬 모듈(20)에 주고받는다. 그 후 도 13에 도시되는 바와 같이 정렬 모듈(20)에 탑재된 처리완료의 웨이퍼(W)가 제 1 상압 반송 기구(4A)에 의해 반입출 포트(1A, 1B)의 캐리어(C)에 되돌려진다. 이때 제 2 상압 반송 기구(4B)는 예를 들면, 냉각 모듈(21B)에서 냉각된 웨이퍼(W)를 순차적으로 정렬 모듈(20)로 반송한다. 정렬 모듈(20)은 처리 후의 웨이퍼(W)가 탑재되므로 처리후 탑재부에 상당한다. 본 예에서는, 정렬 모듈(20)은 처리전 탑재부와, 처리후 탑재부를 겸용한다. 또한 처리전 탑재부와, 처리후 탑재부를 각각 개별적으로 마련해도 좋다.
이와 같이 제 1 상압 반송 기구(4A)와, 제 2 상압 반송 기구(4B)에 의해, 로드록 모듈(3A, 3B)에 미처리 웨이퍼(W)를 반송하는 동시에, 로드록 모듈(3A, 3B)로 반송된 처리완료의 웨이퍼(W)를 취출하여 캐리어(C)로 반송한다.
또한, 전방에서 바라볼 때 우측의 반입출 포트(1C, 1D)에 탑재된 캐리어(C)에 수납된 웨이퍼(W)를 취출하는 경우에는, 제 2 상압 반송 기구(4B)에 의해 캐리어(C)로부터 웨이퍼(W)를 추출하고, 정렬 모듈(20)에 주고받는다. 그 후 제 1 상압 반송 기구(4A)와 제 2 상압 반송 기구(4B)에 의해, 정렬 모듈(20)로부터 각 로드록 모듈(3A, 3B)에 웨이퍼(W)가 반송된다. 또한, 처리완료의 웨이퍼(W)를 캐리어(C)에 되돌릴 때는, 제 1 상압 반송 기구(4A) 및 제 2 상압 반송 기구(4B)에 의해 로드록 모듈(3A)로부터 좌측 및 우측의 냉각 모듈(21A, 21B)에 각각 처리완료의 웨이퍼(W)를 반송한다. 그 후 제 1 상압 반송 기구(4A)에 의해 좌측의 냉각 모듈(21A)에서 냉각된 웨이퍼(W)를 정렬 모듈(20)로 반송한다. 또한, 제 2 상압 반송 기구(4B)는 우측의 냉각 모듈(21B)로부터 우측의 반입출 포트(1C, 1D)에 탑재된 캐리어(C)에 웨이퍼(W)를 되돌리는 동시에 정렬 모듈(20)로 반송된 웨이퍼(W)를 우측의 반입출 포트(1C, 1D)에 탑재된 캐리어(C)에 되돌린다.
이와 같이 제 1 상압 반송 기구(4A) 및 제 2 상압 반송 기구(4B)에 의해 캐리어(C), 각 로드록 모듈(3A, 3B), 정렬 모듈(20) 및 냉각 모듈(21A, 21B) 사이에서 웨이퍼(W)를 반송하고, 순차적으로 웨이퍼(W)의 처리를 실행한다.
그런데, 기술한 바와 같이 진공 분위기로 한 로드록 모듈(3A)에 있어서의 진공 반송실(9)측의 게이트 밸브(34)를 개방하고, 미처리의 웨이퍼(W)를 반출할 때에, 처리 모듈(6)에서 웨이퍼(W)의 처리가 종료되어 있는 경우에는, 이 로드록 모듈(3A)에 있어서의 미처리의 웨이퍼(W)가 처리완료의 웨이퍼(W)로 교체되도록 반송이 실행된다. 구체적으로, 본 반송 동작을 말하면, 하나의 처리 모듈(6)에서 처리완료의 웨이퍼(W)를 진공 반송 기구(51)의 웨이퍼 지지부(55)가 수취하고, 기술과 같이 해당 웨이퍼(W)를 로드록 모듈(3A)의 웨이퍼 보지부(38A)에 탑재한다. 로드록 모듈(3A)로부터 웨이퍼 지지부(55)가 일단 퇴피하고, 로드록 모듈(3A)이 진공 반송실(9)에 해방된 상태인 채, 진공 반송실(9)에서 웨이퍼 지지부(55)의 높이가 변경된다. 게다가 재차 로드록 모듈(3A)에 진입하여, 웨이퍼 보지부(38B)로부터 미처리의 웨이퍼(W)를 수취하고, 하나의 처리 모듈(6) 또는 다른 처리 모듈(6)에 해당 웨이퍼(W)를 반송한다. 본 처리 모듈(6)에의 반송 중에, 로드록 모듈(3A)은 진공 반송실(9)로부터 격리되고, 대기 분위기로 변경된다. 로드록 모듈(3A)을 예로 하여 설명했지만, 로드록 모듈(3B)에 대해서도 마찬가지의 반송이 실행된다.
상술의 진공 처리 장치에 의하면, 처리 모듈(6)에서 웨이퍼(W)를 평면에서 바라볼 때 2×2의 행렬 형상으로 배치하여 일괄 처리하여 고 스루풋화를 도모할 때, 로드록 모듈(3A, 3B)에 대해서도 처리 모듈(6)과 마찬가지로 웨이퍼(W)를 평면에서 바라볼 때 행렬 형상으로 배치하도록 구성하고 있다. 그리고, 상압 반송실(2)을, 이 로드록 모듈(3A, 3B)의 좌우 중 일방측으로부터 로드록 모듈(3A, 3B)의 하방측을 신장하여 좌우 중 타방측에 걸치도록 마련하고, 상압 반송실(2)에 있어서의 웨이퍼(W)의 반송 영역과 로드록 모듈(3A, 3B)을 상하로 오버랩시키고 있다. 게다가, 제 1 상압 반송 기구(4A), 제 2 상압 반송 기구(4B)에 의해, 로드록 모듈(3A, 3B)의 좌우로, 반입출 포트(1A 내지 1D)의 캐리어(C)에 대해서의 웨이퍼(W)의 주고받음을 실행할 수 있도록 하고 있다.
이러한 구성에 의해서, 로드록 모듈(3A, 3B)에 대해서 상압 반송실(2)을 전방향으로부터 접속하는 경우에 비해 평면에서 바라보았을 때에 상압 반송실(2)이 로드록 모듈(3A, 3B)이 마련된 영역으로부터 전후 방향으로 돌출하는 돌출량을 작게 억제할 수 있다. 이에 의해, 장치 전체의 전후의 폭이 커지는 것을 억제하여, 장치의 풋프린트를 억제할 수 있다. 게다가 이와 같이 장치를 소형화하면서 상압 반송실(2)에 있어서 웨이퍼(W)의 반송에 필요한 스페이스 및 정렬 모듈(20) 등의 각 모듈의 설치 스페이스를 확보할 수 있다.
또한, 상기와 같이 진공 처리 장치에는, 제 1 상압 반송 기구(4A), 제 2 상압 반송 기구(4B)가 마련된다. 이에 의해, 반입출 포트(1A, 1B)의 캐리어(C)와 로드록 모듈(3A, 3B) 사이에서의 웨이퍼(W)의 반송과 반입출 포트(1A, 1B)의 캐리어(C)와 로드록 모듈(3A, 3B) 사이에서의 웨이퍼(W)의 반송을 병행하여 실행할 수 있기 때문에, 보다 높은 스루풋을 얻을 수 있다.
그런데 상기의 진공 처리 장치에서는, 상압 반송실(2)에 있어서의 로드록 모듈(3A, 3B)의 하방의 반송로에 각종 모듈이 마련되므로, 상압 반송실(2)의 로드록 모듈(3A, 3B)로부터의 좌우의 돌출량이 보다 확실히 억제되어 있다. 또한, 이 모듈을 거쳐서 로드록 모듈(3A, 3B)의 우측(좌측)과, 상압 반송실(2)의 좌측(우측)의 반입출 포트(1)의 캐리어(C) 사이에서 웨이퍼(W)의 주고받음이 실행된다. 따라서, 각 반입출 포트(1A 내지 1D)와 로드록 모듈(3A, 3B) 사이에서 웨이퍼(W)를 주고받을 때, 제 1 상압 반송 기구(4A), 제 2 상압 반송 기구(4B)는 로드록 모듈(3A, 3B)의 하측을 횡단하여 이동할 필요가 없다. 또한, 제 1 상압 반송 기구(4A), 제 2 상압 반송 기구(4B)는, 각각 대응하는 좌측 반송로(31A), 우측 반송로(31B)에서 바라볼 때 안쪽의 선반부(38)까지 아암을 신장시킬 필요가 없다.
따라서, 제 1 상압 반송 기구(4A) 및 제 2 상압 반송 기구(4B)의 지지 포크부(43) 및 상단 아암부(42), 하단 아암부(41)를 짧게 할 수 있다. 그 때문에, 제 1 상압 반송 기구(4A) 및 제 2 상압 반송 기구(4B)의 선회 반경을 작게 할 수 있고, 상압 반송실(2)의 풋프린트를 작게 하고, 따라서 장치 전체의 풋프린트의 증가를 보다 확실히 억제할 수 있다.
또한, 본 실시형태에 따른 진공 처리 장치는 반입출 포트(1)를 상압 반송실(2)의 전방에 있어서의 로드록 모듈(3A, 3B)의 좌우 방향으로 벗어난 위치에 마련되어 있다. 이에 의해 로드록 모듈(3A, 3B)을 구성하는 하우징(30)의 전방측의 측벽이 노출하여 있다. 이 측벽에 도 4에서 설명한 바와 같이, 하우징(30)에 급기 및 배기를 실행하는 배관(302, 304)을 접속하고, 반송 포트(1A, 1B)와 반송 포트(1C, 1D) 사이의 스페이스를 이용하여 이러한 배관을 둘러칠 수 있다. 따라서, 장치의 풋프린트의 증대를 보다 확실히 방지할 수 있고, 예를 들면, 상압 반송실(2) 내에서 배관을 둘러칠 필요가 없기 때문에, 장치 구성을 간소하게 할 수 있다.
또한, 정렬 모듈(20)을 마련하는 것은 필수는 아니다. 게다가, 정렬 모듈(20)을 대신하여, 제 1 상압 반송 기구(4A) 및 제 2 상압 반송 기구(4B) 중 어느 것으로부터도 웨이퍼(W)를 주고받을 수 있는 웨이퍼(W)의 탑재부를 마련해도 좋다. 즉, 웨이퍼(W)의 위치 결정을 실행하지 않고, 단지 웨이퍼(W)의 탑재만을 실행하는 모듈을 마련해도 좋다. 이와 같이 위치 결정을 실행하지 않는 경우, 예를 들면, 제 1 상압 반송 기구(4A) 및 제 2 상압 반송 기구(4B)의 지지 포크부(43)에 대해서, 보지한 웨이퍼(W)의 둘레 가장자리를 둘레 가장자리측으로부터 중심측을 향해서 가압하는 기구를 구비하도록 구성한다. 그 가압 기구에 의해서, 웨이퍼(W)의 중심 위치가 웨이퍼(W) 보지부(43)의 소정의 위치에 위치하도록 해도 좋다.
또한, 냉각 모듈(21A, 21B)도 필수는 아니다. 그 경우에는 예를 들면, 로드록 모듈(3A, 3B)에서 탑재된 웨이퍼(W)를 냉각할 수 있도록 구성해도 좋다. 구체적으로는, 예를 들면, 로드록 모듈(3A, 3B)에 웨이퍼(W) 보지 선반(38) 대신에 냉매가 유통하는 스테이지를 마련하여, 해당 스테이지에 탑재된 웨이퍼(W)가 냉각되도록 해도 좋다.
또한, 상기의 진공 처리 장치에서는, 전후로 보았을 때에 처리 모듈(6)이 상압 반송실(2)과 겹치도록 마련되어 있기 때문에, 장치의 좌우의 폭이 억제되어 있다. 또한, 반입출 포트(1A 내지 1D)가 상압 반송실(2)의 전방에 마련되어 있기 때문에, 장치의 좌우 방향의 폭이 보다 억제되고, 이에 의해 장치 전체의 풋프린트가 보다 억제되어 있다. 단, 반입출 포트(1A 내지 1D)를 상압 반송실(2)의 좌우에 마련해도 좋다. 또한 반입출 포트(1A 내지 1D)는 상압 반송실(2)의 좌우에 각각 2대 마련한 구성으로 한정되지 않고, 예를 들면, 각각 3대 이상 마련되어 있어도 좋다.
또한 제 1 및 제 2 상압 반송 기구(4A, 4B)는 연직 방향으로 신장되는 가이드 레일을 따라서 승강하도록 구성해도 좋다. 그 경우 예를 들면, 제 1 상압 반송 기구(4A)를 지지하는 가이드 레일을 상압 반송실(2)에 있어서의 후방측의 좌단에 마련하고, 제 2 상압 반송 기구(4B)를 지지하는 가이드 레일을 상압 반송실(2)에 있어서의 후방측의 우단에 마련하도록 하면 좋다. 그리고 기대(40)를 가이드 레일을 따라서 승강하도록 구성하고 기대(40)에 하단 아암부(41)를 회동 가능하게 마련하면 좋다.
또한, 냉각 모듈(21A, 21B)은 예를 들면, 웨이퍼(W)가 탑재되는 탑재대에 냉각수 등의 냉매가 통류됨으로써 해당 웨이퍼(W)를 냉각하는 구성이어도 좋다. 또한 예를 들면, 냉각 모듈을 1대로 하고 제 1 상압 반송 기구(4A) 및 제 2 상압 반송 기구(4B) 중 어느 하나로부터도 웨이퍼(W)를 주고받아지도록 구성해도 좋다. 이와 같이 냉각 모듈을 제 1 상압 반송 기구(4A) 및 제 2 상압 반송 기구(4B) 중 어느 하나로부터도 웨이퍼(W)를 주고받을 수 있도록 구성하는 경우에는, 냉각 모듈에서 냉각한 웨이퍼(W)를 정렬 모듈(20)을 통과시키지 않고 캐리어(C)로 되돌릴 수 있고, 웨이퍼(W)의 반송 시간을 짧게 할 수 있다.
또한 로드록 모듈(3A, 3B)은 2매의 웨이퍼(W)를 좌우로 나열하여 배치하는 구성이어도 좋다. 게다가 상압 반송실(2)을 로드록 모듈(3A, 3B)의 좌우 일방측으로부터 로드록 모듈(3A, 3B)의 상방측을 신장하여 좌우 타방측에 걸치도록 마련해도 좋다.
또한, 전방에서 바라볼 때 좌측의 선반부(38)에는, 반입출 포트(1A, 1B)의 캐리어(C)로부터 취출한 웨이퍼(W)를 주고받고, 전방에서 바라볼 때 우측의 선반부(38)에는, 반입출 포트(1C, 1D)의 캐리어(C)로부터 취출한 웨이퍼(W)를 주고받도록 해도 좋다.
예를 들어, 제 1 상압 반송 기구(4A)에 의해 반입출 포트(1A, 1B)의 캐리어(C)로부터 취출하여, 정렬 모듈(20)로 반송한다. 게다가 해당 정렬 모듈(20)로 반송한 웨이퍼(W)를 제 1 상압 반송 기구(4A)에 의해 수취되고, 로드록 모듈(3A)의 전방에서 바라볼 때 좌측의 선반부(38)에 주고받는다. 그리고 제 2 상압 반송 기구(4B)에 의해 반입출 포트(1C, 1D)의 캐리어(C)로부터 취출하여, 정렬 모듈(20)로 반송한다. 게다가 해당 정렬 모듈(20)로 반송한 웨이퍼(W)를 제 2 상압 반송 기구(4B)에 의해 수취하고, 로드록 모듈(3A)의 전방에서 바라볼 때 우측의 선반부(38)에 주고받는다. 이러한 예도 본 개시의 범위에 포함된다.
마찬가지로, 로드록 모듈(3A, 3B)의 좌측의 선반부(38)로부터 수취된 웨이퍼(W)가 좌측의 냉각 모듈(21A)로 반송된 후, 좌측의 반입출 포트(1A, 1B)의 캐리어(C)로 되돌려져도 좋다. 그리고, 로드록 모듈(3A, 3B)의 우측의 선반부(38)로부터 수취된 웨이퍼(W)가 우측의 냉각 모듈(21B)로 반송된 후, 우측의 반입출 포트(1C, 1D)의 캐리어(C)로 되돌려져도 좋다. 즉, 상압 반송실(2)에 있어서, 로드록 모듈(3A, 3B)의 우측(좌측)의 반송로로부터 로드록 모듈(3A, 3B)의 하방의 반송 영역을 넘어서 좌측(우측)의 반송로에 웨이퍼(W)가 이동한다고 하는 반송이 실행되는 것으로는 한정되지 않는다.
게다가 하나의 하우징(30)의 좌우에 게이트 밸브(32A, 32B)를 마련했을 때에, 좌우의 게이트 밸브(32A, 32B)를 개방하는 타이밍이 동시가 아닌 것도 있다. 그 경우에 예를 들면, 게이트 밸브(32A, 32B) 중 일방을 개방한 상태로, 타방의 게이트 밸브(32A, 32B)를 개폐하게 되어서 하우징(30) 내에 기류가 생겨서 파티클이 발생할 우려가 있다. 그 때문에 로드록 모듈(3A, 3B)은 상기 하우징(30)의 내부가 좌측의 웨이퍼 보지부(38A, B)가 배치되는 공간과, 우측의 웨이퍼 보지부(38A, B)가 배치되는 공간으로 구획되어 있어도 좋다. 이에 의해 좌측의 공간과 우측의 공간의 분위기가 서로 격리되고, 일방의 공간에 있어서, 타방의 공간의 게이트 밸브(32A, 32B)의 개폐의 영향이 억제되어, 상술의 기류의 혼란을 억제할 수 있다. 그리고 각각 구획된 공간마다 상압 분위기와 진공 분위기로 전환할 수 있도록 구성해도 좋다. 또한 상기 구획된 공간이 서로 좌우로 이격하여 배치되는 경우도 하우징(30)의 내부가 좌우의 공간으로 구획되어 있는 것에 포함된다.
또한 본 개시의 진공 반송 기구(51)는 웨이퍼 지지부(55)를 서로 평행하게 수평으로 신장되는 2개의 가늘고 긴 주걱 형상의 지지부 본체(56)와, 지지부 본체(56)의 신장 방향에 대해서 직교하도록 수평 방향으로 신장되고, 2개의 지지부 본체(56)의 기단을 서로 접속하는 접속부(57)로 구성하고 있다. 그 때문에 하우징(30)의 내부를 좌우로 구획하도록 구성했을 때에도, 2개의 지지부 본체(56)를 각각 하우징(30) 내에서 구획된 우측의 공간과 좌측의 공간에 동시에 진입시킬 수 있다. 따라서 좌측의 웨이퍼 보지부(38A, B) 및 우측의 웨이퍼 보지부(38A, B) 사이에서 일괄하여 웨이퍼(W)의 주고받음을 실행할 수 있다.
또한, 상압 반송실(2)은 내부 분위기로서 질소 가스가 충전되어 있어도 좋다. 게다가 진공 반송실(9)에 접속하는 처리 모듈(6)은 성막 장치에 한정하지 않고, 에칭 장치나 어닐링 장치, 또는 이들의 조합이어도 좋다.
[제 2 실시형태]
또한, 상압 반송실(2)에 상압 반송 기구를 1대 마련한 구성이어도 좋다. 도 14 및 도 15에 도시되는 예에서는, 로드록 모듈(3A, 3B)을 상압 반송실(2)의 후방 근처에 마련되어 있다. 본 예에서는, 상압 반송 기구(4C)를 상압 반송실에 있어서의 로드록 모듈(3A, 3B)의 전방측에 마련되어 있다. 게다가 상세하게 설명하면, 상압 반송실(2)을 구성하는 하우징(200)의 전방 벽이, 로드록 모듈(3A, 3B)의 전방 벽보다 전방에 위치하여 있다. 그리고, 이러한 전방 벽과 전방 벽 사이의 스페이스는 상압 반송 기구(4C)가 이동하는 이동로로서 구성되어 있다.
상압 반송 기구(4C)는 제 1 및 제 2 상압 반송 기구(4A, 4B)와 마찬가지로 하단 부재(400A), 중단 부재(400B) 및 상단 부재(400C)를 포함하고, 신축 가능하게 구성된 기대(400)를 구비하고 있다. 기대(400)의 상단 부재(400C)에는, 하단 아암부(401), 중단 아암부(402), 상단 아암부(403), 웨이퍼(W)를 보지하는 지지 포크(404)의 순서로 연결된 다관절 아암이 회동 가능하게 마련되어 있다.
또한 본 예에서는, 로드록 모듈(3A, 3B)의 하방에 정렬 모듈(20), 냉각 모듈(21A, 21B)을 마련하지 않지만, 도 1 내지 도 3에 도시되는 진공 처리 장치와 마찬가지로 정렬 모듈(20) 및 냉각 모듈(21A, 21B)을 구비한 구성이어도 좋다.
본 진공 처리 장치에 있어서는, 좌측에 배치된 캐리어(C)로부터 취출한 웨이퍼(W)를 로드록 모듈(3A, 3B)로 반송할 때, 로드록 모듈(3A, 3B)의 좌측의 선반부(38)에 웨이퍼(W)를 주고받을 때에는, 상압 반송 기구(4C)를 좌측의 반송로(31A)로부터 로드록 모듈(3A, 3B)에 진입시켜서 주고받는다. 또한 좌측에 배치된 캐리어(C)로부터, 로드록 모듈(3A, 3B)에 있어서의 전방측에서 바라볼 때 우측의 선반부(38)에 웨이퍼(W)를 주고받을 때에는, 캐리어(C)로부터 웨이퍼(W)를 수취한 상압 반송 기구(4C)를 로드록 모듈(3A, 3B)의 하방측을 통과시켜서 로드록 모듈(3A, 3B)의 우측으로 이동시킨다. 게다가 상압 반송 기구(4C)를 우측의 반송로(31B)로부터 로드록 모듈(3A, 3B)에 진입시켜서 우측의 선반부(38)에 주고받는다.
이러한 진공 처리 장치에 있어서도, 웨이퍼(W)를 좌우 2열, 전후 2행으로 배치하는 로드록 모듈(3A, 3B)이 상압 반송실(2)의 웨이퍼(W)의 반송 영역과 상하로 겹쳐지도록 배치되기 때문에, 장치의 풋프린트를 억제할 수 있다. 또한, 상압 반송실(2)의 반송 기구로서는 상압 반송 기구(4C)만이 마련되기 때문에, 장치의 제조 코스트를 억제할 수 있다.
이상에 검토한 바와 같이, 금회 개시된 실시형태는 모든 점에서 예시이며 제한적인 것은 아닌 것으로 고려되어야 하는 것이다. 상기의 실시형태는 첨부의 청구범위 및 그 취지를 일탈하는 일 없이, 여러 가지 형태로 생략, 치환, 변경되어도 좋다.
1 : 반입출 포트
2 : 상압 반송실
3A, 3B : 로드록 모듈
6 : 처리 모듈
4A : 제 1 상압 반송 기구
4B : 제 2 상압 반송 기구
38A, 38B : 웨이퍼 보지부
9 : 진공 반송실
51 : 진공 반송 기구
20 : 정렬 모듈
21A, 21B : 냉각 모듈
W : 웨이퍼

Claims (19)

  1. 내부가 상압 분위기와 진공 분위기로 전환 가능하며, 좌우의 각각에 형성된 개폐 가능한 제 1 기판 반송구와 후방에 형성된 개폐 가능한 제 2 기판 반송구를 구비한 하우징과, 상기 하우징 내에서 기판을 좌우에 각각 보지하는 복수의 기판 보지부를 구비한 로드록 모듈과,
    상기 하우징의 후방에 접속되고, 상기 제 2 기판 반송구가 개구하는 진공 분위기의 진공 반송실과,
    상기 진공 반송실에 접속되고, 상기 기판을 진공 처리하기 위한 처리 모듈과,
    상기 로드록 모듈과 상기 진공 반송실과 상기 처리 모듈 사이에서 상기 기판을 반송하는 진공 반송 기구와,
    상기 각 제 1 기판 반송구가 개구하도록 상기 하우징의 상방 또는 하방을 넘어서 상기 하우징의 좌우 중 일방으로부터 타방에 걸쳐서 형성되고, 상기 하우징과 겹쳐지는 상기 기판의 반송 영역인 적층 반송 영역을 구비하는 상압 분위기의 상압 반송실과,
    상기 상압 반송실의 외측의 좌우에 각각 마련된, 상기 기판을 격납하는 반송 용기를 탑재하고, 상기 기판을 반입출하는 복수의 반입출 포트와,
    상기 적층 반송 영역을 경유하여 상기 각 반입출 포트에 탑재된 상기 반송 용기와 상기 각 기판 보지부 사이에서 상기 기판을 반송하는 상압 반송 기구를 구비한
    진공 처리 장치.
  2. 제 1 항에 있어서,
    상기 상압 반송 기구는,
    좌우 중 일방의 상기 반입출 포트와, 좌우 중 타방의 상기 기판 보지부 사이에서 상기 적층 반송 영역을 경유하여, 상기 기판의 주고받음을 실행하는
    진공 처리 장치.
  3. 제 1 항에 있어서,
    상기 적층 반송 영역에는, 상기 기판을 탑재하는 탑재부가 마련되는
    진공 처리 장치.
  4. 제 3 항에 있어서,
    상기 탑재부는 상기 로드록 모듈로 반송되는 처리 전의 상기 기판을 탑재하는 처리전 탑재부를 포함하는
    진공 처리 장치.
  5. 제 4 항에 있어서,
    상기 처리전 탑재부는 상기 기판과 상기 상압 반송 기구의 위치맞춤을 실행하기 위해서, 상기 상압 반송 기구로부터 수취한 상기 기판을 회전시키는 회전부와, 회전하는 상기 기판의 주연부를 포함하는 영역에 광을 조사하고, 상기 영역을 거쳐서 통과한 광을 수광하는 검출부를 포함하는 정렬 기구를 구비하는
    진공 처리 장치.
  6. 제 3 항에 있어서,
    상기 탑재부는 상기 로드록 모듈로부터 반출된 상기 기판을 대기시키는 처리후 대기부를 포함하는
    진공 처리 장치.
  7. 제 1 항에 있어서,
    상기 로드록 모듈은 복수, 상하로 겹쳐져서 마련되어 있는
    진공 처리 장치.
  8. 제 1 항에 있어서,
    상기 기판 보지부는 평면에서 바라볼 때 상기 기판의 배열 방향이 전후 및 좌우를 따른 2×2의 행렬 형상으로 상기 각 기판을 보지하도록 4개 마련되어 있는
    진공 처리 장치.
  9. 제 1 항에 있어서,
    상기 로드록 모듈은 상기 하우징의 내부가 좌측의 기판 보지부가 배치되는 공간과, 우측의 기판 보지부가 배치되는 공간으로 구획되어 있는
    진공 처리 장치.
  10. 제 1 항에 있어서,
    상기 반입출 포트는 상기 하우징에 대해서 좌측, 우측에 각각 마련되고, 또한 각 반입출 포트는 상압 반송실의 전방에 마련되어 있는
    진공 처리 장치.
  11. 제 1 항에 있어서,
    상기 상압 반송실은 상기 하우징의 하방을 넘어서 상기 하우징의 좌우 중 일방으로부터 타방으로 연신되고, 상기 적층 반송 영역은 상기 하우징의 하방에 형성되는
    진공 처리 장치.
  12. 제 1 항에 있어서,
    상기 상압 반송 기구는,
    상기 하우징에 대해서 좌우 중 일방에 마련되고, 상기 복수의 기판 보지부 중, 좌우 중 일방의 기판 보지부에 대한 상기 기판의 주고받음만을 실행하는 동시에 상기 적층 반송 영역에 마련되어 상기 기판을 탑재하는 탑재부에 대해서 상기 기판을 주고받는 제 1 상압 반송 기구와,
    상기 하우징에 대해서 좌우 중 타방에 마련되고, 상기 복수의 기판 보지부 중, 좌우 중 타방의 기판 보지부에 대한 상기 기판의 주고받음만을 실행하는 동시에 상기 탑재부에 대해서 상기 기판을 주고받는 제 2 상압 반송 기구를 구비하는
    진공 처리 장치.
  13. 제 12 항에 있어서,
    상기 탑재부는 상기 로드록 모듈로 반송되는 처리 전의 상기 기판을 탑재하는 처리전 탑재부를 구비하고,
    상기 처리전 탑재부에 대해서, 상기 제 1 상압 반송 기구 및 상기 제 2 상압 반송 기구가 상기 기판을 주고받음 가능하고,
    상기 제 1 상압 반송 기구 또는 제 2 상압 반송 기구에 의해 상기 처리전 탑재부에 순차적으로 반송된 기판은, 상기 제 1 상압 반송 기구, 제 2 상압 반송 기구의 각각에 의해 상기 로드록 모듈로 반송되는
    진공 처리 장치.
  14. 제 12 항에 있어서,
    상기 탑재부는, 상기 로드록 모듈로부터 반출된 상기 기판을 대기시키는 처리후 대기부와,
    상기 제 1 상압 반송 기구 및 제 2 상압 반송 기구로부터 주고받아진 처리완료의 상기 기판을 탑재하는 처리후 탑재부를 포함하고,
    상기 처리후 대기부는 상기 제 1 상압 반송 기구 및 상기 제 2 상압 반송 기구 중 제 1 상압 반송 기구만으로부터 상기 기판이 주고받아지는 제 1 처리후 대기부와,
    상기 제 1 상압 반송 기구 및 상기 제 2 상압 반송 기구 중 상기 제 2 상압 반송 기구만으로부터 상기 기판이 주고받아지는 제 2 처리후 대기부를 포함하고,
    상기 제 1 처리후 대기부 및 상기 제 2 처리후 대기부 중 일방으로부터 상기 처리후 탑재부에 상기 기판이 반송되고, 상기 제 1 상압 반송 기구 및 제 2 상압 반송 기구 중 상기 처리후 탑재부로의 반송에 이용한 상압 반송 기구와는 별개의 상압 반송 기구에 의해, 상기 기판을 상기 반송 용기로 반송하는
    진공 처리 장치.
  15. 제 1 항에 있어서,
    상기 복수의 반입출 포트는 상기 하우징의 좌우의 중심부보다 좌측, 우측에 각각 마련되고,
    상기 상압 반송 기구는 상기 각 반입출 포트에 공유되는
    진공 처리 장치.
  16. 제 15 항에 있어서,
    상기 상압 반송실에 있어서, 상기 하우징의 전방측에 상기 상압 반송 기구의 이동로가 형성되어 있는
    진공 처리 장치.
  17. 제 1 항에 있어서,
    상기 기판 보지부는 상기 하우징 내의 상하에 복수단으로 마련되는
    진공 처리 장치.
  18. 제 17 항에 있어서,
    상측 및 하측의 상기 기판 보지부 중 일방의 기판 보지부에는, 상기 상압 반송실로부터 상기 로드록 모듈로 반송되는 기판이 보지되고,
    상측 및 하측의 상기 기판 보지부 중 타방의 기판 보지부에는, 상기 진공 반송실로부터 상기 로드록 모듈로 반송된 기판이 보지되는
    진공 처리 장치.
  19. 내부가 상압 분위기와 진공 분위기로 전환 가능하며, 좌우의 각각에 형성된 개폐 가능한 제 1 기판 반송구와 후방에 형성된 개폐 가능한 제 2 기판 반송구를 구비한 하우징과, 상기 하우징 내에서 기판을 좌우에 각각 보지하는 복수의 기판 보지부를 구비한 로드록 모듈을 구비한 진공 처리 장치에서 상기 기판을 반송하는 기판 반송 방법에 있어서,
    상기 각 제 1 기판 반송구가 개구하도록 상기 하우징의 상방 또는 하방을 넘어서 상기 하우징의 좌우 중 일방으로부터 타방에 걸쳐서 형성되는 상압 분위기의 상압 반송실의 외측의 좌우에 각각 마련된 복수의 반입출 포트에, 상기 기판을 격납하는 반송 용기를 반입출하는 공정과,
    상압 반송 기구에 의해, 상기 상압 반송실에 있어서 하우징과 겹쳐지는 상기 기판의 반송 영역인 적층 반송 영역을 경유하여 상기 각 반입출 포트에 반입된 상기 반송 용기와 상기 각 기판 보지부 사이에서 상기 기판을 반송하는 공정과,
    진공 반송 기구에 의해, 상기 하우징의 후방에 접속되고, 상기 제 2 기판 반송구가 개구하는 진공 분위기의 진공 반송실과, 상기 진공 반송실에 접속되고, 상기 기판을 진공 처리하기 위한 처리 모듈과, 상기 로드록 모듈 사이에서 상기 기판을 반송하는 공정을 구비한
    기판 반송 방법.
KR1020217010580A 2018-09-21 2019-09-10 진공 처리 장치 및 기판 반송 방법 KR102491212B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2018177838A JP7210960B2 (ja) 2018-09-21 2018-09-21 真空処理装置及び基板搬送方法
JPJP-P-2018-177838 2018-09-21
PCT/JP2019/035548 WO2020059574A1 (ja) 2018-09-21 2019-09-10 真空処理装置及び基板搬送方法

Publications (2)

Publication Number Publication Date
KR20210055082A KR20210055082A (ko) 2021-05-14
KR102491212B1 true KR102491212B1 (ko) 2023-01-20

Family

ID=69887451

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217010580A KR102491212B1 (ko) 2018-09-21 2019-09-10 진공 처리 장치 및 기판 반송 방법

Country Status (5)

Country Link
US (1) US11688619B2 (ko)
JP (1) JP7210960B2 (ko)
KR (1) KR102491212B1 (ko)
CN (1) CN112689891A (ko)
WO (1) WO2020059574A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210119185A (ko) * 2020-03-24 2021-10-05 주식회사 원익아이피에스 이송로봇 및 이를 포함하는 기판처리시스템
JP7445509B2 (ja) 2020-04-27 2024-03-07 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
US11581203B2 (en) * 2020-09-02 2023-02-14 Applied Materials, Inc. Systems for integrating load locks into a factory interface footprint space

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101331288B1 (ko) 2010-08-06 2013-11-20 도쿄엘렉트론가부시키가이샤 기판 처리 시스템, 반송 모듈, 기판 처리 방법 및 반도체 소자의 제조 방법
KR101744372B1 (ko) 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
KR101814856B1 (ko) 2011-03-26 2018-01-04 도쿄엘렉트론가부시키가이샤 기판 처리 장치

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
JP2000012647A (ja) * 1998-06-19 2000-01-14 Sumitomo Eaton Noba Kk ウエハ搬送装置及びその方法
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
JP2007273620A (ja) * 2006-03-30 2007-10-18 Tokyo Electron Ltd 基板搬送装置及び基板処理装置
US20080105201A1 (en) * 2006-11-03 2008-05-08 Applied Materials, Inc. Substrate support components having quartz contact tips
US7949425B2 (en) * 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
US20090179366A1 (en) * 2008-01-16 2009-07-16 Sokudo Co., Ltd. Apparatus for supporting a substrate during semiconductor processing operations
JP4983745B2 (ja) * 2008-08-01 2012-07-25 東京エレクトロン株式会社 圧力調整装置、これを用いた処理システム及び圧力調整方法
JP5548430B2 (ja) * 2008-11-26 2014-07-16 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2010141000A (ja) * 2008-12-10 2010-06-24 Hitachi Kokusai Electric Inc 基板処理装置
JP2011049507A (ja) * 2009-08-29 2011-03-10 Tokyo Electron Ltd ロードロック装置及び処理システム
JP5549441B2 (ja) * 2010-01-14 2014-07-16 東京エレクトロン株式会社 保持体機構、ロードロック装置、処理装置及び搬送機構
JP5526988B2 (ja) * 2010-04-28 2014-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理システム
US9076644B2 (en) * 2011-01-18 2015-07-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus, substrate supporter and method of manufacturing semiconductor device
JP6003011B2 (ja) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
JP5686261B2 (ja) * 2011-07-29 2015-03-18 セメス株式会社SEMES CO., Ltd 基板処理装置及び基板処理方法
CN104271474B (zh) * 2011-12-16 2018-01-09 布鲁克斯自动化公司 输送设备
KR102359364B1 (ko) * 2012-02-10 2022-02-07 브룩스 오토메이션 인코퍼레이티드 기판 프로세싱 장치
JP2013171872A (ja) 2012-02-17 2013-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2013251416A (ja) * 2012-05-31 2013-12-12 Tokyo Electron Ltd 積層膜の製造方法及び真空処理装置
JP6358856B2 (ja) * 2014-05-29 2018-07-18 東京エレクトロン株式会社 静電吸着装置及び冷却処理装置
JP2016004834A (ja) * 2014-06-13 2016-01-12 東京エレクトロン株式会社 真空処理装置
JP2018006534A (ja) * 2016-06-30 2018-01-11 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6403722B2 (ja) * 2016-07-21 2018-10-10 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム
JP6747136B2 (ja) * 2016-07-22 2020-08-26 東京エレクトロン株式会社 基板処理装置
JP6739285B2 (ja) * 2016-08-24 2020-08-12 東京エレクトロン株式会社 基板処理装置
JP6863780B2 (ja) * 2017-03-10 2021-04-21 株式会社Screenホールディングス 熱処理方法および熱処理装置
JP2018174186A (ja) * 2017-03-31 2018-11-08 東京エレクトロン株式会社 基板処理装置
JP6881010B2 (ja) * 2017-05-11 2021-06-02 東京エレクトロン株式会社 真空処理装置
KR102030068B1 (ko) * 2017-10-12 2019-10-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP7032955B2 (ja) * 2018-02-28 2022-03-09 株式会社Screenホールディングス 熱処理方法
US11043403B2 (en) * 2018-04-06 2021-06-22 Semes Co., Ltd. Substrate support unit and substrate processing apparatus having the same including reflective member configured to reflect light toward substrate
JP7014055B2 (ja) * 2018-06-15 2022-02-01 東京エレクトロン株式会社 真空処理装置、真空処理システム、及び真空処理方法
JP7183635B2 (ja) * 2018-08-31 2022-12-06 東京エレクトロン株式会社 基板搬送機構、基板処理装置及び基板搬送方法
KR20200078116A (ko) * 2018-12-21 2020-07-01 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20220035964A (ko) * 2019-07-29 2022-03-22 어플라이드 머티어리얼스, 인코포레이티드 개선된 고온 척킹을 갖는 반도체 기판 지지부들

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101331288B1 (ko) 2010-08-06 2013-11-20 도쿄엘렉트론가부시키가이샤 기판 처리 시스템, 반송 모듈, 기판 처리 방법 및 반도체 소자의 제조 방법
KR101744372B1 (ko) 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
KR101814856B1 (ko) 2011-03-26 2018-01-04 도쿄엘렉트론가부시키가이샤 기판 처리 장치

Also Published As

Publication number Publication date
US20220044952A1 (en) 2022-02-10
US11688619B2 (en) 2023-06-27
CN112689891A (zh) 2021-04-20
TW202029390A (zh) 2020-08-01
KR20210055082A (ko) 2021-05-14
WO2020059574A1 (ja) 2020-03-26
JP2020053418A (ja) 2020-04-02
JP7210960B2 (ja) 2023-01-24

Similar Documents

Publication Publication Date Title
CN108933097B (zh) 真空输送组件和基片处理装置
JP4642619B2 (ja) 基板処理システム及び方法
KR102355575B1 (ko) 진공 처리 장치
KR102491212B1 (ko) 진공 처리 장치 및 기판 반송 방법
JP4860167B2 (ja) ロードロック装置,処理システム及び処理方法
KR102433472B1 (ko) 진공 반송 모듈 및 기판 처리 장치
KR20020019414A (ko) 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
JP2001524267A (ja) 複数のシングル・ウェーハ・ロードロック・ウェーハ加工装置ならびにそのローディングおよびアンローディングの方法
US20180286716A1 (en) Substrate Processing Apparatus
KR102244352B1 (ko) 기판 반송 기구, 기판 처리 장치 및 기판 반송 방법
KR20190020042A (ko) 기판 처리 장치
JP2018174210A (ja) 処理システム
KR19990076901A (ko) 열처리 장치
JP5486712B1 (ja) 基板搬送ボックス及び基板搬送装置
US9962840B2 (en) Substrate conveyance apparatus
TWI844566B (zh) 真空處理裝置及基板搬送方法
KR102141748B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 컴퓨터로 판독 가능한 기록 매체에 기록된 프로그램
JP7209503B2 (ja) 基板処理装置および基板処理方法
US20230080991A1 (en) Wafer processing apparatus including efem and method of processing wafer
JP2002043389A (ja) 基板処理装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant