JPH03125453A - 半導体ウエハ移送装置 - Google Patents

半導体ウエハ移送装置

Info

Publication number
JPH03125453A
JPH03125453A JP1263444A JP26344489A JPH03125453A JP H03125453 A JPH03125453 A JP H03125453A JP 1263444 A JP1263444 A JP 1263444A JP 26344489 A JP26344489 A JP 26344489A JP H03125453 A JPH03125453 A JP H03125453A
Authority
JP
Japan
Prior art keywords
wafer
vertical
wafer boat
boat
semiconductor wafers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP1263444A
Other languages
English (en)
Inventor
Kazuhiro Sakaya
坂屋 和裕
Shoichi Kodama
祥一 児玉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Toshiba Electronic Device Solutions Corp
Original Assignee
Toshiba Corp
Toshiba Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, Toshiba Microelectronics Corp filed Critical Toshiba Corp
Priority to JP1263444A priority Critical patent/JPH03125453A/ja
Priority to KR1019900015934A priority patent/KR940001150B1/ko
Priority to US07/593,963 priority patent/US5180273A/en
Publication of JPH03125453A publication Critical patent/JPH03125453A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • Y10S414/138Wafers positioned vertically within cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 [産業上の利用分野] 本発明は半導体ウェハ移送装置に係り、特に複数の半導
体ウェハを縦型拡散炉の炉芯管に挿脱するウェハボート
挿脱装置に半導体ウェハを移送する半導体ウェハ移送装
置に関する。
[従来の技術] 半導体素子は極めて多数の処理工程を経て製造される。
これらの処理工程のうちの一処理工程に半導体ウェハの
拡散処理工程がある。第5図はこの拡散処理工程を行う
従来の縦型拡散炉を示したもので、縦型拡散炉1の上部
には炉芯管2が設けられ、この炉芯管2の下方にはウェ
ハボートステージ3が昇降自在に設置されている。この
ウェハボートステージ3にはウェハボート4が垂直に載
置され、このウェハボート4には多数の半導体ウェハ5
が多段に、即ち互いに平行に保持されており、半導体ウ
ェハ5は図示のようにウェハホード4が垂直に載置され
たとき水平状態に保持される。
半導体ウェハ5をピンセット等を用いてウェハボート4
に収納した後、このウェハボート4をウェハボートステ
ージ3上に載置し、このウェハホードステージ3を上昇
させてウェハポー1−4を炉芯管2内に挿入する。この
状態で半導体ウェハ5に拡散処理を施した後に、ウェハ
ボートステージ3を下降させてウェハボート4から拡散
処理済みの半導体ウェハ5をピンセット等を用いて取出
す。
[発明が解決しようとする課題] ところが、従来の縦型拡散炉ではウェハボート4への半
導体ウェハ5の出入れをピンセット等を用いて行うため
、半導体ウェハ5にピンセット等による傷が付いたり、
微細な塵が発生し半導体ウェハ5に付着してしまうとい
う問題があった。
更に半導体ウェハ5のウェハボート4への出入れ及びこ
のウェハボート4のウェハボートステージ3への装脱は
いずれも手作業であるため、作業者は細心の注意を必要
とし作業能率が著しく低下するという問題も存在してい
た。特にこれは半導体ウェハ5の大径化に伴いより大き
な問題となる。
そこで、本発明の目的は半導体ウェハのウェハボートへ
の出入れ及びこのウェハボートの移送を自動化した半導
体ウェハ移送装置を提供することにある。
[課題を解決するための手段] この目的を達成するために請求項1記載の発明は、複数
の半導体ウェハを縦型拡散炉の炉芯管に挿脱することが
可能なウェハボート挿脱装置に上記半導体ウェハを移送
する半導体ウェハ移送装置において、半導体ウェハを多
段に収容するウェハキャリアをその収容した半導体ウェ
ハが垂直になるように載置するウェハキャリア載置部と
、複数の半導体ウェハを多段に保持可能なウェハホード
を水平に支持すると共にこの水平状態のウェハボートを
垂直状態に変換する水平垂直変換手段と、複数の半導体
ウェハを上記ウェハキャリア載置部の上記ウェハキャリ
アから上記垂直変換手段によって水平支持された上記ウ
ェハポー1・へ垂直状態のまま移し替える移し替え手段
と、上記垂直変換手段によって垂直状態にされた上記ウ
ェハポー1・を垂直状態のまま上記ウェハボート挿脱装
置に移送するウェハボート移送手段とを具備することを
特徴とするものである。
請求項2記載の発明は、複数の半導体ウェハを縦型拡散
炉の炉芯管に挿脱することが可能なウェハボート挿脱装
置に上記半導体ウェハを移送しかつそこから取出す半導
体ウェハ移送装置において、複数のウェハを多段に収容
するウェハキャリアをその収容した半導体ウェハが垂直
になるように載置するウェハキャリア載置部と、複数の
半導体ウェハを多段に保持可能なウェハボートを水平に
支持しかつこの水平状態のウェハボートを垂直状態に変
換すると共に垂直状態のウェハボートを上記水平状態に
変換する水平垂直変換手段と、複数の半導体ウェハを上
記ウェハキャリア載置部の上記ウェハキャリアと上記垂
直変換手段によって水平支持された上記ウェハボートと
の間で垂直状態のまま移し替える移し替え手段と、上記
水平垂直変換手段によって垂直状態にされた上記ウェハ
ボートを上記ウェハボート挿脱装置に垂直状態のまま移
送すると共に上記ウェハボートを上記ウェハボート挿脱
装置から上記垂直水平変換手段に垂直状態のまま移送す
るウェハボート移送手段とを具備することを特徴とする
ものである。
[作 用] 請求項1記載の発明の作用は以下の通りである。
即ち、半導体ウェハが多段に収容されたウェハキャリア
をその収容半導体ウェハが垂直になるようにウェハキャ
リア載置部に載置する。移し替え手段はウェハキャリア
載置部のウェハキャリアから半導体ウェハを垂直状態の
ままウェハボートに移し替える。この後に、垂直変換手
段がウェハボートを水平状態から垂直状態に変換すると
、移送手段かこの垂直状態のウェハボートを垂直状態の
ままウェハボート挿脱装置まで移送する。これによって
ウェハボート挿脱装置は垂直状態のウェハボートを炉芯
管に挿入して半導体ウェハを拡散処理することができる
このようにウェハキャリア内の半導体ウェハは自動的に
ウェハボートに移されると共に、このウェハボートも自
動的にウェハボート挿脱装置に移送される。
請求項2記載の発明の作用は以下の通りである。
即ち、ウェハボートをウェハボート挿脱装置まで移送す
るまでの作用は請求項1記載の発明と実質的に同一であ
る。拡散処理されたウェハボートは移送手段によってウ
ェハボー1・挿脱装置から水平垂直変換手段に垂直状態
のまま移送される。水平垂直変換手段は移送されて来た
ウェハボートを水平状態に変換する。この後、移し替え
手段は水平状態のウェハボートからウェハキャリア載置
部のウェハキャリアへ半導体ウェハを垂直状態のまま移
し替える。
こうして、ウェハキャリア内の半導体ウェハは自動的に
ウェハボートに移されて自動的にウェハボート挿脱装置
に移送されると共に、拡散処理後に再び自動的にウェハ
キャリア内に移される。
[実施例コ 次に本発明によるウェハボー1・移送装置の一実施例を
第5図と同部分には同一符号を付して示した第1図乃至
第4図を参照して説明する。
第1図において、縦型拡散炉1が複数個、本実施例では
4個並置され、各縦型拡散炉1には上下方向に延在した
炉芯管2が内蔵されている。この炉芯管2の下方にはウ
ェハボートステージ3が昇降可能に設置されている。こ
れらの縦型拡散炉1の一端に並置された基部6にはウェ
ハキャリア載置部7と移し替えヘッド8と水平垂直変換
手段9とが設置されている。−ロット分の半導体ウェハ
5を多段に、即ち互いに平行に収容しているウェハキャ
リア10は、その収容した半導体ウェハ5が垂直になる
ように上記ウェハキャリア載置部7に載置されている。
このウェハキャリア載置部7は本実施例では4個のウェ
ハキャリア]0を載置可能に構成されている。ウェハキ
ャリア載置部7に載置されたウェハキャリア10の下方
には第2図に示したように昇降可能な第1のウェハブツ
シャ1]か設けられており、このウェハブツシャ11は
上昇時にウェハキャリア10の開口10aを貫通してウ
ェハキャリア10内の一ロット分の半導体ウェハ5に当
接しそれらを上昇させてウェハキャリア10から移し替
えヘッド8内に移送する。
移し替えヘッド8は下端が開口した枠状体であり、昇降
支柱8Aによって上下方向および前後方向Aに移動可能
であると共に、ウェハキャリア載置部7の上方位置と水
平垂直変換手段9の上方位置との間を昇降支柱8Aを中
心として回動可能に構成されている。この移し替えヘッ
ド8は第2図に示したように矢印B方向に進退可能な′
ウェハストッパー12を具備し、このウェハストッパー
12は第1ウエハブツシヤ1]によって移し替えヘッド
8内に移動された半導体ウェハ5を移し替えヘッド8内
に保持する。
水平垂直変換手段9はウェハボート4を収納可能な枠体
形状を有し、第1図に示した水平位置ではウェハボート
4を水平状態に支持すると共に、第3図に示したように
上記水平位置9Aと垂直位置9Bとの間を回動可能に構
成されている。水平位置9Aの水平垂直変換手段9の下
方には、第2図に示したように第1ウエハブツシヤ11
と同一構成の第2のウェハブツシャ13が設けられてい
る。なお、ウェハボート4は第1図乃至第3図に示した
ように両端の円板4a、4aを複数の連結柱4bによっ
て連結した構成である。
複数の縦型拡散炉1と基部6との前部にはそれらに沿っ
てウェハボート移送部14が設置されている。このウェ
ハボート移送部14は僅かに窪んだ移送通路14aに沿
って移動されるウェハボート移送ステージ15を有する
。この移送ステージ15は、第3図に示したように矢印
C方向に昇降移動可能であり、第1図に示した位置にあ
る時に垂直位置の水平垂直変換手段9との間でウェハボ
ート4の受渡しを行う。
各縦型拡散炉1にはウェハボートハンドラ16か設置さ
れ、このウェハボートハンドラー16は第4図の前後方
向りに移動可能に構成され、移送ステージ15とウェハ
ボートステージ3との間でウェハボート4を移送する。
次にこの実施例の作用を説明する。
第1図において、−ロット分の半導体ウェハ5を夫々収
容した4個のウェハキャリア10は、収容半導体ウェハ
5が垂直(鉛直)になるようにウェハキャリア載置部7
に載置される。また空のウェハボート4が水平垂直変換
手段9に収容され水平に保持される。この状態で、移し
替えヘッド8が第2図に示したように一つのウェハキャ
リア10上に降下されると、第1ウエハブツシヤ11が
上昇し、ウェハキャリア10内の一ロツi・分の半導体
ウェハ5を上昇移動させ移し替えヘッド8内に挿入する
。この後に移し替えヘッド8のウェハストッパー12が
進入し半導体ウェハ5の下端に当接して半導体ウェハ5
を移し替えヘッド8内に保持する。この保持が行われる
と、第1ウエハブツシヤ11が下降しその後に移し替え
ヘッド8が上昇し回動して、水平垂直変換手段9に収容
さ1 2 れた空のウェハボート4の上方位置に至る。次いで、移
し替えヘッド8が空のウェハボート4上に下降すると、
第2図に示したように第2ウニハプツシヤ13が空のウ
ェハボート4の連結柱4bの間を通って上昇し移し替え
ヘッド8内の半導体ウェハ5を保持する。この後に、ウ
ェハストッパー12が退避され、第2ウエハブツシヤ]
3が下降されると、−ロット分の半導体ウェハ5がウェ
ハボート4に収容される。このような動作を残りの3個
のウェハキャリア10についても繰返すことによってす
べてのウェハキャリア10内の半導体ウェハ5が垂直状
態のまま水平垂直変換手段9内のウェハボート4に移し
替えられる。
この後に、第3図に示したように水平垂直変換手段9を
水平位置9Aから垂直位置9Bに回動してウェハボート
4を垂直状態にすると共に移送ステージ15の上に位置
させる。次いで、この移送ステージ15が上昇して垂直
状態のウェハボート4を載置すると同時に水平垂直変換
手段9が水平位置9Aまで回動する。移送ステージ15
が移送通路14aに沿って所定の縦型拡散炉1の前まで
移動された後に、第4図に示したようにウェハボートハ
ンドラー16が前方に移動しウェハボート4の下に位置
すると、移送ステージ15が降下してウェハボート4を
ウェハボートハンドラー16に載置させる。ウェハボー
ト4を載置したハンドラー16は後退してウェハボート
4をウェハホトステージ3の上に位置させる。
ウェハボートステージ3は上昇してウェハホト4を載置
し、炉芯管2に挿入し拡散処理を施す。
拡散処理終了後は、処理済みの半導体ウェハ5は上述の
動作と逆の動作によってウェハキャリア載置部7のウェ
ハキャリア10に戻される。
なお、上記実施例ではウェハキャリア10内の未処理の
半導体ウェハ5は拡散処理後に再びウェハキャリア載置
部7のウェハキャリア10内に戻される例であった。し
かしながら、本発明はこれに限られるものではなく半導
体ウェハ5は拡散処理後に別の経路を経て別のウェハキ
ャリア載置部の別のウェハキャリア内に収納してもよい
また、本明細書において「垂直状態のまま移送」もしく
は「垂直状態のまま移し替え」とは、半導体ウェハまた
はウェハボートをある地点から他の地点に移動する際に
その両地点で垂直状態を必ず保っていることを意味する
。従って、移動中にその両地点の間で垂直状態以外の状
態をとってもよい。
[発明の効果] 以上の説明から明らかなように本発明によれば、半導体
ウェハはウェハキャリアからウェハボートへの出入れが
自動的に行われ、かつウェハボートテーブル、即ちウェ
ハボート挿脱装置への移送も自動的に行われるため、作
業能率が大幅に向上すると共に、手作業によるウェハボ
ートへの出入れに伴う半導体ウェハの損傷や塵の発生が
防止され半導体ウェハの歩走りも向上する。
移し替えヘッドがウェハキャリアの上方に位置している
状態とウェハボートの上方に位置している状態とを示し
た正面図、第3図は上記実施例の水平垂直変換手段の動
作を説明するための説明図、第4図は上記実施例のウェ
ハボートハンドラーの動作を説明するだめの説明図、第
5図は従来の縦型拡散炉を示した斜視図である。
]・・・縦型拡散炉、2・・・炉芯管、3・・・ウェハ
ホト挿脱装置(ウェハボートテーブル)、4・・・ウェ
ハボート、5・・・半導体ウェハ、7・・・ウェハキャ
リア載置部、8・・・移し替え手段(移し替えヘッド)
、9・・・水平垂直変換手段、10・・・ウェハキャリ
ア、14.15・・・ウェハボート移送手段
【図面の簡単な説明】

Claims (1)

  1. 【特許請求の範囲】 1、複数の半導体ウェハを縦型拡散炉の炉芯管に挿脱す
    ることが可能なウェハボート挿脱装置に上記半導体ウェ
    ハを移送する半導体ウェハ移送装置において、半導体ウ
    ェハを多段に収容するウェハキャリアをその収容した半
    導体ウェハが垂直になるように載置するウェハキャリア
    載置部と、複数の半導体ウェハを多段に保持可能なウェ
    ハボートを水平に支持すると共にこの水平状態のウェハ
    ボートを垂直状態に変換する水平垂直変換手段と、複数
    の半導体ウェハを上記ウェハキャリア載置部の上記ウェ
    ハキャリアから上記垂直変換手段によって水平支持され
    た上記ウェハボートへ垂直状態のまま移し替える移し替
    え手段と、上記垂直変換手段によって垂直状態にされた
    上記ウェハボートを垂直状態のまま上記ウェハボート挿
    脱装置に移送するウェハボート移送手段とを具備するこ
    とを特徴とする半導体ウェハ移送装置。 2、複数の半導体ウェハを縦型拡散炉の炉芯管に挿脱す
    ることが可能なウェハボート挿脱装置に上記半導体ウェ
    ハを移送しかつそこから取出す半導体ウェハ移送装置に
    おいて、複数のウェハを多段に収容するウェハキャリア
    をその収容した半導体ウェハが垂直になるように載置す
    るウェハキャリア載置部と、複数の半導体ウェハを多段
    に保持可能なウェハボートを水平に支持しかつこの水平
    状態のウェハボートを垂直状態に変換すると共に垂直状
    態のウェハボートを上記水平状態に変換する水平垂直変
    換手段と、複数の半導体ウェハを上記ウェハキャリア載
    置部の上記ウェハキャリアと上記垂直変換手段によって
    水平支持された上記ウェハボートとの間で垂直状態のま
    ま移し替える移し替え手段と、上記水平垂直変換手段に
    よって垂直状態にされた上記ウェハボートを上記ウェハ
    ボート挿脱装置に垂直状態のまま移送すると共に上記ウ
    ェハボートを上記ウェハボート挿脱装置から上記垂直水
    平変換手段に垂直状態のまま移送するウェハボート移送
    手段とを具備することを特徴とする半導体ウェハ移送装
    置。
JP1263444A 1989-10-09 1989-10-09 半導体ウエハ移送装置 Pending JPH03125453A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP1263444A JPH03125453A (ja) 1989-10-09 1989-10-09 半導体ウエハ移送装置
KR1019900015934A KR940001150B1 (ko) 1989-10-09 1990-10-08 반도체웨이퍼 이송장치
US07/593,963 US5180273A (en) 1989-10-09 1990-10-09 Apparatus for transferring semiconductor wafers

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP1263444A JPH03125453A (ja) 1989-10-09 1989-10-09 半導体ウエハ移送装置

Publications (1)

Publication Number Publication Date
JPH03125453A true JPH03125453A (ja) 1991-05-28

Family

ID=17389595

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1263444A Pending JPH03125453A (ja) 1989-10-09 1989-10-09 半導体ウエハ移送装置

Country Status (3)

Country Link
US (1) US5180273A (ja)
JP (1) JPH03125453A (ja)
KR (1) KR940001150B1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017150078A (ja) * 2017-03-07 2017-08-31 ピコサン オーワイPicosun Oy Ald反応炉における基板の装填
US10161038B2 (en) 2012-11-23 2018-12-25 Picosun Oy Substrate loading in an ALD reactor
CN112736002A (zh) * 2020-12-31 2021-04-30 至微半导体(上海)有限公司 一种晶圆清洗设备晶圆片高速装载方法

Families Citing this family (273)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2697364B2 (ja) * 1991-04-30 1998-01-14 株式会社村田製作所 熱処理システム
JP3322912B2 (ja) * 1992-08-17 2002-09-09 東京エレクトロン株式会社 ウエハボート回転装置及びこれを用いた熱処理装置
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
WO1995030240A2 (en) * 1994-04-28 1995-11-09 Semitool, Incorporated Semiconductor processing systems
US5664337A (en) * 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems
EP0757843A1 (en) * 1994-04-28 1997-02-12 Semitool, Inc. Semiconductor processing system with wafer container docking and loading station
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
US6833035B1 (en) 1994-04-28 2004-12-21 Semitool, Inc. Semiconductor processing system with wafer container docking and loading station
US5544421A (en) * 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US5507614A (en) * 1995-03-02 1996-04-16 Cybeq Systems Holder mechanism for simultaneously tilting and rotating a wafer cassette
US5671530A (en) * 1995-10-30 1997-09-30 Delco Electronics Corporation Flip-chip mounting assembly and method with vertical wafer feeder
US6036426A (en) * 1996-01-26 2000-03-14 Creative Design Corporation Wafer handling method and apparatus
US6723174B2 (en) 1996-03-26 2004-04-20 Semitool, Inc. Automated semiconductor processing system
US6942738B1 (en) 1996-07-15 2005-09-13 Semitool, Inc. Automated semiconductor processing system
US5820366A (en) * 1996-07-10 1998-10-13 Eaton Corporation Dual vertical thermal processing furnace
US6645355B2 (en) 1996-07-15 2003-11-11 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6091498A (en) * 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6672820B1 (en) 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US5731678A (en) * 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines
US6203582B1 (en) 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US6491732B1 (en) * 1996-11-15 2002-12-10 Agere Systems Inc. Wafer handling apparatus and method
JPH10147432A (ja) * 1996-11-20 1998-06-02 Tokyo Electron Ltd カセットチャンバ
NL1005102C2 (nl) * 1997-01-27 1998-07-29 Advanced Semiconductor Mat Inrichting voor het behandelen van halfgeleiderschijven.
JP3548373B2 (ja) * 1997-03-24 2004-07-28 大日本スクリーン製造株式会社 基板処理装置
US7067018B2 (en) * 1997-05-05 2006-06-27 Semitool, Inc. Automated system for handling and processing wafers within a carrier
US6080050A (en) 1997-12-31 2000-06-27 Applied Materials, Inc. Carrier head including a flexible membrane and a compliant backing member for a chemical mechanical polishing apparatus
US5993302A (en) * 1997-12-31 1999-11-30 Applied Materials, Inc. Carrier head with a removable retaining ring for a chemical mechanical polishing apparatus
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
TW593731B (en) * 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
NL1011487C2 (nl) * 1999-03-08 2000-09-18 Koninkl Philips Electronics Nv Werkwijze en inrichting voor het roteren van een wafer.
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
JP4219562B2 (ja) * 1999-04-13 2009-02-04 セミトゥール・インコーポレイテッド ワークピースを電気化学的に処理するためのシステム
US6368475B1 (en) * 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US7585398B2 (en) * 1999-04-13 2009-09-08 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
JP3884201B2 (ja) * 1999-11-29 2007-02-21 株式会社神戸製鋼所 高温高圧処理装置
NL1013984C2 (nl) 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
AU2001259504A1 (en) * 2000-05-24 2001-12-03 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
EP1332349A4 (en) * 2000-07-07 2008-12-17 Semitool Inc AUTOMATED PROCESSING SYSTEM
KR20020019414A (ko) * 2000-09-05 2002-03-12 엔도 마코토 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
FR2823188B1 (fr) * 2001-04-06 2003-09-05 R2D Ingenierie Methode et manipulateur pour le transfert de supports de composants electroniques et/ou informatiques conformes en disques
US7114903B2 (en) * 2002-07-16 2006-10-03 Semitool, Inc. Apparatuses and method for transferring and/or pre-processing microelectronic workpieces
SG140470A1 (en) * 2002-09-30 2008-03-28 Lam Res Corp System for substrate processing with meniscus, vacuum, ipa vapor, drying manifold
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US6883250B1 (en) * 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
KR100826269B1 (ko) * 2006-06-13 2008-04-29 삼성전기주식회사 복합 소성로 및 이에 채용되는 승하강 장치
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US7585142B2 (en) 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
CN101414570B (zh) * 2007-10-18 2011-11-23 联华电子股份有限公司 半导体工艺方法以及半导体装置***
JP2012004536A (ja) * 2010-05-20 2012-01-05 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
US11508596B2 (en) * 2020-05-28 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and methods for automatically handling die carriers
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62130534A (ja) * 1985-12-02 1987-06-12 Deisuko Saiyaa Japan:Kk 縦型ウエ−ハ処理装置のためのウエ−ハ搬送装置
JPS6317521A (ja) * 1986-07-09 1988-01-25 Kokusai Electric Co Ltd ウエ−ハボ−トの搬送方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US33341A (en) * 1861-09-24 Improvement in loop-catches for sewing-machines
US4603897A (en) * 1983-05-20 1986-08-05 Poconics International, Inc. Vacuum pickup apparatus
US4611966A (en) * 1984-05-30 1986-09-16 Johnson Lester R Apparatus for transferring semiconductor wafers
JPS60258459A (ja) * 1984-06-04 1985-12-20 Deisuko Saiyaa Japan:Kk 縦型熱処理装置
JPS624142A (ja) * 1985-06-28 1987-01-10 Dainippon Screen Mfg Co Ltd 薄板材の移し替え装置
JPS62104049A (ja) * 1985-10-30 1987-05-14 Mitsubishi Electric Corp ベ−キング炉装置
US4770590A (en) * 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
JPS63244856A (ja) * 1987-03-31 1988-10-12 Toshiba Corp ウエハボ−トの移送装置
KR970008320B1 (ko) * 1987-11-17 1997-05-23 도오교오 에레구토론 가부시끼가이샤 열처리 장치
US4955775A (en) * 1987-12-12 1990-09-11 Tel Sagami Limited Semiconductor wafer treating apparatus
US5054988A (en) * 1988-07-13 1991-10-08 Tel Sagami Limited Apparatus for transferring semiconductor wafers
US4981436A (en) * 1988-08-08 1991-01-01 Tel Sagami Limited Vertical type heat-treatment apparatus
JP2683675B2 (ja) * 1989-01-26 1997-12-03 東京エレクトロン株式会社 搬送装置
JP2905857B2 (ja) * 1989-08-11 1999-06-14 東京エレクトロン株式会社 縦型処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62130534A (ja) * 1985-12-02 1987-06-12 Deisuko Saiyaa Japan:Kk 縦型ウエ−ハ処理装置のためのウエ−ハ搬送装置
JPS6317521A (ja) * 1986-07-09 1988-01-25 Kokusai Electric Co Ltd ウエ−ハボ−トの搬送方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10161038B2 (en) 2012-11-23 2018-12-25 Picosun Oy Substrate loading in an ALD reactor
US11280001B2 (en) 2012-11-23 2022-03-22 Picosun Oy Substrate loading in an ALD reactor
JP2017150078A (ja) * 2017-03-07 2017-08-31 ピコサン オーワイPicosun Oy Ald反応炉における基板の装填
CN112736002A (zh) * 2020-12-31 2021-04-30 至微半导体(上海)有限公司 一种晶圆清洗设备晶圆片高速装载方法
CN112736002B (zh) * 2020-12-31 2022-06-07 至微半导体(上海)有限公司 一种晶圆清洗设备晶圆片高速装载方法

Also Published As

Publication number Publication date
KR910007780A (ko) 1991-05-30
KR940001150B1 (ko) 1994-02-14
US5180273A (en) 1993-01-19

Similar Documents

Publication Publication Date Title
JPH03125453A (ja) 半導体ウエハ移送装置
US4915565A (en) Manipulation and handling of integrated circuit dice
US6341740B2 (en) Cutting-and-transferring system and pellet transferring apparatus
US6612801B1 (en) Method and device for arraying substrates and processing apparatus thereof
JPS636857A (ja) ウエ−ハ移し替え装置
JPH06271009A (ja) 移載装置
EP0302885A1 (en) Automatic wafer loading method and apparatus
JP2007317835A (ja) 基板搬送装置、基板処理システムおよび基板搬送方法
JPH10284577A (ja) 被処理基板の移載方法
JP3745064B2 (ja) 基板搬送装置およびそれを用いた基板搬送方法ならびに基板姿勢変換装置
JP4137244B2 (ja) 基板洗浄装置における搬送機構
JPH09172052A (ja) ウェーハ移載装置及びウェーハ移載方法
JPH05338728A (ja) ウエーハ搬送方法及び装置
JPS63244856A (ja) ウエハボ−トの移送装置
JP3483842B2 (ja) ウェーハ洗浄装置およびウェーハ洗浄方法
JPS5870546A (ja) 物品移換装置
JP3205525B2 (ja) 基板の取出装置,搬入装置及び取出搬入装置
JP2004296646A (ja) 基板処理装置
JP2550553Y2 (ja) 表面処理装置のウエハ移替装置
JP2506379B2 (ja) 搬送方法及び搬送装置
JP4254980B2 (ja) ウエハ移載装置
JPH0249718Y2 (ja)
JPH06329209A (ja) 半導体製造装置のウェーハカセット搬送装置
JP3730803B2 (ja) 基板姿勢変換装置および方法
JP3336225B2 (ja) 基板の処理システム及び処理方法