KR19990080759A - 반도체소자 제조용 식각설비의 멀티챔버 시스템 - Google Patents

반도체소자 제조용 식각설비의 멀티챔버 시스템 Download PDF

Info

Publication number
KR19990080759A
KR19990080759A KR1019980014228A KR19980014228A KR19990080759A KR 19990080759 A KR19990080759 A KR 19990080759A KR 1019980014228 A KR1019980014228 A KR 1019980014228A KR 19980014228 A KR19980014228 A KR 19980014228A KR 19990080759 A KR19990080759 A KR 19990080759A
Authority
KR
South Korea
Prior art keywords
wafer
transfer
chamber
semiconductor device
manufacturing
Prior art date
Application number
KR1019980014228A
Other languages
English (en)
Other versions
KR100265287B1 (ko
Inventor
김기상
정규찬
곽규환
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR1019980014228A priority Critical patent/KR100265287B1/ko
Priority to JP10293387A priority patent/JPH11307614A/ja
Priority to TW087117504A priority patent/TW502284B/zh
Priority to DE19901426A priority patent/DE19901426B4/de
Priority to DE29924811U priority patent/DE29924811U1/de
Priority to DE19964479A priority patent/DE19964479B4/de
Priority to US09/237,229 priority patent/US6503365B1/en
Publication of KR19990080759A publication Critical patent/KR19990080759A/ko
Application granted granted Critical
Publication of KR100265287B1 publication Critical patent/KR100265287B1/ko
Priority to US10/298,605 priority patent/US6930050B2/en
Priority to US11/167,175 priority patent/US20050236092A1/en
Priority to US11/246,591 priority patent/US20060026857A1/en
Priority to JP2006270706A priority patent/JP2007036284A/ja
Priority to JP2009062953A priority patent/JP5134575B2/ja
Priority to US12/425,426 priority patent/US20090203211A1/en
Priority to US12/512,106 priority patent/US7776226B2/en
Priority to JP2012137348A priority patent/JP5491579B6/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 이송통로를 중심으로 나란히 배열된 다수개의 공정챔버를 구비하여 설비면적을 최소화할 수 있는 반도체소자 제조용 식각설비의 멀티챔버 시스템에 관한 것이다.
본 발명에 따른 반도체소자 제조용 식각설비의 멀티챔버 시스템은, 웨이퍼를 적재한 카셋트가 안착되는 카셋트스테이지와, 상기 카셋트스테이지에 면접하여 웨이퍼의 이송에 필요한 공간을 갖는 장방형 이송통로와, 상기 이송통로의 측면에 나란히 배열되는 다수개의 공정챔버 및 상기 이송통로에 설치되고, 상기 카셋트스테이지에 적재된 웨이퍼를 상기 다수개의 공정챔버로 각각 로딩 및 언로딩시키는 것이 가능한 웨이퍼이송장치를 포함하여 이루어지는 것을 특징으로 한다.
따라서, 다수개의 공정챔버를 다층으로 직열배치하여 설비의 면적 및 설비폭을 획기적으로 축소할 수 있고, 불필요한 진공면적을 축소함으로써 장치비 및 설치비를 최소화할 수 있으며, 타공정설비와의 연결 및 공간활용이 용이하고, 웨이퍼의 이송속도가 향상되게 하는 효과를 갖는다.

Description

반도체소자 제조용 식각설비의 멀티챔버 시스템
본 발명은 반도체소자 제조용 식각설비의 멀티챔버 시스템에 관한 것으로서, 보다 상세하게는, 이송통로를 중심으로 나란히 배열된 다수개의 공정챔버를 구비하여 설비면적을 최소화할 수 있는 반도체소자 제조용 식각설비의 멀티챔버 시스템에 관한 것이다.
일반적으로 반도체 식각공정에서는, 공정의 효율성 및 공간의 활용을 증대시키기 위하여 여러 종류의 웨이퍼 가공작업이 다수개의 챔버에서 동시에 진행되는 것이 가능한 멀티챔버 시스템을 채택하여 사용되고 있다.
특히, 플라즈마를 이용한 건식식각공정에서 사용되는 멀티챔버 시스템은, 플라즈마의 생성을 위하여 고진공환경이 요구되는 다수개의 공정챔버를 구비하고, 저진공상태의 중앙챔버 내에서 상기 다수개의 진공챔버로 웨이퍼를 로딩 및 언로딩하는 챔버내이송장치를 구비하는 집중형 멀티챔버 시스템이다.
통상적인 종래의 반도체소자 제조용 식각설비의 멀티챔버 시스템을 도1에 도시하였다.
도1에서와 같이, 종래의 반도체소자 제조용 식각설비의 집중형 멀티챔버 시스템은, 중앙에 6각형의 중앙챔버(16)가 설치되고, 상기 중앙챔버(16)의 각진 측면에 각각 공정이 이루어지는 4개의 공정챔버(15)가 연결되어 설치되는 구성으로서, 상기 중앙챔버(16)와 각각의 공정챔버(15) 사이에는 각각 웨이퍼의 선택적인 출입이 자유로운 게이트(Gate)(도시하지 않음)가 설치되어 그를 통하여 웨이퍼가 안착된 중앙챔버(16)의 챔버내이송장치(14)이 상기 웨이퍼를 선택적으로 각각의 공정챔버(15)로 로딩 및 언로딩하는 것이 가능한 구성이다.
상기 중앙챔버(16)는 설치되는 공정챔버(15)의 갯수에 따라 4각, 5각, 7각 등이 가능하고, 여기서는 가장 일반적인 6각구조의 중앙챔버를 예시하였다.
또한, 각각의 상기 공정챔버(15)와 중앙챔버(16)는 진공압형성장치(도시하지 않음)가 설치되고, 상기 챔버내이송장치(14)는, 게이트의 개폐시 상기 공정챔버(15)의 진공압 손실을 최소화하기 위하여 진공의 환경 하에서 웨이퍼를 공정챔버(15)로 이송한다.
또한, 이러한 종래의 멀티챔버 시스템의 중앙챔버(16)의 일측면에, 저진공상태의 상기 중앙챔버(16)로 카셋트(11)내의 웨이퍼를 이송할 수 있도록 웨이퍼의 환경을 대기압에서 진공상태로 바꾸는 웨이퍼의 중간 대기장소인 로드락챔버(13)가 설치된다.
이러한 로드락챔버(13)는 통상 가공전의 웨이퍼가 적재되는 입력측 로르락챔버 및 가공을 마친 후의 웨이퍼가 적재되는 출력측 로드락챔버로 구성된다.
또한, 이러한 2개의 로드락챔버(13)에, 대기중에서 카셋트단위의 웨이퍼운반이 용이하도록 카셋트(11)가 안착되는 카셋트스테이지(12)가 연결되어 설치된다.
따라서, 종래의 반도체소자 제조용 식각설비의 멀티챔버 시스템은, 상기 카셋트스테이지(12)에 카셋트(11)가 안착되면, 작업자 또는 로드락챔버(13) 내부에 설치된 자동이송장치 등이 카셋트(11) 내의 웨이퍼를 로드락챔버(13)로 이송하게 되고, 로드락챔버(13)는 밀폐된 후 진공상태가 된다.
그리고, 상기 로드락챔버(13)가 일정한 수준의 진공상태에 도달되면, 상기 로드락챔버(13)의 게이트가 개방되고, 상기 중앙챔버(16)의 챔버내이송장치(14)이 웨이퍼를 이송암(도시하지 않음)에 안착시킨 후 특정 각도로 수평회전하여 특정 공정챔버로 이송하게 된다.
또한, 상기 공정챔버(15)내에 웨이퍼가 이송되면 상기 공정챔버(15)의 게이트가 밀폐된 후 고진공상태에서 공정이 수행되고, 공정을 마친 웨이퍼는 다시 챔버내이송장치(14)에 의해 역이송되어 상기 로드락챔버(13)에 적재된다.
여기서, 상기 특정 공정이 진행되는 도중에도 상기 챔버내이송장치(14)은 연속하여 다른 공정챔버(15)에 웨이퍼를 로딩 및 언로딩하는 것이 가능하다. 그러므로, 다수개의 공정챔버에서 동시에 다수개의 웨이퍼를 가공하는 것이 가능한 것이다.
그러나, 이러한 종래의 집중형 멀티챔버 시스템은, 상술한 바와 같이 6각형의 중앙챔버를 구성하는 데 있어서(기본적으로 4개의 공정챔버와 2개의 로드락챔버로 구성되는 경우), 중앙챔버가 차지하는 면적때문에 설비 전체의 면적은 물론, 제조 라인 내의 설비배치에 있어서 중시되는 설비폭(w)이 필요이상으로 증가되고, 중앙챔버를 진공상태로 유지시키는 데 필요한 진공설비의 규모가 증가되어 장치비 및 설치비가 증가된다.
또한, 이러한 중앙챔버의 면적은, 설치되는 공정챔버의 갯수가 증가함에 따라서 더욱 가중되는 것이다.(예를 들면 설치되는 동일크기의 공정챔버를 4개에서 6개로 증가시키려면 중앙챔버를 정8각형으로 구성하여야 하고, 이 경우 중앙챔버의 면적증가는 더욱 증대된다.)
때문에, 필요한 공정챔버의 갯수가 증가하면 상기와 같은 집중형 멀티챔버 시스템을 하나 더 추가하여 설치하게 된다.
그러나, 고가인 집중형 멀티챔버 시스템을 구입하는 구입비 및 설치비가 과중하게 소요되고, 필요이상으로 설비의 면적이 넓어지므로 설비의 바닥면적(Footprint)이 증가하여 고가의 유지비가 소요되는 청정실을 넓게 차지하게 되고, 공정챔버나 로드락챔버에 부설되는 각종의 공정가스 및 진공관련장치들이 중복되는 등의 문제점이 있었다.
따라서, 멀티챔버 시스템의 공정챔버의 갯수를 늘리는 방법이 다각도로 강구되었다.
이러한 집중형 멀티챔버 시스템의 공정챔버 갯수를 증가시키기 위한 종래의 접근방법 중 하나는, 도2에서와 같이, 각각 3개의 공정챔버(15)와 연결된 2개의 중앙챔버(16)를 서로 연결하고, 상기 2개의 중앙챔버를 서로 연결하기 위하여 연결로드락챔버(13)를 사이에 둔 형태로서, 종래의 집중형 멀티챔버 시스템(10)을 서로 연결하여 결합시키는 것이다.
그러나 상기와 같은 경우에도, 7개의 공정챔버(15)를 설치하는 데 있어서, 집중형 멀티챔버 시스템(10)을 하나 더 구입하여 설치하는 것 보다, 다소의 면적축소 효과는 있을지 모르나 여전히 고가의 유지비가 소요되는 청정실의 면적을 필요이상으로 낮고 넓게 차지하는 것은 물론이고, 공정챔버나 로드락챔버에 부설되는 각종의 공정가스 및 진공관련장치들이 중복되는 등의 문제점을 여전히 극복할 수 없었다.
또한, 도3에서와 같이, 구조적으로 카셋트스테이지가 설비의 전방에 배치되는 종래의 집중형 멀티챔버 시스템(10)이, 반도체 제조 라인 내에 타공정설비(20)와 함께 설치되면, 집중형 멀티챔버 시스템을 비롯한 타공정설비(20)의 카셋트스테이지가 모두 전방을 향하게 되므로 설비에서 설비로 카셋트를 운반하는 작업자 또는 자동카셋트 운반차 등이 반드시 필요하게 되어 부수적인 카셋트 운반장치를 구비하여야 하는 문제점이 있었다.
또한, 상술된 집중형 멀티챔버 시스템은, 챔버내이송장치가 진공의 환경하에서 웨이퍼를 이송하기 때문에 웨이퍼를 진공흡착할 수 없으므로 이송암이 웨이퍼를 단순히 중력지지하는 구성이고, 이동시 웨이퍼의 관성으로 인해 웨이퍼가 이탈되지 않도록 저속으로 이동하게 되므로 웨이퍼 이송속도가 매우 느린 문제점이 있었다.
본 발명은 상기와 같은 종래의 문제점을 해결하기 위한 것으로, 그 목적은 다수개의 공정챔버를 다층으로 직열배치하여 설비의 면적 및 설비폭을 획기적으로 축소할 수 있고, 불필요한 진공면적을 축소함으로써 장치비 및 설치비를 최소화할 수 있으며, 타공정설비와의 연결 및 공간활용이 용이하고, 웨이퍼의 이송속도가 향상되게 하는 반도체소자 제조용 식각설비의 멀티챔버 시스템을 제공함에 있다.
도1은 종래의 반도체소자 제조용 식각설비의 집중형 멀티챔버 시스템을 나타낸 평면도이다.
도2는 도1의 반도체소자 제조용 식각설비의 집중형 멀티챔버 시스템을 서로 연결하여 결합시킨 상태를 나타낸 평면도이다.
도3은 도1의 반도체소자 제조용 식각설비의 집중형 멀티챔버 시스템이 반도체 제조 라인 내에 설치된 상태를 나타낸 도면이다.
도4는 본 발명의 바람직한 제 1 실시예에 따른 반도체소자 제조용 식각설비의 멀티챔버 시스템을 나타낸 평면도이다.
도5는 도4의 사시도이다.
도6은 도5의 웨이퍼 이송상태를 개략적으로 도시한 측면도이다.
도7은 본 발명의 제 2 실시예에 따른 반도체소자 제조용 식각설비의 멀티챔버 시스템을 나타낸 평면도이다.
도8은 도7의 반도체소자 제조용 식각설비의 멀티챔버 시스템이 반도체 제조 라인 내에 설치된 일례를 나타낸 평면도이다.
도9는 도7의 반도체소자 제조용 식각설비의 멀티챔버 시스템이 연장된 상태를 나타낸 평면도이다.
도10은 본 발명의 제 3 실시예에 따른 반도체소자 제조용 식각설비의 멀티챔버 시스템이 반도체 제조 라인 내에 설치된 일례를 나타낸 평면도이다.
※ 도면의 주요 부분에 대한 부호의 설명
1: 웨이퍼 10: 집중형 멀티챔버 시스템
11, 41: 카셋트 12, 42: 카셋트스테이지
13, 43: 로드락챔버 14, 44: 챔버내이송장치
15, 45: 공정챔버 16: 중앙챔버
17: 연결로드락챔버 20: 타공정설비
40: 직열형 멀티챔버 시스템 46, 47, 48, 49, 50, 51: 게이트
52: 웨이퍼이송장치 53: 진공흡착이송암
54: 이송암 60: 제 1 카셋트스테이지
62: 제 1 웨이퍼이송장치 70: 제 2 카셋트스테이지
72: 제 2 웨이퍼이송장치 w, W: 설비폭
상기의 목적을 달성하기 위하여 본 발명의 반도체소자 제조용 식각설비의 멀티챔버 시스템은, 웨이퍼를 적재한 카셋트가 안착되는 카셋트스테이지와, 상기 카셋트스테이지에 면접하여 웨이퍼의 이송에 필요한 공간을 갖는 장방형 이송통로와, 상기 이송통로의 측면에 나란히 배열되는 다수개의 공정챔버 및 상기 이송통로에 설치되고, 상기 카셋트스테이지에 적재된 웨이퍼를 상기 다수개의 공정챔버로 각각 로딩 및 언로딩시키는 것이 가능한 웨이퍼이송장치를 포함하여 이루어지는 것을 특징으로 한다.
또한, 상기 공정챔버는, 다층을 이루는 것을 특징으로 하고, 일측면에 웨이퍼의 대기장소인 로드락챔버가 연결되어 설치되는 것이 가능하다.
여기서, 상기 로드락챔버는, 내부에 상기 웨이퍼이송장치로부터 웨이퍼를 인계받아 이를 상기 공정챔버로 이송하도록 웨이퍼가 안착되는 이송암 및 상기 이송암을 이동시키는 챔버내이송장치가 설치되고, 이송통로측 일면과 공정챔버측 일면에 각각 웨이퍼의 출입이 가능하고, 선택적인 개폐가 가능한 게이트가 형성될 수 있다.
또한, 상기 웨이퍼이송장치는, 웨이퍼를 선택적으로 파지하는 이송암과, 상기 이송암을 이동시킴으로써 상기 공정챔버에 웨이퍼를 로딩 및 언로딩시키는 것이 가능한 이송로봇과, 상기 이송로봇을 수평이동시키는 수평이동구동부 및 상기 이송로봇, 승하강구동부, 및 수평이동구동부에 제어신호를 인가하여 이들을 제어하는 제어부를 포함하여 이루어지는 것이 바람직하다.또한, 상기 웨이퍼이송장치는, 상기 제어부로부터 제어신호를 인가받아 제어되고, 상기 이송로봇을 승하강시키는 승하강구동부를 더 포함하여 이루어질 수 있다.
또한, 상기 이송암은, 웨이퍼를 진공흡착하는 것이 가능하도록 진공라인이 설치되는 것이 바람직하다.
또한, 상기 웨이퍼이송장치는, 상기 이송통로의 길이가 늘어날 경우 서로 인계받고, 인계하는 것이 가능한 복수개의 웨이퍼이송장치가 설치되는 것이 가능하고, 공정이 이루어지기 전의 웨이퍼를 적재한 카셋트가 안착되는 제 1 카셋트스테이지에서 상기 웨이퍼를 상기 공정챔버로 이송하고, 상기 공정챔버에서 공정을 마친 웨이퍼들을 다음 공정을 위한 웨이퍼 이송이 편리한 위치에 설치된 제 2 카셋트스테이지로 이송하는 것이 가능하다.
한편, 본 발명의 반도체소자 제조용 식각설비의 멀티챔버 시스템은, 웨이퍼를 적재한 카셋트가 안착되는 카셋트스테이지와, 상기 카세트스테이지에 면접하며 웨이퍼의 이송에 필요한 공간을 갖는 장방형 이송통로와, 웨이퍼의 가공이 이루어지는 장소로서, 상기 이송통로의 측면에 다층을 이루어 나란히 배열되는 다수개의 공정챔버 및 상기 이송통로에 설치되어 수직 및 수평왕복운동이 가능하고, 상기 카셋트스테이지에 적재된 웨이퍼를 상기 다수개의 공정챔버로 각각 로딩 및 언로딩시키는 것이 가능한 웨이퍼이송장치를 포함하여 이루어지는 것을 특징으로 한다.
여기서, 상기 웨이퍼이송장치는, 웨이퍼를 선택적으로 진공흡착하여 파지할 수 있도록 진공라인이 설치되는 이송암과, 상기 이송암을 이동시킴으로써 상기 공정챔버에 웨이퍼를 로딩 및 언로딩시키는 것이 가능한 이송로봇과 상기 이송로봇을 승하강시키는 승하강구동부와, 상기 이송로봇을 수평이동시키는 수평이동구동부 및 상기 이송로봇, 승하강구동부, 및 수평이동구동부에 제어신호를 인가하여 이들을 제어하는 제어부를 포함하여 이루어지는 것을 특징으로 한다.
한편, 본 발명의 반도체소자 제조용 식각설비의 멀티챔버 시스템은, 가공 전의 웨이퍼를 적재하는 카셋트가 안착되는 제 1 카셋트스테이지와, 상기 제 1 카세트스테이지에 면접하며, 웨이퍼의 이송에 필요한 공간을 갖는 장방형 이송통로와, 웨이퍼의 가공이 이루어지는 장소로서, 상기 이송통로의 측면에 다층을 이루어 나란히 배열되는 다수개의 공정챔버와, 상기 이송통로에 설치되어 수직 및 수평왕복운동이 가능하고, 상기 제 1 카셋트스테이지에 적재된 웨이퍼를 상기 다수개의 공정챔버로 각각 로딩 및 언로딩할 수 있는 웨이퍼이송장치 및 상기 제 1 카세트스테이지에 대향하여 상기 이송통로에 면접하는 가공 후의 웨이퍼를 적재하는 카셋트가 안착되는 제 2 카셋트스테이지를 포함하여 이루어지는 것을 특징으로 한다.
여기서, 상기 웨이퍼이송장치는, 웨이퍼를 선택적으로 진공흡착하여 파지할 수 있도록 진공라인이 설치되는 이송암과, 상기 이송암을 이동시킴으로써 상기 공정챔버에 웨이퍼를 로딩 및 언로딩시키는 것이 가능한 이송로봇과, 상기 이송로봇을 승하강시키는 승하강구동부와, 상기 이송로봇을 수평이동시키는 수평이동구동부 및 상기 이송로봇, 승하강구동부, 및 수평이동구동부에 제어신호를 인가하여 이들을 제어하는 제어부를 포함하여 이루어지는 것을 특징으로 한다.
이하, 본 발명의 구체적인 일 실시예를 첨부된 도면을 참조하여 상세히 설명한다.
도4는, 본 발명의 바람직한 제 1 실시예에 따른 반도체소자 제조용 식각설비의 멀티챔버 시스템을 나타낸 평면도이다.
먼저 도4를 참조하여 설면하면, 본 발명의 반도체소자 제조용 식각설비의 멀티챔버 시스템의 제 1 실시예는, 전방에 웨이퍼를 적재한 카셋트(41)가 안착되는 카셋트스테이지(42)와, 웨이퍼의 가공이 이루어지는 장소로서, 웨이퍼의 이송에 필요한 공간인 장방형 이송통로의 양측면에 나란히 다층으로 배열되는 8개의 공정챔버(45) 및 상기 이송통로에 설치되고, 상기 카셋트스테이지(42)에 적재된 웨이퍼를 상기 8개의 공정챔버(45)로 각각 로딩 및 언로딩시키는 것이 가능한 웨이퍼이송장치(52)를 포함하여 이루어진다.
여기서 카셋트스테이지(42)는, 상기 카셋트가 승하강할 수 있도록 카셋트엘리베이터가 설치될 수 있고, 카셋트 간의 위치를 교대할 수 있도록 좌우로 수평이동될 수 있다.
여기서, 상기 공정챔버(45)는, 단층인 구성도 가능하나 공간의 효율성을 고려하여 도5에 도시된 바와 같이 2층을 이루고, 각 층에는 각각 4개의 공정챔버(45)가 직열로 나란히 배열되는 구성이다.
이러한 본 발명의 멀티챔버 시스템(40)이 단층을 이루는 구성인 경우, 도1의 종래의 집중형 멀티챔버 시스템(10)과 비교하면, 종래와 같은 규격의 4개의 공정챔버(45)와 2개의 로드락챔버(43)를 설치하는 경우, 도4에서와 같이, 본 발명의 멀티챔버 시스템(40)의 설비폭(W)은 2개 공정챔버(45)의 폭과 1개 이송통로의 폭을 합한 것과 같으므로 종래의 필요이상으로 비대할 수 밖에 없었던 중앙챔버의 폭 대신에 웨이퍼 1장의 폭을 넘는 최소의 폭으로 제작할 수 있는 이송통로의 폭으로 대치할 수 있으므로 설비폭(W)을 최소화할 수 있게 됨은 물론이고, 이와 같은 설비폭(W)의 축소는 설비길이에도 적용할 수 있어서 종래의 중앙챔버의 길리를 로드락챔버(43)의 길이로 대치할 수 있고, 그 중 로드락챔버(43)의 형태도 웨이퍼 1장의 길이를 넘는 범위에서 정사각형으로 최소화하여 설비의 길이를 최소화할 수 있게 된다.
따라서, 설비폭(W)과 설비길이를 곱한 설비면적은 본 발명의 단층구조인 멀티챔버 시스템의 경우 종래와 비교하여 월등하게 축소되고, 더욱이 복층구조가 가능한 본 발명의 다층구조의 멀티챔버는, 설비를 더욱 콤팩트(Compact)하게 구성할 수 있는 것이다.
또한, 로드락챔버(43)의 공간을 최소화함에 따라 진공설비 등 부설장치의 용량을 줄이게 되어 장치비 및 설치비를 최소화할 수 있다.
또한, 상기 이송통로에는, 상기 웨이퍼이송장치(52)가 진공압을 이용하여 파지함으로써 웨이퍼를 고속이동시키는 것이 가능하도록 별도의 진공압형성장치를 설치할 필요가 없는 구성이다.
이처럼 상기 웨이퍼이송장치(52)가 설치되는 이송통로가 종래의 중앙챔버처럼 진공환경이 형성된 것이 아니므로 상기 공정챔버의 다층구조가 가능하고, 중앙챔버내에서 이송암이 웨이퍼를 단순히 중력지지하여 이동시 웨이퍼의 관성으로 인해 웨이퍼가 이탈되지 않도록 저속으로 이동하게 되는 종래의 경우보다 빠른 웨이퍼이송이 가능한 것이다.
한편, 베이스오븐(Base Oven)공정, 에싱(Ashing)공정 등 식각공정의 전후공정 등 비교적 저진공상태가 요구되는 상기 공정챔버의 경우에는, 웨이퍼의 출입이 가능하고, 선택적으로 개폐되도록 상기 이송통로방향으로 게이트(도시하지 않음)가 형성되는 것이 가능하다.
그러나, 일반적으로 건식식각공정이 수행되는 상기 공정챔버(45)는, 내부의 진공압을 형성하기 위한 진공압형성장치가 설치되므로 플라즈마를 형성하기 위하여 내부에 고진공환경을 형성하는 것이 가능한 고진공용 공정챔버를 설치하는 경우가 많다.
따라서, 대기압환경에 공정챔버의 내부가 직접노출되어 다시 고진공상태로 진공압이 형성되는 데 걸리는 시간 및 에너지 낭비를 최소화하기 위하여 상기 공정챔버(45)의 일측면에 웨이퍼의 대기장소로서 저진공이 형성되는 로드락챔버(43)를 연결하고, 로드락챔버(43)의 일면에 이송통로방향으로 게이트(46)(49)를 형성한다.
즉, 이러한 상기 로드락챔버(43)는, 내부에 상기 웨이퍼이송장치(52)로부터 웨이퍼를 인계받아 이를 상기 공정챔버로 이송하도록 웨이퍼가 안착되는 도6의 이송암(54) 및 상기 이송암(54)을 이동시키는 챔버내이송장치(44)이 설치되고, 이송통로측 일면에 웨이퍼의 출입이 가능하고, 선택적인 개폐가 가능한 도4의 게이트(46)(49)가 형성되고, 각각 2 개의 공정챔버측 일면에 웨이퍼의 출입이 가능하고, 선택적인 개폐가 가능한 게이트(47)(48)(50)(51)가 형성되는 구성이다.
여기서, 상기 로드락챔버(43)의 이송암 및 챔버내이송장치(44)는, 2개의 공정챔버에 각각 1개씩의 웨이퍼를 동시에 개별 이송할 수 있도록 상기 로드락챔버 내에 2개가 설치될 수 있다.
또한, 상기 로드락챔버(43)는, 상기 고진공환경의 공정챔버(45) 사이에 설치된 게이트(47)(48)(50)(51)가 열려서 웨이퍼가 이송될 때 상기 공정챔버 내부의 급격한 진공압 상쇄형상이 일어나지 않도록 상기 로드락챔버(43) 내부의 저진공압을 형성하기 위한 진공압형성장치(도시하지 않음)이 설치된다.
이러한 진공압형성장치는, 다양한 형태가 가능하고, 진공펌프를 이용하는 일반적인 진공압형성장치으로서, 당업자에 있어서, 실시가 용이한 것이므로 상세한 설명은 생략한다.
또한, 상기 공정챔버(45)는, 도4 및 도5에 도시된 바와 같이, 2개의 공정챔버(45)가 로드락챔버(43)의 전후에 위치하여 1개의 로드락챔버(43)를 공유하도록 하는 구성이지만, 3개 또는 다수개의 공정챔버가 1개의 로드락챔버를 공유하도록 하는 구성도 가능하다.
또한, 상기 공정챔버는, 공정챔버에서 가공을 마친 웨이퍼가 다음 공정이 이루어지는 공정챔버로 직접 이송될 수 있도록 게이트를 통하여 공정챔버와 공정챔버가 서로 연결되는 형태로서, 공정챔버와 공정챔버 간의 웨이퍼 이송이 가능한 형태로 제작되는 것도 가능한 것이다.
한편, 상기 이송통로에 설치되는 본 발명의 상기 웨이퍼이송장치(52)는, 웨이퍼를 선택적으로 파지하는 도6의 이송암(53)과, 상기 이송암(53)을 이동시킴으로써 상기 공정챔버에 웨이퍼를 로딩 및 언로딩시키는 것이 가능한 이송로봇(도시하지 않음)와, 상기 이송로봇을 수평이동시키는 수평이동구동부(도시하지 않음)와, 상기 이송로봇을 승하강시키는 승하강구동부(도시하지 않음) 및 상기 이송로봇, 승하강구동부, 및 수평이동구동부에 제어신호를 인가하여 이들을 제어하는 제어부(도시하지 않음)를 포함하여 이루어진다.
여기서, 상기 이송암(53)은, 웨이퍼를 선택적으로 진공흡착하는 것이 가능하도록 진공라인(도시하지 않음)이 설치된다.
또한, 상기 이송암(53)은, 도5에 도시된 바와 같이, 한번에 1개의 웨이퍼를 이송하도록 설치되는 것도 가능하나 웨이퍼의 이송시간을 단축시키기 위하여 각층에 각각 2개씩 설치된 로드락챔버에 각각 2개씩의 웨이퍼를 동시에 개별 이송할 수 있도록 4개가 연결되어 설치되는 포암시스템(4-Arm Ststem)인 것이 가능하다.
상기와 같은 4개의 웨이퍼를 독자적으로 이송하는 포암시스템(4-Arm Ststem)을 비롯하여 다양한 갯수의 웨이퍼를 동시에 이송할 수 있는 투암시스템(2-Arm Ststem), 쓰리암시스템(3-Arm Ststem) 등은, 반도체 공정에서 상용화된 기술로서, 당업자에 있어서 다양한 형태의 변경 및 실시가 가능함을 당연한 것이다.
여기서, 상기 수평이동구동부 및 승하강구동부 또한, 모터 또는 공압실린더를 구동원으로 하여 이동할 경로를 안내하는 레일 또는 가이드봉을 따라 수평이동하는 수평이동구동부에 다시 이동할 경로를 안내하는 레일 또는 가이드봉을 따라 승하강이동하는 승하강구동부에 상기 이송암 및 이송로봇이 설치되는 것으로 이 또한, 반도체 공정에서 상용화된 기술로서, 당업자에 있어서 다양한 형태의 변경 및 실시가 용이함을 당연한 것이므로 상세한 설명은 생략한다.
따라서, 상술한 바와 같은 구성을 갖는 본 발명의 반도체소자 제조용 식각설비의 멀티챔버 시스템은, 도6에서와 같이, 다수개의 웨이퍼를 적재한 카셋트(41)가 상기 카셋트스테이지(42)에 안착되면, 제어부의 제어신호를 인가받은 상기 웨이퍼이송장치(52)의 수평이동구동부 및 승하강구동부가 구동하여 상기 이송로봇을 상기 카셋트(41)내에 적재된 웨이퍼에 접근시킨다.
상기 웨이퍼에 웨이퍼이송장치(52)가 충분히 접근하면, 상기 이송로봇은, 제어부의 제어신호를 인가받아 상기 이송암(53)을 상기 웨이퍼에 접촉시키고, 진공라인이 설치된 상기 이송암(53)은 상기 웨이퍼를 진공흡착하여 일측면에 고정시킨다.
상기 이송암(53)에 고정된 웨이퍼가 1층의 특정 공정챔버(45)로 이송될 웨이퍼인 경우에는, 제어부가 상기 수평이동구동부를 제어하여 1층의 특정 공정챔버(45)와 연결된 로드락챔버(43)로 이송한다.
이때 상기 로드락챔버(43)의 이송통로방향으로 형성된 게이트(49)가 열리고, 상기 웨이퍼이송장치(52)의 이송암(53)이 삽입된 후 진공라인의 진공압이 끊어지면 상기 웨이퍼가 상기 로드락챔버(43) 내부의 이송암(54)에 안착된다.
상기 웨이퍼이송장치(52)의 이송암(53)이 상기 로드락챔버(43)를 빠져나가면, 상기 게이트(49)가 닫히고, 상기 로드락챔버(43)의 진공압형성장치가 가동하여 로드락챔버(43)의 내부를 저진공상태로 만든다.
상기 로드락챔버(43)가 일정수준의 저진공상태에 도달되면 상기 로드락챔버(43)의 공정챔버쪽 게이트(50)가 열리고, 상기 로드락챔버(43)의 챔버내이송장치(44)은, 상기 이송암(54)에 안착된 웨이퍼를 상기 공정챔버(45) 내로 이송한다.
이때 로드락챔버(43)의 저진공상환경으로 인하여 웨이퍼의 진공흡착은 어려우나 상기 로드락챔버(43)의 면적이 종래의 경우처럼 넓지 않기 때문에 이송암이 웨이퍼를 안착시키고 저속이동되는 구간이 상대적으로 매우 짧다.
상기 이송암(54)이 상기 공정챔버(45)를 빠져나가면 상기 게이트(50)가 닫히고, 상기 공정챔버(45)에 설치된 진공압형성장치가 가동하여 상기 공정챔버(45) 내에 고진공을 형성하여 식각공정이 수행되도록 한다.
한편, 상기 파지한 웨이퍼가 2층의 특정 공정챔버(45)로 이송될 웨이퍼인 경우에는, 제어부가 상기 수평이동구동부 및 승하강구동부를 제어하여 2층의 특정 공정챔버(45)와 연결된 로드락챔버(43)로 이송한다.
이때의 웨이퍼는 상기 이송로봇의 이송암(53)에 진공흡착된 상태로 승강하여 상기 로드락챔버(43) 내로 삽입된 후 이후의 과정은 상술된 1층의 이송경로와 같다.
이처럼 다수개의 공정챔버(45) 내로 웨이퍼를 이송하는 웨이퍼 로딩작업이 끝나면, 상기 웨이퍼이송장치(52)가 공정이 완료되는 순서대로 웨이퍼를 언로딩하여 다시 상기 카셋트스테이지(42)로 이송하거나 다음 공정이 수행되는 특정 층의 특정 공정챔버로 제어부의 제어신호를 인가받아 상기 웨이퍼를 이송하는 과정을 거치게 된다.
만약, 본 발명의 웨이퍼이송장치(52)에 포암시스템을 설치할 경우에는, 상기 웨이퍼이송장치(52)는, 상기 카셋트내에 적재된 웨이퍼를 4개씩 이송하여 특정 공정챔버와 연결된 로드락챔버로 각각 2개씩 이송하게 되고, 상기 챔버내이송장치 및 이송암은 투암시스템으로 설치되어 2개의 공정챔버에 각각 1개씩 웨이퍼를 이송한 후 공정이 끝나면 다시 웨이퍼이송장치(52)에 2개 또는 1개의 웨이퍼를 전달하여 후송가공이 이루어지도록 한다.
한편, 본 발명의 바람직한 제 2 실시예에 따른 반도체소자 제조용 식각설비의 멀티챔버 시스템은, 도7에 도시된 바와 같이, 가공 전의 웨이퍼를 적재하는 카셋트가 안착되는 제 1 카셋트스테이지(60)와, 가공 후의 웨이퍼를 적재하는 카셋트가 안착되는 제 2 카셋트스테이지(70)와, 웨이퍼의 가공이 이루어지는 장소로서, 웨이퍼의 이송에 필요한 공간인 장방형 이송통로의 양측면에 다층을 이루어 나란히 배열되는 다수개의 공정챔버(45) 및 상기 이송통로에 설치되어 수직 및 수평왕복운동이 가능하고, 상기 제 1 카셋트스테이지(60)에 적재된 웨이퍼를 상기 다수개의 공정챔버(45)로 각각 이송하고, 가공을 마친 웨이퍼를 상기 제 2 카셋트스테이지(70)로 이송하는 것이 가능한 이송로봇을 포함하는 웨이퍼이송장치(52)를 포함하여 이루어지는 구성이다.
이러한 본 발명의 제 2 실시예에 따른 멀티챔버 시스템은 상술된 제 1 실시예의 웨이퍼가공을 모두 마친 웨이퍼가 상기 제 2 카셋트스테이지(70)에 적재되어 도8에서와 같이 타공정설비(20)와 연결되는 것이 용이하도록 형성된 구성이다.
즉, 도8에 도시된 바와 같이, 설비의 전방에 설치된 제 1 카셋트스테이지(60)를 통해 본 발명의 멀티챔버 시스템에 공급되어 다수개의 공정챔버(45) 내에서 공정을 마친 웨이퍼가 설비의 후방에 설치된 제 2 카셋트스테이지(70)에 적재된 후 다시 타공정설비(20)의 자동이송장치에 의해 타공정설비(20)를 에서 타공정이 수행된 다음 상기 타공정설비(20)의 측방으로 이송되어 또 다른 타공정설비(20)를 거친 후 본 발명의 멀티챔버 시스템의 우측 전방의 타공정설비 카셋트스테이지에 적재되는 웨이퍼경로를 구성할 수 있는 것이다.
이는 본 발명의 제 2 실시예가 반도체 제조라인 내에 설치되는 일례로서, 본 발명의 제 2 실시예가 제조라인 내에 설치되는 경우, 다양한 형태의 적용이 가능한 것을 보여주고 있다.
따라서, 구조적으로 카셋트스테이지가 설비의 전방에 배치되는 종래의 집중형 멀티챔버 시스템의 카셋트스테이지가 모두 전방을 향하게 되므로 설비에서 설비로 카셋트를 운반하는 작업자 또는 자동카셋트 운반차 등이 반드시 필요하게 되어 부수적인 카셋트 운반장치를 구비하여야 하는 것과는 달리 본 발명의 제 2 실시예에 따르면 설비에서 설비로 카셋트를 운반하는 작업자 또는 자동카셋트 운반차 등의 부수적인 카셋트 운반장치를 없애거나 크게 줄일 수 있다는 이점이 있다.
또한, 도9에서와 같이, 본 발명의 제 2 실시예를 연장시켜서 설치되는 공정챔버(45)의 갯수를 더욱 증가시키는 경우에는 상기 이송통로를 연장하고, 또 여러개의 공정챔버(45)와 로드락챔버(43)를 상기 이송통로의 양측면에 직열로 나란히 더 배치하는 것이 가능하다.
이때, 상기 이송통로의 길이가 늘어날 경우 서로 인계받고, 인계하는 것이 가능한 2개의 제 1 웨이퍼이송장치(62) 및 제 2 웨이퍼이송장치(72)를 설치하는 것이 가능하다.
따라서, 종래의 집중형 멀티챔버 시스템과는 달리 설비폭은 변하지 않고, 이론적으로 설치되는 공정챔버를 무한히 증가시키는 것이 가능한 것이다. 그러나 이러한 경우 설비 길이의 한계와, 설비 제어의 한계 등의 제약이 있다.
한편, 본 발명의 바람직한 제 3 실시예에 따르면, 도10에서와 같이, 본 발명의 반도체소자 제조용 식각설비의 멀티챔버 시스템은, 웨이퍼를 적재한 카셋트가 안착되는 카셋트스테이지(42)와, 웨이퍼의 가공이 이루어지는 장소로서, 웨이퍼의 이송에 필요한 공간인 장방형 이송통로의 일측면에 다층을 이루어 나란히 배열되는 다수개의 공정챔버(45) 및 상기 이송통로에 설치되어 수직 및 수평왕복운동이 가능하고, 상기 카셋트스테이지에 적재된 웨이퍼를 상기 다수개의 공정챔버로 각각 로딩 및 언로딩시키는 것이 가능한 웨이퍼이송장치(52)를 포함하여 이루어지는 구성이다.
즉, 상기 공정챔버(45)는,
상기 이송통로의 일측면에만 다층으로 배열되는 구성으로서, 역시 일측면에 웨이퍼의 대기장소인 로드락챔버(43)가 연결된다.
또한, 상기 로드락챔버(43)는, 내부에 상기 웨이퍼이송장치(52)로부터 웨이퍼를 인계받아 이를 상기 공정챔버로 이송하도록 웨이퍼가 안착되는 이송암 및 상기 이송암을 이동시키는 챔버내이송장치가 설치되고, 이송통로측 일면과 공정챔버측 일면에 각각 웨이퍼의 출입이 가능하고, 선택적인 개폐가 가능한 게이트가 형성된다.
여기서, 본 발명의 제 3 실시예에 따른 상기 웨이퍼이송장치(52)의 구성은 본 발명의 제 1 실시예나 제 2 실시예와 같으나 다른점은 상기 공정챔버(45) 및 로드락챔버(43)가 상기 이송통로의 일측면에만 배치되어 있으므로 상기 웨이퍼이송장치(52)는 상기 카셋트스테이지(42)에서 웨이퍼를 진공흡착하여 90도 수평회전한 후 일측방향으로만 상기 웨이퍼를 로딩하게 된다.
또한, 상기 웨이퍼이송장치(52)는, 공정이 이루어지기 전의 웨이퍼를 적재한 카셋트가 안착되는 제 1 카셋트스테이지(60)에서 상기 웨이퍼를 상기 공정챔버(45)로 이송하고, 상기 공정챔버에서 공정을 마친 웨이퍼들을 다음 공정을 위한 웨이퍼 이송이 편리한 위치에 설치된 제 2 카셋트스테이지(70)로 이송한다.
즉, 이와 같은 제 2 카셋트스테이지(70)는 도10에 도시된 바와 같이 상기 공정챔버(45) 및 로드락챔버(43)가 설치된 이송통로의 일측방향에 대향하는 방향에 설치되어 공정을 마친 웨이퍼가 상기 타공정설비(20)에 공급되는 것이 용이하도록 형성된 구성이다.
따라서, 본 발명의 제 3 실시예에 따르면, 반도체 제조라인을 설치할 때 다양한 형태와 면적을 지닌 각종 설비들을 설치하고 남은 청정실의 자투리 땅에 효과적으로 적용하여 공간효율을 높이는 이점이 있는 것이다.
이상에서와 같이 본 발명에 따른 반도체소자 제조용 식각설비의 멀티챔버 시스템에 의하면, 다수개의 공정챔버를 다층으로 직열배치하여 설비의 면적 및 설비폭을 획기적으로 축소할 수 있고, 불필요한 진공면적을 축소함으로써 장치비 및 설치비를 최소화할 수 있으며, 타공정설비와의 연결 및 공간활용이 용이하고, 웨이퍼의 이송속도가 향상되게 하는 효과를 갖는 것이다.
이상에서 본 발명은 기재된 구체예에 대해서만 상세히 설명되었지만 본 발명의 기술사상 범위 내에서 다양한 변형 및 수정이 가능함은 당업자에게 있어서 명백한 것이며, 이러한 변형 및 수정이 첨부된 특허청구범위에 속함은 당연한 것이다.

Claims (30)

  1. 웨이퍼를 적재한 카셋트가 안착되는 카셋트스테이지;
    상기 카셋트스테이지에 면접하여 웨이퍼의 이송에 필요한 공간을 갖는 장방형 이송통로;
    상기 이송통로의 측면에 나란히 배열되는 다수개의 공정챔버; 및
    상기 이송통로에 설치되고, 상기 카셋트스테이지에 적재된 웨이퍼를 상기 다수개의 공정챔버로 각각 로딩 및 언로딩시키는 것이 가능한 웨이퍼이송장치;
    를 포함하여 이루어지는 것을 특징으로 하는 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  2. 제 1 항에 있어서,
    상기 공정챔버는,
    다층을 이루는 것을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  3. 제 1 항에 있어서,
    상기 공정챔버는,
    웨이퍼의 출입이 가능하고, 선택적으로 개폐되도록 상기 이송통로방향으로 게이트가 형성되는 것임을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  4. 제 1 항에 있어서,
    상기 공정챔버는,
    일측면에 웨이퍼의 대기장소인 로드락챔버가 연결되어 설치되는 것임을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  5. 제 4 항에 있어서,
    상기 로드락챔버는,
    내부에 상기 웨이퍼이송장치로부터 웨이퍼를 인계받아 이를 상기 공정챔버로 이송하도록 웨이퍼가 안착되는 이송암 및 상기 이송암을 이동시키는 챔버내이송장치가 설치되고, 이송통로측 일면과 공정챔버측 일면에 각각 웨이퍼의 출입이 가능하고, 선택적인 개폐가 가능한 게이트가 형성되는 것임을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  6. 제 5 항에 있어서,
    상기 이송암은,
    다수개의 웨이퍼를 동시에 개별 이송할 수 있도록 다수개가 설치되는 것을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  7. 제 4 항에 있어서,
    상기 로드락챔버는,
    내부의 진공압을 형성하기 위한 진공압형성장치가 설치되는 것을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  8. 제 4 항에 있어서,
    상기 공정챔버는,
    다수개의 공정챔버가 1개의 상기 로드락챔버를 공유하는 형태인 것임을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  9. 제 1 항에 있어서,
    상기 공정챔버는,
    공정챔버에서 가공을 마친 웨이퍼가 다음 공정이 이루어지는 공정챔버로 직접 이송될 수 있도록 게이트를 통하여 공정챔버와 공정챔버가 서로 연결되는 형태인 것임을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  10. 제 1 항에 있어서,
    상기 공정챔버는,
    내부의 진공압을 형성하기 위한 진공압형성장치가 설치되는 것을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  11. 제 1 항에 있어서,
    상기 웨이퍼이송장치는,
    웨이퍼를 선택적으로 파지하는 이송암;
    상기 이송암을 이동시킴으로써 상기 공정챔버에 웨이퍼를 로딩 및 언로딩시키는 것이 가능한 이송로봇;
    상기 이송로봇을 수평이동시키는 수평이동구동부; 및
    상기 이송로봇 및 수평이동구동부에 제어신호를 인가하여 이들을 제어하는 제어부;
    를 포함하여 이루어지는 것을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  12. 제 11 항에 있어서,
    상기 웨이퍼이송장치는,
    상기 제어부로부터 제어신호를 인가받아 제어되고, 상기 이송로봇을 승하강시키는 승하강구동부를 더 포함하여 이루어지는 것임을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  13. 제 11 항에 있어서,
    상기 이송암은,
    웨이퍼를 진공흡착하는 것이 가능하도록 진공라인이 설치되는 것을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  14. 제 11 항에 있어서,
    상기 이송암은,
    다수개의 웨이퍼를 동시에 개별 이송할 수 있도록 다수개가 설치되는 것을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  15. 제 11 항에 있어서,
    상기 수평이동구동부는,
    모터 또는 공압실린더를 포함하여 이루어지는 것을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  16. 제 11 항에 있어서,
    상기 승하강구동부는,
    모터 또는 공압실린더를 포함하여 이루어지는 것을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  17. 제 1 항에 있어서,
    상기 웨이퍼이송장치는,
    상기 이송통로의 길이가 늘어날 경우 서로 인계받고, 인계하는 것이 가능한 복수개의 웨이퍼이송장치가 설치되는 것임을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  18. 제 1 항에 있어서,
    상기 웨이퍼이송장치는,
    공정이 이루어지기 전의 웨이퍼를 적재한 카셋트가 안착되는 제 1 카셋트스테이지에서 상기 웨이퍼를 상기 공정챔버로 이송하고, 상기 공정챔버에서 공정을 마친 웨이퍼들을 다음 공정을 위한 웨이퍼 이송이 편리한 위치에 설치된 제 2 카셋트스테이지로 이송하는 것임을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  19. 웨이퍼를 적재한 카셋트가 안착되는 카셋트스테이지;
    상기 카세트스테이지에 면접하며 웨이퍼의 이송에 필요한 공간을 갖는 장방형 이송통로;
    웨이퍼의 가공이 이루어지는 장소로서, 상기 이송통로의 측면에 다층을 이루어 나란히 배열되는 다수개의 공정챔버; 및
    상기 이송통로에 설치되어 수직 및 수평왕복운동이 가능하고, 상기 카셋트스테이지에 적재된 웨이퍼를 상기 다수개의 공정챔버로 각각 로딩 및 언로딩시키는 것이 가능한 웨이퍼이송장치;
    를 포함하여 이루어지는 것을 특징으로 하는 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  20. 제 19 항에 있어서,
    상기 공정챔버는,
    2층 내지 5층 구조인 것을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  21. 제 19 항에 있어서,
    상기 공정챔버는,
    일측면에 웨이퍼의 대기장소인 로드락챔버가 연결되어 설치되는 것임을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  22. 제 21 항에 있어서,
    상기 로드락챔버는,
    내부에 상기 웨이퍼이송장치로부터 웨이퍼를 인계받아 이를 상기 공정챔버로 이송하도록 웨이퍼가 안착되는 이송암 및 상기 이송암을 이동시키는 챔버내이송장치가 설치되고, 이송통로측 일면과 공정챔버측 일면에 각각 웨이퍼의 출입이 가능하고, 선택적인 개폐가 가능한 게이트가 형성되는 것임을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  23. 제 22 항에 있어서,
    상기 이송암은,
    다수개의 웨이퍼를 동시에 개별 이송할 수 있도록 다수개가 설치되는 것을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  24. 제 19 항에 있어서,
    상기 웨이퍼이송장치는,
    웨이퍼를 선택적으로 진공흡착하여 파지할 수 있도록 진공라인이 설치되는 이송암;
    상기 이송암을 이동시킴으로써 상기 공정챔버에 웨이퍼를 로딩 및 언로딩시키는 것이 가능한 이송로봇;
    상기 이송로봇을 승하강시키는 승하강구동부;
    상기 이송로봇을 수평이동시키는 수평이동구동부; 및
    상기 이송로봇, 승하강구동부, 및 수평이동구동부에 제어신호를 인가하여 이들을 제어하는 제어부;
    를 포함하여 이루어지는 것을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  25. 제 24 항에 있어서,
    상기 이송암은,
    다수개의 웨이퍼를 동시에 개별 이송할 수 있도록 다수개가 설치되는 것을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  26. 제 24 항에 있어서,
    상기 승하강구동부 및 수평이동구동부는,
    모터 또는 공압실린더를 포함하여 이루어지는 것을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  27. 제 19 항에 있어서,
    상기 웨이퍼이송장치는,
    상기 이송통로의 길이가 늘어날 경우 서로 인계받고, 인계하는 것이 가능한 복수개의 웨이퍼이송장치가 설치되는 것임을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  28. 제 19 항에 있어서,
    상기 웨이퍼이송장치는,
    공정이 이루어지기 전의 웨이퍼를 적재한 카셋트가 안착되는 제 1 카셋트스테이지에서 상기 웨이퍼를 상기 공정챔버로 이송하고, 상기 공정챔버에서 공정을 마친 웨이퍼들을 다음 공정을 위한 웨이퍼 이송이 편리한 위치에 설치된 제 2 카셋트스테이지로 이송하는 것임을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  29. 가공 전의 웨이퍼를 적재하는 카셋트가 안착되는 제 1 카셋트스테이지;
    상기 제 1 카세트스테이지에 면접하며, 웨이퍼의 이송에 필요한 공간을 갖는 장방형 이송통로;
    웨이퍼의 가공이 이루어지는 장소로서, 상기 이송통로의 측면에 다층을 이루어 나란히 배열되는 다수개의 공정챔버;
    상기 이송통로에 설치되어 수직 및 수평왕복운동이 가능하고, 상기 제 1 카셋트스테이지에 적재된 웨이퍼를 상기 다수개의 공정챔버로 각각 로딩 및 언로딩할 수 있는 웨이퍼이송장치; 및
    상기 제 1 카세트스테이지에 대향하여 상기 이송통로에 면접하는 가공 후의 웨이퍼를 적재하는 카셋트가 안착되는 제 2 카셋트스테이지;
    를 포함하여 이루어지는 것을 특징으로 하는 반도체소자 제조용 식각설비의 멀티챔버 시스템.
  30. 제 29 항에 있어서,
    상기 웨이퍼이송장치는,
    웨이퍼를 선택적으로 진공흡착하여 파지할 수 있도록 진공라인이 설치되는 이송암;
    상기 이송암을 이동시킴으로써 상기 공정챔버에 웨이퍼를 로딩 및 언로딩시키는 것이 가능한 이송로봇;
    상기 이송로봇을 승하강시키는 승하강구동부;
    상기 이송로봇을 수평이동시키는 수평이동구동부; 및
    상기 이송로봇, 승하강구동부, 및 수평이동구동부에 제어신호를 인가하여 이들을 제어하는 제어부;
    를 포함하여 이루어지는 것을 특징으로 하는 상기 반도체소자 제조용 식각설비의 멀티챔버 시스템.
KR1019980014228A 1998-04-21 1998-04-21 반도체소자 제조용 식각설비의 멀티챔버 시스템 KR100265287B1 (ko)

Priority Applications (15)

Application Number Priority Date Filing Date Title
KR1019980014228A KR100265287B1 (ko) 1998-04-21 1998-04-21 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP10293387A JPH11307614A (ja) 1998-04-21 1998-10-15 半導体素子製造用エッチング設備のマルチチャンバーシステム
TW087117504A TW502284B (en) 1998-04-21 1998-10-22 Multichamber system of etching facility for manufacturing semiconductor device
DE29924811U DE29924811U1 (de) 1998-04-21 1999-01-18 Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen
DE19964479A DE19964479B4 (de) 1998-04-21 1999-01-18 Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen
DE19901426A DE19901426B4 (de) 1998-04-21 1999-01-18 Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen
US09/237,229 US6503365B1 (en) 1998-04-21 1999-01-26 Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing
US10/298,605 US6930050B2 (en) 1998-04-21 2002-11-19 Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing
US11/167,175 US20050236092A1 (en) 1998-04-21 2005-06-28 Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing
US11/246,591 US20060026857A1 (en) 1998-04-21 2005-10-11 Multi-chambers system having compact installation set-up for an etching facility for semiconductor device manufacturing
JP2006270706A JP2007036284A (ja) 1998-04-21 2006-10-02 半導体装置素子の製造方法
JP2009062953A JP5134575B2 (ja) 1998-04-21 2009-03-16 マルチ−チャンバーシステムで半導体素子を製造する方法
US12/425,426 US20090203211A1 (en) 1998-04-21 2009-04-17 Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing
US12/512,106 US7776226B2 (en) 1998-04-21 2009-07-30 Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing
JP2012137348A JP5491579B6 (ja) 1998-04-21 2012-06-18 マルチ−チャンバーシステムで半導体素子を製造する方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019980014228A KR100265287B1 (ko) 1998-04-21 1998-04-21 반도체소자 제조용 식각설비의 멀티챔버 시스템

Publications (2)

Publication Number Publication Date
KR19990080759A true KR19990080759A (ko) 1999-11-15
KR100265287B1 KR100265287B1 (ko) 2000-10-02

Family

ID=19536537

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980014228A KR100265287B1 (ko) 1998-04-21 1998-04-21 반도체소자 제조용 식각설비의 멀티챔버 시스템

Country Status (5)

Country Link
US (5) US6503365B1 (ko)
JP (3) JPH11307614A (ko)
KR (1) KR100265287B1 (ko)
DE (2) DE19964479B4 (ko)
TW (1) TW502284B (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100422467B1 (ko) * 2001-05-09 2004-03-12 삼성전자주식회사 반도체장치 제조설비
KR100438502B1 (ko) * 1999-11-30 2004-07-03 도쿄 일렉트론 가부시키가이샤 웨이퍼 가공 시스템
KR100454393B1 (ko) * 2001-12-18 2004-10-26 코스텍시스템(주) 복층 수직형 매엽식 반도체 웨이퍼 처리장치
KR100566697B1 (ko) * 2003-08-05 2006-04-03 삼성전자주식회사 반도체 소자 제조용 멀티 챔버 시스템 및 이를 이용한반도체 소자의 제조방법
KR100717990B1 (ko) * 2007-01-16 2007-05-14 (주)인터노바 반도체 자재 처리를 위한 이송 시스템
KR100756152B1 (ko) * 1999-06-18 2007-09-05 동경 엘렉트론 주식회사 반송 모듈 및 클러스터 시스템
KR100829920B1 (ko) * 2006-07-25 2008-05-16 세메스 주식회사 복층 구조의 반도체 제조 설비 및 그의 웨이퍼 이송 방법
CN114777427A (zh) * 2022-05-10 2022-07-22 星恒电源股份有限公司 一种方形叠片锂离子电池电芯的干燥方法

Families Citing this family (365)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6919001B2 (en) * 2000-05-01 2005-07-19 Intevac, Inc. Disk coating system
TW512421B (en) * 2000-09-15 2002-12-01 Applied Materials Inc Double dual slot load lock for process equipment
US6790286B2 (en) * 2001-01-18 2004-09-14 Dainippon Screen Mfg. Co. Ltd. Substrate processing apparatus
KR100398877B1 (ko) * 2001-05-09 2003-09-19 삼성전자주식회사 현상기 소음 및 진동방지구조를 갖는 화상형성장치
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
JP4078813B2 (ja) * 2001-06-12 2008-04-23 ソニー株式会社 成膜装置および成膜方法
US20030022498A1 (en) * 2001-07-27 2003-01-30 Jeong In Kwon CMP system and method for efficiently processing semiconductor wafers
KR100440762B1 (ko) * 2001-08-30 2004-07-21 주성엔지니어링(주) 적층식 다중 클러스터 장비
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
JP2003183728A (ja) * 2001-12-14 2003-07-03 Jh Corp 真空熱処理装置
JP2003293134A (ja) * 2002-04-09 2003-10-15 Tdk Corp 薄膜形成装置および方法、および当該装置を用いた電子部品の製造方法
TWI294155B (en) * 2002-06-21 2008-03-01 Applied Materials Inc Transfer chamber for vacuum processing system
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
TWI304391B (en) * 2002-07-22 2008-12-21 Brooks Automation Inc Substrate processing apparatus
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
JP2006506818A (ja) * 2002-11-15 2006-02-23 ユナキス・バルツェルス・アクチェンゲゼルシャフト 二次元的に拡大化された基板を真空処理するための装置および同基板の製造方法
US6939403B2 (en) * 2002-11-19 2005-09-06 Blue29, Llc Spatially-arranged chemical processing station
US6822244B2 (en) * 2003-01-02 2004-11-23 Loma Linda University Medical Center Configuration management and retrieval system for proton beam therapy system
US7196507B2 (en) * 2003-08-28 2007-03-27 Suss Microtec Testsystems (Gmbh) Apparatus for testing substrates
US20050194096A1 (en) * 2003-08-29 2005-09-08 Crossing Automation, Inc. Method and apparatus for semiconductor processing
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7210246B2 (en) 2003-11-10 2007-05-01 Blueshift Technologies, Inc. Methods and systems for handling a workpiece in vacuum-based material handling system
KR100578134B1 (ko) * 2003-11-10 2006-05-10 삼성전자주식회사 멀티 챔버 시스템
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US8639365B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US20070282480A1 (en) 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8313277B2 (en) * 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US7274429B2 (en) * 2003-12-10 2007-09-25 Asml Netherlands B.V. Integrated lithographic fabrication cluster
CN103199039B (zh) * 2004-06-02 2016-01-13 应用材料公司 电子装置制造室及其形成方法
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7611322B2 (en) * 2004-11-18 2009-11-03 Intevac, Inc. Processing thin wafers
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
SG163544A1 (en) * 2005-03-30 2010-08-30 Panasonic Corp Impurity introducing apparatus and impurity introducing method
US9099506B2 (en) * 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
KR100758298B1 (ko) * 2006-03-03 2007-09-12 삼성전자주식회사 기판 처리 장치 및 방법
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8741096B2 (en) * 2006-06-29 2014-06-03 Wonik Ips Co., Ltd. Apparatus for semiconductor processing
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
US20080219811A1 (en) * 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
DE102007022431A1 (de) 2007-05-09 2008-11-13 Leybold Optics Gmbh Behandlungssystem für flache Substrate
JP5006122B2 (ja) 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP5128918B2 (ja) 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
US20090162170A1 (en) * 2007-12-19 2009-06-25 Asm Japan K.K. Tandem type semiconductor-processing apparatus
JP5001828B2 (ja) 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
JP5179170B2 (ja) 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5405235B2 (ja) * 2008-09-05 2014-02-05 Ntn株式会社 生産設備及び生産システム
US20100116205A1 (en) * 2008-11-07 2010-05-13 Jacob Newman Process equipment architecture
JP4920667B2 (ja) * 2008-12-03 2012-04-18 アドヴァンスド・ディスプレイ・プロセス・エンジニアリング・コーポレーション・リミテッド 基板処理装置
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101146981B1 (ko) * 2009-06-02 2012-05-22 삼성모바일디스플레이주식회사 증착 장치 및 그 제어 방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8602706B2 (en) * 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
JP5358366B2 (ja) 2009-09-14 2013-12-04 東京エレクトロン株式会社 基板処理装置及び方法
JP2013529383A (ja) * 2010-05-07 2013-07-18 ナノセミコン カンパニー リミテッド 一体型半導体処理装置
JP5503006B2 (ja) * 2010-08-06 2014-05-28 東京エレクトロン株式会社 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法
CN102569011A (zh) * 2010-12-13 2012-07-11 北京北方微电子基地设备工艺研究中心有限责任公司 晶片处理***和晶片处理方法
JP5395841B2 (ja) * 2011-04-08 2014-01-22 美津濃株式会社 シューズのアッパー構造
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI725303B (zh) * 2012-02-10 2021-04-21 美商布魯克斯自動機械公司 基材處理設備
US9293317B2 (en) 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014093489A (ja) * 2012-11-06 2014-05-19 Tokyo Electron Ltd 基板処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20150064911A1 (en) 2013-08-27 2015-03-05 Tokyo Electron Limited Substrate processing method, substrate processing apparatus and storage medium
KR20160083084A (ko) 2013-11-04 2016-07-11 어플라이드 머티어리얼스, 인코포레이티드 증가된 개수의 측들을 갖는 이송 챔버들, 반도체 디바이스 제조 프로세싱 툴들, 및 프로세싱 방법들
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9818633B2 (en) * 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105870008B (zh) * 2016-04-18 2018-10-23 武汉华星光电技术有限公司 蚀刻设备及蚀刻方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP2018006534A (ja) * 2016-06-30 2018-01-11 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216623B (zh) * 2019-07-10 2022-12-23 长鑫存储技术有限公司 刻蚀机台及其控制方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2022018359A (ja) * 2020-07-15 2022-01-27 株式会社Screenホールディングス 基板処理装置
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR102571741B1 (ko) * 2020-09-18 2023-08-25 세메스 주식회사 기판 처리 장치 및 이를 구비하는 기판 처리 시스템
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11598579B2 (en) 2021-07-01 2023-03-07 King Yuan Dar Metal Enterprise Co., Ltd. Continuous working system
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230317478A1 (en) * 2022-03-11 2023-10-05 Applied Materials, Inc. Modular multi-chamber processing tool having link chamber for ultra high vaccum processes
KR102510315B1 (ko) 2022-12-27 2023-03-15 주식회사 우원기술 로드락 장치 및 이를 이용한 반도체 제조 시스템

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
ATE102397T1 (de) * 1986-12-19 1994-03-15 Applied Materials Inc Integriertes bearbeitungssystem mit vielfachkammer.
JPH03274746A (ja) * 1990-03-24 1991-12-05 Sony Corp マルチチャンバ装置
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
KR0155172B1 (ko) * 1990-10-31 1998-12-01 이노우에 다케시 판형상체 반송장치
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH081923B2 (ja) 1991-06-24 1996-01-10 ティーディーケイ株式会社 クリーン搬送方法及び装置
JP3238432B2 (ja) * 1991-08-27 2001-12-17 東芝機械株式会社 マルチチャンバ型枚葉処理装置
US5376212A (en) * 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
JP3030160B2 (ja) * 1992-04-28 2000-04-10 東京エレクトロン株式会社 真空処理装置
JPH06136669A (ja) 1992-10-27 1994-05-17 Yuko Teramura ウールの植物染色法
JP3514391B2 (ja) * 1992-11-20 2004-03-31 東京エレクトロン株式会社 気密室、及び、気密室の圧力制御方法
JPH06188229A (ja) * 1992-12-16 1994-07-08 Tokyo Electron Yamanashi Kk エッチングの後処理方法
US5431529A (en) * 1992-12-28 1995-07-11 Brooks Automation, Inc. Articulated arm transfer device
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
JP3654597B2 (ja) 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
US5518542A (en) * 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
JPH07211763A (ja) 1994-01-18 1995-08-11 Hitachi Ltd マルチチャンバ装置およびその制御方法
JPH07297194A (ja) * 1994-04-25 1995-11-10 Sony Corp マルチチャンバー装置及び半導体装置の製造方法
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
JPH081923A (ja) 1994-06-21 1996-01-09 Sanyo Electric Co Ltd 立体静止画像のプリント方法
KR0129582B1 (ko) * 1994-06-23 1998-04-06 김주용 다중 기판 전달 장치
TW295677B (ko) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
TW309503B (ko) * 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100244041B1 (ko) * 1995-08-05 2000-02-01 엔도 마코토 기판처리장치
US5788868A (en) * 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
JP3218425B2 (ja) * 1996-03-25 2001-10-15 東京エレクトロン株式会社 処理方法及び処理装置
US5765983A (en) * 1996-05-30 1998-06-16 Brooks Automation, Inc. Robot handling apparatus
TW344847B (en) * 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
JP3947761B2 (ja) * 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
KR100234539B1 (ko) * 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JPH1145929A (ja) 1997-05-30 1999-02-16 Sharp Corp プラズマ処理装置
KR19990025706A (ko) 1997-09-13 1999-04-06 윤종용 마이크로카드형 패키지 및 그 제조방법
US6722834B1 (en) * 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
EP1049640A4 (en) * 1997-11-28 2008-03-12 Mattson Tech Inc SYSTEMS AND METHODS FOR HANDLING WORKPIECES FOR VACUUM PROCESSING AT HIGH FLOW RATE AND LOW CONTAMINATION
JPH11312640A (ja) 1998-02-25 1999-11-09 Canon Inc 処理装置および該処理装置を用いたデバイス製造方法
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6358128B1 (en) 1999-03-05 2002-03-19 Ebara Corporation Polishing apparatus
US6606210B1 (en) 1999-04-21 2003-08-12 Seagate Technology Llc Intelligent sector recovery algorithm
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4220173B2 (ja) 2002-03-26 2009-02-04 株式会社日立ハイテクノロジーズ 基板の搬送方法
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US6939403B2 (en) 2002-11-19 2005-09-06 Blue29, Llc Spatially-arranged chemical processing station
JP4272232B2 (ja) * 2003-10-08 2009-06-03 セメス・カンパニー・リミテッド 多層構造を有する基板洗浄設備
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US20060182535A1 (en) 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
CN102176425B (zh) 2005-04-22 2013-02-06 应用材料公司 笛卡尔机械臂群集工具架构
WO2007112454A2 (en) 2006-03-28 2007-10-04 Stratusys Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US8398355B2 (en) 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US20080166210A1 (en) * 2007-01-05 2008-07-10 Applied Materials, Inc. Supinating cartesian robot blade
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20090056116A1 (en) * 2007-08-07 2009-03-05 Micro Foundry Inc. Integrated miniature device factory

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100756152B1 (ko) * 1999-06-18 2007-09-05 동경 엘렉트론 주식회사 반송 모듈 및 클러스터 시스템
KR100438502B1 (ko) * 1999-11-30 2004-07-03 도쿄 일렉트론 가부시키가이샤 웨이퍼 가공 시스템
KR100422467B1 (ko) * 2001-05-09 2004-03-12 삼성전자주식회사 반도체장치 제조설비
KR100454393B1 (ko) * 2001-12-18 2004-10-26 코스텍시스템(주) 복층 수직형 매엽식 반도체 웨이퍼 처리장치
KR100566697B1 (ko) * 2003-08-05 2006-04-03 삼성전자주식회사 반도체 소자 제조용 멀티 챔버 시스템 및 이를 이용한반도체 소자의 제조방법
KR100829920B1 (ko) * 2006-07-25 2008-05-16 세메스 주식회사 복층 구조의 반도체 제조 설비 및 그의 웨이퍼 이송 방법
KR100717990B1 (ko) * 2007-01-16 2007-05-14 (주)인터노바 반도체 자재 처리를 위한 이송 시스템
CN114777427A (zh) * 2022-05-10 2022-07-22 星恒电源股份有限公司 一种方形叠片锂离子电池电芯的干燥方法
CN114777427B (zh) * 2022-05-10 2023-11-17 星恒电源股份有限公司 一种方形叠片锂离子电池电芯的干燥方法

Also Published As

Publication number Publication date
JP5134575B2 (ja) 2013-01-30
US7776226B2 (en) 2010-08-17
DE19901426B4 (de) 2008-04-03
JP2009147368A (ja) 2009-07-02
JPH11307614A (ja) 1999-11-05
US6503365B1 (en) 2003-01-07
US20090203211A1 (en) 2009-08-13
US20030073323A1 (en) 2003-04-17
JP2007036284A (ja) 2007-02-08
US20050236092A1 (en) 2005-10-27
US6930050B2 (en) 2005-08-16
JP5491579B2 (ja) 2014-05-14
TW502284B (en) 2002-09-11
DE19901426A1 (de) 1999-11-04
KR100265287B1 (ko) 2000-10-02
US20090291558A1 (en) 2009-11-26
JP2012186506A (ja) 2012-09-27
DE19964479B4 (de) 2011-03-31

Similar Documents

Publication Publication Date Title
KR100265287B1 (ko) 반도체소자 제조용 식각설비의 멀티챔버 시스템
KR100646906B1 (ko) 기판처리장치 및 기판처리방법
US6235634B1 (en) Modular substrate processing system
JP4283559B2 (ja) 搬送装置及び真空処理装置並びに常圧搬送装置
JP6006643B2 (ja) 真空処理装置
JPH07245285A (ja) 基板処理装置
KR102164404B1 (ko) 기판 처리 장치
TWI738160B (zh) 基板處理裝置、載具搬送方法及載具緩衝裝置
JP2000124301A (ja) 容器載置ユニット、容器収納装置、及び処理装置
JP3162704B2 (ja) 処理装置
US6364592B1 (en) Small footprint carrier front end loader
JPH10256346A (ja) カセット搬出入機構及び半導体製造装置
WO1999052143A1 (fr) Mecanisme d'alignement et dispositif de traitement de semi-conducteurs utilisant ce mecanisme
US20060026857A1 (en) Multi-chambers system having compact installation set-up for an etching facility for semiconductor device manufacturing
KR102190187B1 (ko) 기판 반송 장치 및 기판 처리 장치와 결로 억제 방법
KR101039441B1 (ko) 웨이퍼 센터링 방법
KR101413762B1 (ko) 기판 처리 시스템
KR20040110975A (ko) 처리시스템
JP5491579B6 (ja) マルチ−チャンバーシステムで半導体素子を製造する方法
JP3548416B2 (ja) 基板処理装置
JP2004152882A (ja) 搬送装置およびそれを備えた処理装置
JP3609936B2 (ja) 基板処理装置
KR20040003477A (ko) 반도체소자 제조용 멀티챔버 설비
JPH07297154A (ja) 基板搬送装置
JP2001168171A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
O035 Opposition [patent]: request for opposition
O132 Decision on opposition [patent]
O074 Maintenance of registration after opposition [patent]: final registration of opposition
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20140530

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20150601

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20160531

Year of fee payment: 17

EXPY Expiration of term