KR101964901B1 - 표면 잔류물 제거용 세정 제형 - Google Patents

표면 잔류물 제거용 세정 제형 Download PDF

Info

Publication number
KR101964901B1
KR101964901B1 KR1020167015325A KR20167015325A KR101964901B1 KR 101964901 B1 KR101964901 B1 KR 101964901B1 KR 1020167015325 A KR1020167015325 A KR 1020167015325A KR 20167015325 A KR20167015325 A KR 20167015325A KR 101964901 B1 KR101964901 B1 KR 101964901B1
Authority
KR
South Korea
Prior art keywords
group
acid
water
agent
chelating agent
Prior art date
Application number
KR1020167015325A
Other languages
English (en)
Other versions
KR20160096095A (ko
Inventor
도모노리 다카하시
빙 두
윌리엄 에이. 워지책
토마스 도리
에밀 에이. 크네어
Original Assignee
후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=53270533&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR101964901(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. filed Critical 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨.
Publication of KR20160096095A publication Critical patent/KR20160096095A/ko
Application granted granted Critical
Publication of KR101964901B1 publication Critical patent/KR101964901B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D11/00Special methods for preparing compositions containing mixtures of detergents ; Methods for using cleaning compositions
    • C11D11/0005Special cleaning or washing methods
    • C11D11/0011Special cleaning or washing methods characterised by the objects to be cleaned
    • C11D11/0023"Hard" surfaces
    • C11D11/0047Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0084Antioxidants; Free-radical scavengers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3272Urea, guanidine or derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/16Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions using inhibitors
    • C23G1/18Organic inhibitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • C11D2111/22

Abstract

본 발명은 1) 하나 이상의 산화환원제; 2) 하나 이상의 제1 킬레이트제; 3) 제1 킬레이트제와 상이한 하나 이상의 제2 킬레이트제; 4) 하나 이상의 금속 부식 저해제; 5) 수용성 알코올, 수용성 케톤, 수용성 에스테르 및 수용성 에테르로 이루어진 군으로부터 선택되는 하나 이상의 유기 용매; 6) 물; 및 7) 선택적으로, 하나 이상의 pH 조정제를 포함하는 세정 조성물에 관한 것이며, 제1 킬레이트제는 폴리아미노폴리카르복실산이며, 제2 킬레이트제는 2개 이상의 질소-함유 기를 포함하며, 금속 부식 저해제는 치환 또는 비치환된 벤조트리아졸이고, pH 조정제는 금속 이온을 포함하지 않는 염기이다. 본 발명은 또한, 반도체 기판을 세정하기 위한 상기 조성물의 사용 방법에 관한 것이다.

Description

표면 잔류물 제거용 세정 제형{CLEANING FORMULATION FOR REMOVING RESIDUES ON SURFACES}
관련 출원에 대한 교차 참조
본 출원은 2014년 2월 7일에 출원된 미국 가출원 61/936,999 및 2013년 12월 6일에 출원된 미국 가출원 61/912,697을 우선권으로 주장하며, 이의 내용은 그 전체가 본원에 의해 본 명세서에 포함된다.
기술분야
본 발명은 반도체 기판용 신규 세정 조성물, 및 반도체 기판의 세정 방법에 관한 것이다. 보다 상세하게는, 본 발명은, 기판 상에 증착된 금속 층 또는 유전 물질 층의 플라즈마 에칭 후 반도체 기판 상에 형성되는 플라즈마 식각 잔류물의 제거, 및 플라즈마 애싱(plasma ashing) 공정을 통한 벌크 레지스트 제거(bulk resist removal) 후 기판 상에 잔류하는 잔류물의 제거를 위한 세정 조성물에 관한 것이다.
집적 회로 장치의 제조 시, 포토레지스트는 일련의 포토리소그래피(photolithography) 및 플라즈마 에칭 단계에 의해, 레티클(reticle)의 본래 마스크 패턴을 웨이퍼 기판 상에 전사하기 위한 중간 마스크로서 사용된다. 집적 회로 장치 제조 공정의 필수 단계들 중 하나는 패턴화된 포토레지스트 필름을 웨이퍼 기판으로부터 제거하는 것이다. 일반적으로, 이러한 단계는 2가지 방법들 중 하나에 의해 수행된다.
하나의 방법은, 포토레지스트-커버된 기판을 주로 유기 용매 및 아민으로 구성된 포토레지스트 스트리퍼 용액과 접촉시키는 습식 스트리핑 단계(wet stripping step)를 수반한다. 그러나, 스트리퍼 용액은 포토레지스트 필름을 완전히 신뢰할만하게 제거할 수 없으며, 특히, 포토레지스트 필름이 제조 동안 자외선 조사 및 플라즈마 처리에 노출된 경우 그러하다. 일부 포토레지스트 필름은 이러한 처리에 의해 고도로 가교되며, 스트리퍼 용액에서 용해되기가 더 어렵다. 또한, 이들 종래의 습식-스트리핑 방법에 사용되는 화학물질은 때때로, 할로겐-함유 가스를 이용한 금속 층 또는 산화물 층의 플라즈마 에칭 동안에 형성되는 무기 잔류 물질 또는 유기금속 잔류 물질을 제거하는 데에 효과가 없다.
포토레지스트 필름을 제거하는 또 다른 방법은, 플라즈마 애싱으로 알려진 공정에서 레지스트 필름을 기판으로부터 연소시키기 위해 포토레지스트-코팅된 웨이퍼를 산소-기재의 플라즈마에 노출시키는 단계를 수반한다. 그러나, 플라즈마 애싱은 또한, 전술한 플라즈마 에칭 부산물을 제거하는 데 완전히 효과적이진 않다. 대신, 이들 플라즈마 식각 부산물의 제거는 전형적으로, 가공된 금속 및 유전성 박막 필름을 특정한 세정 용액에 후속해서 노출시킴으로써 달성된다.
금속 기판은 일반적으로, 부식에 취약하다. 예를 들어, 알루미늄, 구리, 알루미늄-구리 합금, 텅스텐 니트라이드, 텅스텐(W), 코발트(Co), 티타늄 옥사이드, 다른 금속 및 금속 니트라이드와 같은 기판은 쉽게 부식될 것이며, 유전체[ILD, ULK]는 종래의 세정 화학을 이용함으로써 에칭될 수 있다. 또한, 집적 회로 장치 제조업체에 의해 용인되는 부식의 양은, 장치 기하학이 축소됨에 따라 점점 더 작아진다.
잔류물의 제거가 더 어려워지고 부식이 훨씬 더 낮은 수준으로 조절되어야 함과 동시에, 세정 용액은 사용하기에 안전하고 환경 친화적이어야 한다.
따라서, 세정 용액은 플라즈마 식각 및 플라즈마 애쉬(plasma ash) 잔류물을 제거하는 데 효과적이어야 하고, 모든 노출된 기판 물질들에 대해 비-부식성이어야 한다.
본 발명은, 다단계 제조 공정에서 중간 단계로서, 잔류물(예, 플라즈마 식각 및/또는 플라즈마 애쉬 잔류물)을 반도체 기판으로부터 제거하는 데 주로 유용한 비-부식성 세정 조성물에 관한 것이다. 이들 잔류물은 알루미늄, 알루미늄/구리 합금, 구리, 티타늄, 탄탈륨, 텅스텐, 코발트, 티타늄 니트라이드 및 텅스텐 니트라이드와 같은 금속 니트라이드 및 다른 물질들과 같은 노출된 금속으로부터 반응 부산물로서 형성되는 잔여 포토레지스트, 유기금속 화합물, 금속 옥사이드와 같은 유기 화합물들의 상대적으로 불용성인 다양한 혼합물을 포함한다. 본원에 기술되는 세정 조성물의 이점은, 이러한 조성물이 광범위한 잔류물을 세정할 수 있으며, 일반적으로 노출된 기판 물질(예, 노출된 금속, 예컨대 알루미늄, 알루미늄/구리 합금, 구리, 티타늄, 탄탈륨, 텅스텐, 코발트, 및 금속 니트라이드, 예컨대 티타늄 니트라이드 및 텅스텐 니트라이드)에 대해 비-부식성일 수 있다는 점이다.
일 측면에서, 본 발명은 1) 하나 이상의 산화환원제, 2) 하나 이상의 제1 킬레이트제, 3) 제1 킬레이트제와 상이한 하나 이상의 제2 킬레이트제, 4) 하나 이상의 금속 부식 저해제, 5) 수용성 알코올, 수용성 케톤, 수용성 에스테르 및 수용성 에테르로 이루어진 군으로부터 선택되는 하나 이상의 유기 용매, 6) 물 및 7) 선택적으로, 하나 이상의 pH 조정제를 포함하는 세정 조성물을 특징으로 하며, 제1 킬레이트제는 폴리아미노폴리카르복실산이며, 제2 킬레이트제는 2개 이상의 질소-함유 기를 포함하며, 금속 부식 저해제는 치환 또는 비치환된 벤조트리아졸이고, pH 조정제는 금속 이온을 포함하지 않는 염기이다. 일부 구현예에서, 세정 조성물은 균질한 용액이다.
예를 들어, 세정 조성물은,
1) 하나 이상의 산화환원제 약 0.5 중량% 내지 약 20 중량%;
2) 하나 이상의 제1 킬레이트제 약 0.01 중량% 내지 약 1 중량%;
3) 하나 이상의 제2 킬레이트제 약 0.01 중량% 내지 약 1.8 중량%;
4) 하나 이상의 금속 부식 저해제 약 0.05 중량% 내지 약 1 중량%;
5) 하나 이상의 유기 용매 약 1 중량% 내지 약 30 중량%;
6) 물 약 78 중량% 내지 약 98 중량%; 및
7) 선택적으로, 하나 이상의 pH 조정제를 포함할 수 있다.
본 발명은 또한, 반도체 기판으로부터 잔류물을 세정하는 방법에 관한 것이다. 본 방법은, 식각-후(post etch) 잔류물 및/또는 애쉬-후(post ash) 잔류물을 포함하는 반도체 기판을 본원에 기술된 세정 조성물과 접촉시키는 단계를 포함한다. 예를 들어, 본 방법은 하기 (A) 내지 (D)의 단계를 포함할 수 있다:
(A) 식각-후 및/또는 애쉬-후 잔류물을 포함하는 반도체 기판을 제공하는 단계;
(B) 상기 반도체 기판을 본원에 기술된 세정 조성물과 접촉시키는 단계;
(C) 상기 반도체 기판을 적절한 헹굼 용매로 헹구는 단계; 및
(D) 선택적으로, 상기 반도체 기판을, 헹굼 용매를 제거하고 상기 반도체 기판의 온전성(integrity)을 해치지 않는 임의의 수단에 의해 건조하는 단계.
본원에 정의되는 바와 같이, 다르게 지시되지 않는 한, 표현되는 모든 백분율은 세정 조성물의 총 중량에 대한 중량 백분율인 것으로 이해해야 한다. 다르게 지시되지 않는 한, 주위 온도는 섭씨 약 16도 내지 섭씨 약 27도(℃)인 것으로 정의된다.
본원에 정의되는 바와 같이, "수용성" 성분(예, 수용성 알코올, 케톤, 에스테르 또는 에테르)은 25℃에서 수중에서 5 중량% 이상의 용해도를 가지는 성분을 지칭한다.
본 발명의 일 구현예는,
1) 하나 이상의 산화환원제 약 0.5 중량% 내지 약 20 중량%;
2) 하나 이상의 제1 킬레이트제 약 0.01 중량% 내지 약 1 중량%;
3) 제1 킬레이트제와 상이한 하나 이상의 제2 킬레이트제 약 0.01 중량% 내지 약 1.8 중량%;
4) 치환 또는 비치환된 벤조트리아졸로 이루어진 군으로부터 선택되는 하나 이상의 금속 부식 저해제 약 0.05 중량% 내지 약 1 중량%;
5) 수용성 알코올, 수용성 케톤, 수용성 에스테르 및 수용성 에테르로 이루어진 군으로부터 선택되는 하나 이상의 유기 용매 약 1 중량% 내지 약 30 중량%;
6) 물 약 78 중량% 내지 약 98 중량%; 및
7) 선택적으로, 하나 이상의 pH 조정제를 포함하는, 비-부식성 세정 조성물에 관한 것이며,
제1 킬레이트제는 폴리아미노폴리카르복실산이며, 제2 킬레이트제는 2개 이상의 질소-함유 기를 포함하며, pH 조정제는 금속 이온을 포함하지 않는 염기이며 세정 조성물의 pH를 약 pH 6 내지 약 pH 9.5로 조정한다.
본 발명의 조성물은 하나 이상의 산화환원제를 포함하며, 이는 반도체 표면 상의 잔류물, 예컨대 포토레지스트 잔류물, 금속 잔류물 및 금속 옥사이드 잔류물의 용해에 일조한다. 본원에서 사용되는 바와 같이, 용어 "산화환원제"는 반도체 세정 공정에서 산화 및 환원을 유도할 수 있는 화합물을 지칭한다. 적절한 산화환원제의 일례는 하이드록시아민이다. 일부 구현예에서, 산화환원제는 퍼옥사이드(예, 하이드로겐 퍼옥사이드)를 포함하지 않는다.
일부 구현예에서, 본 발명의 조성물은 산화환원제를 약 0.5 중량% 이상(예, 약 1 중량% 이상, 약 2 중량% 이상, 약 3 중량% 이상 또는 약 5 중량% 이상) 및/또는 약 20 중량% 이하(예, 약 17 중량% 이하, 약 15 중량% 이하, 약 12 중량% 이하 또는 약 10 중량% 이하)로 포함한다.
본 발명의 조성물은 하나 이상의 제1 킬레이트제를 포함하며, 이는 폴리아미노폴리카르복실산일 수 있다. 본 발명의 목적을 위해, 폴리아미노폴리카르복실산은 복수의 아미노기 및 복수의 카르복실산기를 포함하는 화합물을 지칭한다. 폴리아미노폴리카르복실산 킬레이트제의 적절한 부류로는, 모노알킬렌 폴리아민 폴리카르복실산 또는 폴리알킬렌 폴리아민 폴리카르복실산, 폴리아미노알칸 폴리카르복실산, 폴리아미노알카놀 폴리카르복실산 및 하이드록시알킬에테르 폴리아민 폴리카르복실산을 포함하지만, 이들로 한정되는 것은 아니다.
적절한 폴리아미노폴리카르복실산 킬레이트제로는, 부틸렌다이아민테트라아세트산, 다이에틸렌트리아민펜타아세트산(DTPA), 에틸렌다이아민테트라프로피온산, 트리에틸렌테트라아민헥사아세트산, 1,3-다이아미노-2-하이드록시프로판-N,N,N',N'-테트라아세트산, 프로필렌다이아민테트라아세트산, 에틸렌다이아민테트라아세트산(EDTA), trans-1,2-다이아미노사이클로헥산 테트라아세트산, 에틸렌다이아민 다이아세트산, 에틸렌다이아민 다이프로피온산, 1,6-헥사메틸렌-다이아민-N,N,N',N'-테트라아세트산, N,N-비스(2-하이드록시벤질)에틸렌다이아민-N,N-다이아세트산, 다이아미노프로판 테트라아세트산, 1,4,7,10-테트라아자사이클로도데칸-테트라아세트산, 다이아미노프로판올 테트라아세트산 및 (하이드록시에틸)에틸렌-다이아민트리아세트산을 포함하지만, 이들로 한정되는 것은 아니다.
일부 구현예에서, 본 발명의 조성물은 폴리아미노폴리카르복실산 킬레이트제를 약 0.01 중량% 이상(예, 약 0.1 중량% 이상, 약 0.2 중량% 이상 또는 약 0.3 중량% 이상) 및/또는 약 1 중량% 이하(예, 약 0.7 중량% 이하, 약 0.6 중량% 이하 또는 약 0.5 중량% 이하)로 포함한다.
일반적으로, 본 발명의 조성물은 2개 이상의 질소-함유 기(예, 킬레이트 능력을 가진 질소-함유 기)를 가진 하나 이상의 제2 킬레이트제를 포함할 수 있다. 적절한 질소-함유 기의 예로는, 일차 아미노, 이차 아미노, 이미다졸릴, 트리아졸릴, 벤조트리아졸릴, 피페라지닐, 피롤릴, 피롤리디닐, 피라졸릴, 피페리디닐, 구아니디닐, 비구아니디닐, 카르바자틸(carbazatyl), 하이드라지딜(hydrazidyl), 세미카르바지딜 및 아미노구아니디닐을 포함한다. 이들 기 중 임의의 둘 이상의 조합을 가진 화합물이 고려된다. 2개 이상의 질소-함유 기를 가진 제2 킬레이트제는 화합물 그 자체로서 첨가되거나 또는 이의 중화된 염으로서 첨가될 수 있다. 일부 구현예에서, 제2 킬레이트제는 본 발명의 조성물에서 선택적이다.
본 발명의 목적을 위해, 폴리아미노폴리카르복실산은 제2 킬레이트제로부터 배제된다. 즉, 제2 킬레이트제는 제1 킬레이트제와 상이하다. 그러나, 일부 구현예에서, 제2 킬레이트제는 카르복실산 기를 하나 이상 포함할 수 있다.
예를 들어, 제2 킬레이트제는 일차 아미노기 또는 이차 아미노기 및 하나 이상의 부가적인 질소-함유 염기성 기를 포함하는 하나 이상의 모노카르복실산 화합물일 수 있다. 본 발명의 목적을 위해, 필요한 일차 아미노기 또는 이차 아미노기는 부가적인 질소-함유 염기성 기(예, NH2, H2NC(=X) 또는 H2NNHC(=X), 여기서, X는 O, S 또는 NR이며, R은 H 또는 C1-C4 알킬임)에 직접 결합되지도 않으며 이의 부분에 결합되지도 않는다. 즉, NH2NH-, H2NC(=X)NH- 또는 H2NNHC(=X)NH-는 본 발명에서 일차 아미노기 또는 이차 아미노기인 것으로 간주되지 않는다. 따라서, 이러한 염기성 기(예, NH2NH-, H2NC(=X)NH- 또는 H2NNHC(=X)NH-)를 단독으로 포함하는 모노카르복실산은 일차 아미노기 또는 이차 아미노기를 포함하지 않으며, 따라서, 본원에 기술되는 일차 아미노기 또는 이차 아미노기 및 하나 이상의 부가적인 질소-함유 염기성 기를 포함하는 모노카르복실산 화합물로부터 배제된다. 이러한 배제되는 모노카르복실산의 예로는, 구아니디노아세트산 및 4-구아니디노부티르산을 포함한다.
일차 아미노기 또는 이차 아미노기 및 하나 이상의 부가적인 질소-함유 염기성 기를 포함하는 적절한 부류의 모노카르복실산 화합물은, 일차 아미노기 또는 이차 아미노기, 및 이미다졸릴, 트리아졸릴, 벤조트리아졸릴, 피페라지닐, 피롤릴, 피롤리디닐, 피라졸릴, 피페리디닐, 구아니디닐, 카르바자틸(카르바자틸), 하이드라지딜(하이드라지딜), 세미카르바지딜(세미카르바지딜), 아미노구아니디닐, 일차 아미노(예, C1-C10 일차 아미노) 및 이차 아미노(예, C1-C10 이차 아미노)로 이루어진 군으로부터 선택되는 질소-함유 염기성 기 중 하나 이상을 포함하는 모노카르복실산 화합물이다. 이들 기는 이차 아미노기를 제외한 저급 알킬기와 같은 치환기로 더 치환될 수 있다.
본 발명의 일부 구현예에서, 일차 아미노기 또는 이차 아미노기 및 하나 이상의 부가적인 질소-함유 염기성 기를 포함하는 하나 이상의 모노카르복실산 화합물은 일반 구조 (I)의 화합물로부터 선택된다:
(R3NH)C(R1)(R2)CO2H (I),
구조 (I)에서, R1 및 R2는 각각 독립적으로 수소 원자, C1-C4 알킬기, 또는 하나 이상의 질소-함유 염기성 기를 가진 기(예, C1-C10 기)이고; R3는 수소 원자, C1-C10 알킬기, 또는 하나 이상의 질소-함유 염기성 기를 가진 기(예, C1-C10 기)이며; 여기서, 적어도 하나의 R1, R2 및 R3는 하나 이상의 질소-함유 염기성 기를 가진 기이다.
일부 구현예에서, R1은 하나 이상의 질소-함유 염기성 기를 가진 기(group)일 수 있으며, 여기서, 하나 이상의 질소-함유 염기성 기를 가진 기는 아미노, 구아니디닐 또는 이미다졸릴에 의해 치환되고 선택적으로 OH에 의해 추가로 치환되는 C1-C10 알킬이다. 이러한 구현예에서, R2는 H 또는 C1-C10 알킬이고, R3는 H, C1-C10 알킬, 또는 하나 이상의 질소-함유 염기성 기를 가진 기일 수 있으며, 여기서, 하나 이상의 질소-함유 염기성 기를 가진 기는 선택적으로 아미노, 구아니디닐 또는 이미다졸릴에 의해 치환되고 선택적으로 OH에 의해 추가로 치환되는 C1-C10 알킬이다.
일부 구현예에서, R3는 하나 이상의 질소-함유 염기성 기를 가진 기일 수 있으며, 여기서, 하나 이상의 질소-함유 염기성 기를 가진 기는 아미노, 구아니디닐 또는 이미다졸릴에 의해 치환되고 선택적으로 OH에 의해 추가로 치환되는 C1-C10 알킬이다. 이러한 구현예에서, R1 및 R2는 각각 독립적으로 H 또는 C1-C4 알킬일 수 있다.
본 발명의 일부 구현예에서, 일차 아미노기 또는 이차 아미노기 및 하나 이상의 부가적인 질소-함유 염기성 기를 포함하는 하나 이상의 모노카르복실산 화합물은 전술한 구조 (I)로 표시되는 화합물로부터 선택되며, 구조 (I)에서, R1은 하나 이상의 질소-함유 염기성 기를 가진 기이며, R2 및 R3는 각각 수소 원자이다. 이러한 구조를 가진 화합물의 예로는, 라이신, 2,3-다이아미노부티르산, 2,4-다이아미노부티르산, 오르니틴, 2,3-다이아미노프로피온산, 2,6-다이아미노헵탄산, 4-메틸 라이신, 3-메틸 라이신, 5-하이드록시라이신, 3-메틸-L-아르기닌, 아르기닌, 호모아르기닌, N5-모노메틸-L-아르기닌, N5-[이미노(메틸아미노)메틸]-D-오르니틴, 카나바닌(canavanine) 및 히스티딘을 포함하지만, 이들로 한정되는 것은 아니다.
본 발명의 일부 구현예에서, 일차 아미노기 또는 이차 아미노기 및 하나 이상의 부가적인 질소-함유 염기성 기를 포함하는 하나 이상의 모노카르복실산 화합물은 전술한 구조 (I)로 표시되는 화합물로부터 선택되며, 구조 (I)에서, R1 및 R2는 각각 수소 원자이고, R3는 하나 이상의 질소-함유 염기성 기를 가진 기를 포함하는 C1-C10 기이다. 이러한 구조를 가진 화합물의 예로는, N-(2-아미노에틸)글리신 및 N-(2-아미노프로필)글리신을 포함하지만, 이들로 한정되는 것은 아니다.
본 발명의 일부 구현예에서, 일차 아미노기 또는 이차 아미노기 및 하나 이상의 부가적인 질소-함유 염기성 기를 포함하는 하나 이상의 모노카르복실산 화합물은 전술한 구조 (I)로 표시되는 화합물로부터 선택되며, 구조 (I)에서, R1은 하나 이상의 질소-함유 염기성 기를 가진 기이며, R2는 수소 원자이고, R3는 C1-C10 알킬기이다. 이러한 구조를 가진 화합물의 예로는, N2-메틸 라이신 및 N2-메틸-L-아르기닌을 포함하지만, 이들로 한정되는 것은 아니다.
본 발명의 일부 구현예에서, 일차 아미노기 또는 이차 아미노기 및 하나 이상의 부가적인 질소-함유 염기성 기를 포함하는 하나 이상의 모노카르복실산 화합물은 전술한 구조 (I)로 표시되는 모노카르복실산 화합물로부터 선택되며, 구조 (I)에서, R1은 하나 이상의 질소-함유 염기성 기를 가진 기이며, R2는 수소 원자이고, R3는 하나 이상의 질소-함유 염기성 기를 가진 기이다. 이러한 구조를 가진 화합물의 예로는, N2-(2-아미노에틸)-D-아르기닌 및 N2-(2-아미노에틸)-L-아르기닌을 포함하지만, 이들로 한정되는 것은 아니다.
본 발명의 일부 구현예에서, 일차 아미노기 또는 이차 아미노기 및 하나 이상의 부가적인 질소-함유 염기성 기를 포함하는 하나 이상의 모노카르복실산 화합물은 전술한 구조 (I)로 표시되는 모노카르복실산 화합물로부터 선택되며, 구조 (I)에서, R1은 C1-C4 알킬이며, R2는 하나 이상의 질소-함유 염기성 기를 가진 기이고, R3는 수소 원자이다. 이러한 구조를 가진 화합물의 예로는, 2-메틸라이신 및 2-메틸-L-아르기닌을 포함하지만, 이들로 한정되는 것은 아니다.
본 발명의 일부 구현예에서, 일차 아미노기 또는 이차 아미노기 및 하나 이상의 부가적인 질소-함유 염기성 기를 포함하는 하나 이상의 모노카르복실산 화합물은 구조를 가진 모노카르복실산 화합물로부터 선택되며, 여기서, 필요한 일차 아미노기 또는 이차 아미노기는 카르복실기와 동일한 탄소에 결합되지 않는다. 이러한 구조를 가진 화합물의 예로는, 3,4-다이아미노부티르산 및 3-아미노-5-[(아미노이미노메틸)메틸아미노] 펜탄산을 포함하지만, 이들로 한정되는 것은 아니다.
일부 구현예에서, 제2 킬레이트제는 비구아나이드기를 포함할 수 있다. 예를 들어, 제2 킬레이트제는 하기 구조 (II)를 가질 수 있으며:
Figure 112016055357073-pct00001
구조 (II)에서,
R10, R11, R12 및 R13 독립적으로 수소, 치환 또는 비치환된 아릴, 치환 또는 비치환된 C3-C10 환형 알킬 및 치환 또는 비치환된 C1-C10 선형 또는 분지형 알킬로 이루어진 군으로부터 선택되고;
R14은 수소, 또는 R13과 함께 이미다졸 고리를 형성하는 단일 결합이며;
단, R10, R11, R12 및 R13 중 하나 이상은 아릴기이거나 또는 아릴 치환기를 포함하며, R10, R11, R12 및 R13 중 둘 이상은 수소이다. 일부 구현예에서, R11 및 R13은 수소이다. 일부 구현예에서, R13 및 R14은 수소이다.
R10 내지 R13에 적절한 아릴기의 예로는, 페닐, 나프틸 및 안트라세닐을 포함하지만, 이들로 한정되는 것은 아니다. 적절한 치환기로는, 할로겐(예, Cl, Br 또는 F), C1-C10 선형 또는 분지형 알킬, C3-C10 환형 알킬, C1-C10 선형 또는 분지형 알콕시, C3-C10 환형 알콕시, 니트로, SH, 다이옥솔릴 및 치환 또는 비치환된 페닐을 포함하지만, 이들로 한정되는 것은 아니다.
R10 내지 R13에 적절한 치환 또는 비치환된 C3-C10 환형 알킬의 예로는, 사이클로부틸, 사이클로펜틸, 사이클로헥실, 사이클로헵틸 및 이환형 시스템, 예컨대 노보닐(norbornyl) 및 완전히 수소화된 나프틸렌을 포함하지만, 이들로 한정되는 것은 아니다. 적절한 치환기의 예로는, 할로겐(예, Cl, Br 또는 F), C1-C10 선형 또는 분지형 알킬, C3-C10 환형 알킬 및 치환 또는 비치환된 페닐을 포함하지만, 이들로 한정되는 것은 아니다.
R10 내지 R13에 적절한 치환 또는 비치환된 C1-C10 선형 또는 분지형 알킬의 예로는, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실, 옥틸, 이소-프로필, 이소-부틸, t-부틸, 1,1,2,2-테트라메틸프로필 및 데실을 포함하지만, 이들로 한정되는 것은 아니다. 적절한 치환기로는, 할로겐(예, Cl, Br 또는 F), C1-C10 선형 또는 분지형 알콕시, C1-C10 선형 또는 분지형 플루오로알콕시, C3-C10 환형 알콕시 및 치환 또는 비치환된 아릴을 포함하지만, 이들로 한정되는 것은 아니다.
치환 또는 비치환된 아릴을 가진 비구아나이드의 예로는, 1-페닐비구아나이드, 1-(o-톨릴)비구아나이드, 1-(3-메틸페닐)비구아나이드, 1-(4-메틸페닐)비구아나이드, 1-(2-클로로페닐)비구아나이드, 1-(4-클로로페닐)비구아나이드, 1-(2,3-다이메틸페닐)비구아나이드, 1-(2,6-다이메틸페닐)비구아나이드, 1-(1-나프틸)비구아나이드, 1-(4-메톡시페닐)비구아나이드, 1-(4-니트로페닐)비구아나이드, 1,1-다이페닐비구아나이드, 1,5-다이페닐비구아나이드, 1,5-비스(4-클로로페닐)비구아나이드, 1,5-비스(3-클로로페닐)비구아나이드, 1-(4-클로로)페닐-5-(4-메톡시)페닐비구아나이드, 1,1-비스(3-클로로-4-메톡시페닐)비구아나이드, 1,5-비스(3,4-다이클로로페닐)비구아나이드, 1,5-비스(3,5-다이클로로페닐)비구아나이드, 1,5-비스(4-브로모페닐)비구아나이드를 포함하지만, 이들로 한정되는 것은 아니다.
치환 또는 비치환된 아릴기 및 치환 또는 비치환된 C1-C10 선형 또는 분지형 알킬기를 가진 비구아나이드의 예로는, 1-페닐-1-메틸비구아나이드, 1-(4-클로로페닐)-5-(1-메틸에틸)비구아나이드(프로구아닐(Proguanil)), 1-(3,4-다이클로로페닐)-5-(1-메틸에틸)비구아나이드, 1-(4-메틸페닐)-5-옥틸비구아나이드, 1-(4-클로로페닐)-2-(N'-프로판-2-일카르바미미도일) 구아니딘, 다이톨릴비구아나이드, 다이나프틸비구아나이드 및 다이벤질비구아나이드를 포함하지만, 이들로 한정되는 것은 아니다.
치환 또는 비치환된 C1-C10 선형 또는 분지형 알킬을 가진 비구아나이드의 예로는, 4-클로로벤즈하이드릴 비구아나이드, 1-벤조[1,3]다이옥솔-5-일메틸비구아나이드, 1-벤질-5-(피리딘-3-일)메틸비구아나이드, 1-벤질비구아나이드, 4-클로로벤질비구아나이드, 1-(2-페닐에틸)비구아나이드, 1-헥실-5-벤질 비구아나이드, 1,1-다이벤질비구아나이드, 1,5-다이벤질비구아나이드, 1-(페네틸)-5-프로필비구아나이드 및 1,5-비스(페네틸)비구아나이드를 포함하지만, 이들로 한정되는 것은 아니다.
치환 또는 비치환된 C3-C10 환형 알킬을 가진 비구아나이드의 예로는, 1-사이클로헥실-5-페닐비구아나이드, 1-(4-페닐사이클로헥실)비구아나이드, 1-(4-메틸)사이클로헥실-5-페닐비구아나이드 및 1-사이클로펜틸-5-(4-메톡시페닐)비구아나이드, 노보닐비구아나이드, 다이노보닐비구아나이드, 아다만틸비구아나이드, 다이아다만틸비구아나이드, 다이사이클로헥실비구아나이드를 포함하지만, 이들로 한정되는 것은 아니다.
R14이 R13과 함께 이미다졸 고리를 형성하는 단일 결합인 구조 (II)의 예로는, 2-구아니디노벤즈이미다졸, 5-메틸-2-구아니디노벤즈이미다졸, 4,6-다이메틸-2-구아니디노벤즈이미다졸, 5,6-다이메틸-2-구아니디노벤즈이미다졸, 5-클로로-2-구아니디노벤즈이미다졸, 4,5-다이클로로-2-구아니디노벤즈이미다졸, 4,6-다이클로로-2-구아니디노벤즈이미다졸, 5-브로모-2-구아니디노벤즈이미다졸, 5-페닐-2-구아니디노벤즈이미다졸 및 5-메톡시-2-구아니디노벤즈이미다졸을 포함하지만, 이들로 한정되는 것은 아니다.
일부 구현예에서, 제2 킬레이트제는 다수의 비구아나이드 기를 포함한다. 일부 구현예에서, 제2 킬레이트제는 2개의 비구아나이드 기를 포함한다. 이들 제2 킬레이트제는 본원에서 비스비구아나이드 또는 다이비구아나이드로 지칭된다. 일부 구현예에서, 다수의 비구아나이드 기를 포함하는 제2 킬레이트제는 폴리머성 비구아나이드이다. 고려되는 폴리머성 비구아나이드는, 비구아나이드 모이어티가 폴리머의 백본 내에 함유되는 폴리머, 뿐만 아니라 펜던트 비구아나이드 모이어트(pendant biguanide moiety)를 함유하는 폴리머를 포함한다.
2개의 비구아나이드 기를 포함하는 제2 킬레이트제의 일례는 구조 (III)의 화합물이며:
Figure 112016055357073-pct00002
구조 (III)에서,
R20, R21, R22 및 R23는 독립적으로 수소, 치환 또는 비치환된 아릴, 치환 또는 비치환된 C3-C10 환형 알킬 및 치환 또는 비치환된 C1-C10 선형 또는 분지형 알킬로 이루어진 군으로부터 선택되며;
R24는 각각 독립적으로 수소, 치환 또는 비치환된 아릴, 치환 또는 비치환된 페닐에틸 또는 치환 또는 비치환된 벤질 알킬로 이루어진 군으로부터 선택되고;
m은 1 내지 10의 정수이며;
단, R20, R21, R22, R23 및 R24 중 하나 이상은 아릴기이거나 또는 아릴 치환기를 포함하며, R20, R21, R22 및 R23 중 둘 이상은 수소이다.
구조 (III)의 비스비구아나이드의 예로는, 에틸렌다이비구아나이드, 프로필렌다이비구아나이드, 테트라메틸렌다이비구아나이드, 펜타메틸렌다이비구아나이드, 헥사메틸렌다이비구아나이드, 헵타메틸렌다이비구아나이드, 옥타메틸렌다이비구아나이드, 1,6-비스-(4-클로로벤질비구아니도)-헥산(플루오르헥시딘(R)), 1,1'-헥사메틸렌 비스(5-(p-클로로페닐)비구아나이드)(클로르헥시딘), 2-(벤질옥시메틸)펜탄-1,5-비스(5-헥실비구아나이드), 2-(페닐티오메틸)펜탄-1,5-비스(5-페네틸비구아나이드), 3-(페닐티오)헥산-1,6-비스(5-헥실비구아나이드), 3-(페닐티오)헥산-1,6-비스(5-사이클로헥실비구아나이드), 3-(벤질티오)헥산-1,6-비스(5-헥실비구아나이드) 및 3-(벤질티오)헥산-1,6-비스(5-사이클로헥실비구아나이드)를 포함하지만, 이들로 한정되는 것은 아니다.
일 구현예에서, 제2 킬레이트제는 하기 구조를 가진 비스비구아나이드이다:
Figure 112016055357073-pct00003
이러한 비스비구아나이드는 또한, 알렉시딘으로도 알려져 있다.
일부 구현예에서, 2개의 비구아나이드 기를 포함하는 제2 킬레이트제로는, 페닐레닐다이비구아나이드, 나프틸레닐다이비구아나이드, 피리디닐다이비구아나이드, 피페라지닐다이비구아나이드, 프탈릴다이비구아나이드, 1,1'-[4-(도데실옥시)-m-페닐렌]비스비구아나이드, 2-(데실티오메틸)펜탄-1,5-비스(5-이소프로필비구아나이드) 및 2-(데실티오메틸)펜탄-1,5-비스(5,5-다이에틸비구아나이드)를 포함하지만, 이들로 한정되는 것은 아니다.
일부 구현예에서, 다수의 비구아나이드 기를 포함하는 제2 킬레이트제는 폴리머성 비구아나이드이다. 본원에 기술되는 조성물의 성분으로서 고려되는 예시적인 폴리머성 비구아나이드는 구조 (IV)를 가지며:
Figure 112016055357073-pct00004
구조 (IV)에서,
n은 2 이상의 정수이며;
R25는 각각 독립적으로 H 또는 C1-C6 알킬이고;
R26는 각각 독립적으로, 선택적으로 치환된 C1-C20 알킬렌(예, C4-C10 알킬렌)이다.
본원에서 사용되는 바와 같이, "알킬렌"은 2가 유기 라디칼을 지칭한다. 2가 알킬렌 모이어티의 예로는, -CH2-, -CH2CH2-, -CH2CH2CH2-, -CH2CH2CH2CH2-, -CH2CH2CH2CH2CH2-, -CH2CH2CH2CH2CH2CH2- 등을 포함하지만, 이들로 한정되는 것은 아니다. 일부 구현예에서, R26는 -CH2CH2CH2CH2CH2CH2-이다.
일부 구현예에서, C1-C20 알킬렌은 선택적으로 치환된다. 적절한 치환기로는, C1-C10 선형 또는 분지형 알킬, C3-C10 환형 알킬, 치환 또는 비치환된 페닐, C1-C10 선형 또는 분지형 알콕시, C3-C10 환형 알콕시, 니트로, 하이드록시, SH, 할로겐, 아미노, 다이옥솔릴, 비구아니딜, 시아노, 카르복실, 에스테르, 아미드, 에테르, 설파이드, 다이설파이드, 설폭사이드 및 설폰을 포함하지만, 이들로 한정되는 것은 아니다.
일부 구현예에서, 본원에 기술된 알킬렌 모이어티의 하나 이상의 메틸렌 유닛은 -O-, -NH-, -S- 등과 같은 헤테로원자에 의해 대체된다.
일부 구현예에서, n은 2 내지 6000의 정수이다. 일부 구현예에서, n은 3 내지 3000의 정수이다. 일부 구현예에서, n은 3 내지 1000의 정수이다. 일부 구현예에서, n은 5 내지 300의 정수이다. 일부 구현예에서, n은 5 내지 50의 정수이다. 일부 구현예에서, n은 10 내지 20의 정수(예, 12 또는 15)이다.
일부 구현예에서, 본원에 기술되는 조성물에서 성분으로서 고려되는 폴리머성 비구아나이드는, R25가 H이며, R26가 C6 알킬렌이고, n이 12 또는 15인, 상기 구조를 가진다.
전술한 폴리머성 비구아나이드 외에도, 펜던트 비구아나이드 모이어티를 가진 폴리머성 비구아나이드가 고려된다. 이들 구현예의 예로는, 비구아니딜-치환된 α-올레핀 모노머의 중합 생성물, 예컨대 폴리(비닐비구아나이드), 폴리(N-비닐비구아나이드), 폴리(알릴비구아나이드) 및 이들의 코폴리머를 포함하지만, 이들로 한정되는 것은 아니다. 비구아니딜-치환된 α-올레핀 모노머는 다양한 올레핀 모노머들과 공중합될 수 있으며, 이로써 폴리머 사슬 당 펜던트 비구아니딜 모이어티의 수는 광범위하게 다양해질 수 있음을 이해한다.
본원에 개시되는 비구아나이드는 유기산 및 무기산 둘 다를 비롯한 다양한 유기산들과 함께 쉽게 염을 형성한다. 본원에 기술되는 조성물에 사용될 것으로 고려되는 비구아나이드의 무기산 염으로는, 염산, 하이드로플루오르산, 하이드로브롬산, 하이드로요오드산, 포스폰산, 인산, 설폰산, 황산 등을 포함하지만, 이들로 한정되는 것은 아니다. 본원에 기술되는 조성물에 사용될 것으로 고려되는 비구아나이드의 유기산 염으로는, 선택적으로 치환된 카르복실산, 예컨대 발레르산, 헥산산, 옥탄산, 2-옥텐산, 라우르산, 5-도데센산, 미리스트산, 펜타데칸산, 팔미트산, 올레산, 스테아르산, 에이코사노산, 헵타데칸산, 팔미톨레산, 리시놀레산, 12-하이드록시스테아르산, 16-하이드록시헥사데칸산, 2-하이드록시카프로산, 12-하이드록시도데칸산, 5-하이드록시도데칸산, 5-하이드록시데칸산, 4-하이드록시데칸산, 도데칸다이오산, 운데칸다이오산, 세바식산, 벤조산, 하이드록시벤조산, 테라프탈산 등을 포함하지만, 이들로 한정되는 것은 아니다
다른 적절한 제2 킬레이트제의 예로는, 알킬렌다이아민, 예컨대 에틸렌다이아민, 프로필렌다이아민, 부틸렌다이아민, 헥실렌다이아민, 다이에틸렌트리아민, 트리에틸렌테트라민, 및 2개 이상의 질소-함유 기를 가진 폴리에틸렌이민을 포함한다.
일부 구현예에서, 본 발명의 조성물은 제2 킬레이트제를 약 0.01 중량% 이상(예, 약 0.1 중량% 이상, 약 0.2 중량% 이상, 약 0.3 중량% 이상 또는 약 0.4 중량% 이상) 및/또는 약 1.8 중량% 이하(예, 약 1.5 중량% 이하, 약 1.3 중량% 이하, 약 1.1 중량% 이하, 약 1 중량% 이하, 약 0.8 중량% 이하, 약 0.7 중량% 이하, 약 0.6 중량% 이하 또는 약 0.5 중량% 이하)로 포함한다.
본 발명의 조성물은 치환 또는 비치환된 벤조트리아졸로부터 선택되는 하나 이상의 금속 부식 저해제를 포함한다. 적절한 부류의 치환된 벤조트리아졸로는, 알킬기, 아릴기, 할로겐 기, 아미노기, 니트로기, 알콕시기 및 하이드록시기로 치환된 벤조트리아졸을 포함하지만, 이들로 한정되는 것은 아니다. 치환된 벤조트리아졸은 또한, 하나 이상의 아릴기(예, 페닐기) 또는 헤테로아릴기와 융합된 것들을 포함한다. 본 발명의 목적을 위해, "치환 또는 비치환된 벤조트리아졸"이라는 표현은 카르복실기 및 일차 아미노기 또는 이차 아미노기를 둘 다 동시에 포함하는 임의의 벤조트리아졸 화합물을 배제하는 것으로 정의된다.
금속 부식 저해제로서 사용하기 위한 적절한 벤조트리아졸로는, 벤조트리아졸(BTA), 5-아미노테트라졸, 1-하이드록시벤조트리아졸, 5-페닐티올-벤조트리아졸, 5-클로로벤조트리아졸, 4-클로로벤조트리아졸, 5-브로모벤조트리아졸, 4-브로모벤조트리아졸, 5-플루오로벤조트리아졸, 4-플루오로벤조트리아졸, 나프토트리아졸, 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로벤조트리아졸, 4-니트로벤조트리아졸, 3-아미노-5-머캅토-1,2,4-트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-벤조트리아졸, 5-메틸-1H-벤조트리아졸, 벤조트리아졸-5-카르복실산, 4-메틸벤조트리아졸, 4-에틸벤조트리아졸, 5-에틸벤조트리아졸, 4-프로필벤조트리아졸, 5-프로필벤조트리아졸, 4-이소프로필벤조트리아졸, 5-이소프로필벤조트리아졸, 4-n-부틸벤조트리아졸, 5-n-부틸벤조트리아졸, 4-이소부틸벤조트리아졸, 5-이소부틸벤조트리아졸, 4-펜틸벤조트리아졸, 5-펜틸벤조트리아졸, 4-헥실벤조트리아졸, 5-헥실벤조트리아졸, 5-메톡시벤조트리아졸, 5-하이드록시벤조트리아졸, 다이하이드록시프로필벤조트리아졸, 1-[N,N-비스(2-에틸헥실)아미노메틸]-벤조트리아졸, 5-t-부틸 벤조트리아졸, 5-(1',1'-다이메틸프로필)-벤조트리아졸, 5-(1',1',3'-트리메틸부틸)벤조트리아졸, 5-n-옥틸 벤조트리아졸 및 5-(1',1',3',3'-테트라메틸부틸)벤조트리아졸을 포함하지만, 이들로 한정되는 것은 아니다.
일부 구현예에서, 본 발명의 조성물은 금속 부식 저해제를 약 0.05 중량% 이상(예, 약 0.1 중량% 이상, 약 0.2 중량% 이상 또는 약 0.3 중량% 이상) 및/또는 약 1 중량% 이하(예, 약 0.7 중량% 이하, 약 0.6 중량% 이하 또는 약 0.5 중량% 이하)로 포함한다.
본 발명의 조성물은 수용성 알코올, 수용성 케톤, 수용성 에스테르 및 수용성 에테르(예, 글리콜 다이에테르)로 이루어진 군으로부터 선택되는 하나 이상의 유기 용매를 포함한다.
수용성 알코올의 부류로는, 알칸 다이올(비제한적으로, 알킬렌 글리콜을 포함함), 글리콜, 알콕시알코올(비제한적으로, 글리콜 모노에테르를 포함함), 포화된 지방족 일가(monohydric) 알코올, 불포화된 비-방향족 일가 알코올, 및 고리 구조를 포함하는 저분자량 알코올을 포함하지만, 이들로 한정되는 것은 아니다.
수용성 알칸 다이올의 예로는, 2-메틸-1,3-프로판다이올, 1,3-프로판다이올, 2,2-다이메틸-1,3-프로판다이올, 1,4-부탄다이올, 1,3-부탄다이올, 1,2-부탄다이올, 2,3-부탄다이올, 피나콜 및 알킬렌 글리콜을 포함하지만, 이들로 한정되는 것은 아니다.
수용성 알킬렌 글리콜의 예로는, 에틸렌 글리콜, 프로필렌 글리콜, 다이에틸렌 글리콜, 다이프로필렌 글리콜, 트리에틸렌 글리콜 및 테트라에틸렌글리콜을 포함하지만, 이들로 한정되는 것은 아니다.
수용성 알콕시알코올의 예로는, 3-메톡시-3-메틸-1-부탄올, 3-메톡시-1-부탄올, 1-메톡시-2-부탄올 및 수용성 글리콜 모노에테르를 포함하지만, 이들로 한정되는 것은 아니다.
수용성 글리콜 모노에테르의 예로는, 에틸렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노 n-프로필 에테르, 에틸렌 글리콜 모노이소프로필 에테르, 에틸렌 글리콜 모노 n-부틸 에테르, 다이에틸렌 글리콜 모노메틸 에테르, 다이에틸렌 글리콜 모노에틸 에테르, 다이에틸렌 글리콜 모노부틸에테르, 트리에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노부틸 에테르, 1-메톡시-2-프로판올, 2-메톡시-1-프로판올, 1-에톡시-2-프로판올, 2-에톡시-1-프로판올, 프로필렌 글리콜 모노-n-프로필 에테르, 다이프로필렌 글리콜 모노메틸 에테르, 다이프로필렌 글리콜 모노에틸 에테르, 다이프로필렌 글리콜 모노-n-프로필 에테르, 트리프로필렌 글리콜 모노에틸 에테르, 트리프로필렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노벤질 에테르 및 다이에틸렌 글리콜 모노벤질 에테르를 포함하지만, 이들로 한정되는 것은 아니다.
수용성 포화된 지방족 일가 알코올의 예로는, 메탄올, 에탄올, n-프로필 알코올, 이소프로필 알코올, 1-부탄올, 2-부탄올, 이소부틸 알코올, tert-부틸 알코올, 2-펜탄올, t-펜틸 알코올 및 1-헥산올을 포함하지만, 이들로 한정되는 것은 아니다.
수용성 불포화된 비-방향족 일가 알코올의 예로는, 알릴 알코올, 프로파길 알코올, 2-부테닐 알코올, 3-부테닐 알코올 및 4-펜텐-2-올을 포함하지만, 이들로 한정되는 것은 아니다.
고리 구조를 포함하는 수용성의 저분자량 알코올의 예로는, 테트라하이드로푸르푸릴 알코올, 푸르푸릴 알코올 및 1,3-사이클로펜탄다이올을 포함하지만, 이들로 한정되는 것은 아니다.
수용성 케톤의 예로는, 아세톤, 프로파논, 사이클로부타논, 사이클로펜타논, 사이클로헥사논, 다이아세톤 알코올, 2-부타논, ,5-헥산다이온, 1,4-사이클로헥산다이온, 3-하이드록시아세토페논, 1,3-사이클로헥산다이온 및 사이클로헥사논을 포함하지만, 이들로 한정되는 것은 아니다.
수용성 에스테르의 예로는, 에틸 아세테이트, 글리콜 모노에스테르, 예컨대 에틸렌 글리콜 모노아세테이트, 다이에틸렌글리콜 모노아세테이트, 및 글리콜 모노에테르 모노에스테르, 예컨대 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸렌 글리콜 모노메틸 에테르 아세테이트, 프로필렌 글리콜 모노에틸 에테르 아세테이트 및 에틸렌 글리콜 모노에틸에테르 아세테이트를 포함하지만, 이들로 한정되는 것은 아니다.
일부 구현예에서, 본 발명의 조성물은 유기 용매를 약 1 중량% 이상(예, 약 5 중량% 이상, 약 8 중량% 이상 또는 약 10 중량% 이상) 및/또는 약 30 중량% 이하(예, 약 25 중량% 이하, 약 20 중량% 이하 또는 약 15 중량% 이하)로 포함한다.
본 발명의 세정 조성물은 물을 추가로 포함한다. 바람직하게는, 물은 탈이온화되고 초순수하며, 유기 오염물질을 포함하지 않으며, 최소 저항성(resistivity)을 약 4 메가 옴(mega Ohm) 내지 약 17 메가 옴으로 가진다. 보다 바람직하게는, 물의 저항성은 17 메가 옴 이상이다.
일부 구현예에서, 본 발명의 조성물은 물을 약 78 중량% 이상(예, 약 80 중량% 이상, 약 83 중량% 이상 또는 약 85 중량% 이상) 및/또는 약 98 중량% 이하(예, 약 95 중량% 이하, 약 93 중량% 이하 또는 약 90 중량% 이하)로 포함한다.
본 발명의 조성물은 선택적으로, pH를 약 pH 6 내지 약 pH 11로 조절하기 위해 하나 이상의 pH 조정제를 함유한다. 일부 구현예에서, 본 발명의 조성물은 pH를 약 6 이상(예, 약 6.5 이상, 약 7 이상 또는 약 7.5 이상) 내지 약 11 이하(예, 약 10 이하, 약 9.5 이하, 약 9 이하, 약 8.5 이하)로 가질 수 있다. 이론으로 결부시키고자 하는 것은 아니지만, pH가 pH 11보다 높은 세정 조성물은 플라즈마 식각 잔류물 세정을 완전한 세정에 대해 비현실적인 수준으로 감소시키고, pH 6보다 낮은 pH는 Co의 식각 속도(etch rate)를 바람직하지 못한 수준으로 증가시킬 것으로 여겨진다. 효과적인 pH는 본원에 기술된 조성물에 사용되는 성분들의 유형 및 양에 따라 다를 수 있다.
pH 조정제가 사용된다면 필요한 pH 조정제의 양은, 다른 성분들, 특히 하이드록시아민, 제1 킬레이트제 폴리아미노폴리카르복실산 및 제2 킬레이트제(또는 이의 중화된 염)의 농도가 서로 다른 제형들에서 다양하며, 이용되는 특정 pH 조정제의 분자량의 함수로서 다양할 수 있다. 일반적으로, pH 조정제의 농도는 약 0.1% 내지 약 3%의 범위이다. 일부 구현예에서, 본 발명의 세정 조성물은 pH 조정제를 약 0.1 중량% 이상(예, 약 0.5 중량% 이상, 약 1 중량% 이상 또는 약 1.5 중량% 이상) 및/또는 약 3 중량% 이하(예, 약 2.5 중량% 이하, 약 2 중량% 이하 또는 약 1.5 중량% 이하)로 포함한다.
일반적으로, pH 조정제는 임의의 금속 이온을 포함하지 않는다(미량의 금속 이온 불순물은 예외로 함). 적절한 금속 이온-무함유 pH 조정제로는, 암모늄 하이드록사이드, 4차 암모늄 하이드록사이드, 모노아민(알카놀아민 포함), 이민(예, 1,8-다이아자비사이클로[5.4.0]-7-운데센 및 1,5-다이아자비사이클로[4.3.0]-5-노넨) 및 구아니딘 염(예, 구아니딘 카르보네이트)을 포함한다.
적절한 4차 암모늄 하이드록사이드의 예로는, 테트라메틸 암모늄 하이드록사이드, 테트라에틸 암모늄 하이드록사이드, 테트라프로필 암모늄 하이드록사이드, 테트라부틸 암모늄 하이드록사이드, 다이메틸다이에틸암모늄 하이드록사이드, 콜린, 테트라에탄올암모늄 하이드록사이드, 벤질트리메틸암모늄 하이드록사이드, 벤질트리에틸암모늄 하이드록사이드 및 벤질트리부틸암모늄 하이드록사이드를 포함하지만, 이들로 한정되는 것은 아니다.
적절한 모노아민의 예로는, 트리에틸아민, 트리부틸아민, 트리펜틸아민, 에탄올아민, 다이에탄올아민, 다이에틸아민, 부틸아민, 다이부틸아민 및 벤질아민을 포함하지만, 이들로 한정되는 것은 아니다.
일부 구현예에서, 본 발명의 비-부식성 세정 조성물은, 산화환원제 약 0.5 중량% 이상(예, 약 1 중량% 이상, 약 2 중량% 이상, 약 3 중량% 이상 또는 약 5 중량% 이상) 및/또는 약 20 중량% 이하(예, 약 17 중량% 이하, 약 15 중량% 이하, 약 12 중량% 이하 또는 약 10 중량% 이하); 제1 킬레이트제(즉, 폴리아미노폴리카르복실산) 약 0.01 중량% 이상(예, 약 0.1 중량% 이상, 약 0.2 중량% 이상 또는 약 0.3 중량% 이상) 및/또는 약 1 중량% 이하(예, 약 0.7 중량% 이하, 약 0.6 중량% 이하 또는 약 0.5 중량% 이하); 제2 킬레이트제 약 0.01 중량% 이상(예, 약 0.1 중량% 이상, 약 0.2 중량% 이상, 약 0.3 중량% 이상 또는 약 0.4 중량% 이상) 및/또는 약 1.8 중량% 이하(예, 약 1.5 중량% 이하, 약 1.3 중량% 이하, 약 1.1 중량% 이하, 약 1 중량% 이하, 약 0.8 중량% 이하, 약 0.7 중량% 이하, 약 0.6 중량% 이하 또는 약 0.5 중량% 이하); 치환 및 비치환된 벤조트리아졸로 이루어진 군으로부터 선택되는 금속 부식 저해제 약 0.05 중량% 이상(예, 약 0.1 중량% 이상, 약 0.2 중량% 이상 또는 약 0.3 중량% 이상) 및/또는 약 1 중량% 이하(예, 약 0.7 중량% 이하, 약 0.6 중량% 이하 또는 약 0.5 중량% 이하); 유기 용매 약 1 중량% 이상(예, 약 5 중량% 이상, 약 8 중량% 이상 또는 약 10 중량% 이상) 및/또는 약 30 중량% 이하(예, 약 25 중량% 이하, 약 20 중량% 이하 또는 약 15 중량% 이하); 물 약 78 중량% 이상(예, 약 80 중량% 이상, 약 83 중량% 이상 또는 약 85 중량% 이상) 및/또는 약 98 중량% 이하(예, 약 95 중량% 이하, 약 93 중량% 이하 또는 약 90 중량% 이하); 및 선택적으로, 금속 이온-무함유 pH 조정제 약 0.1% 내지 약 3%를 포함하거나, 이들로 구성되거나, 또는 본질적으로 이들로 구성되며; 여기서, 비-부식성 세정 조성물의 pH는 6 이상(예, 약 6.5 이상, 약 7 이상 또는 약 7.5 이상) 내지 약 11 이하(예, 약 10 이하, 약 9.5 이하, 약 9 이하, 약 8.5 이하)이다.
일부 구현예에서, 본 발명의 비-부식성 세정 조성물은, 하이드록시아민 약 0.5 중량% 이상(예, 약 1 중량% 이상, 약 2 중량% 이상, 약 3 중량% 이상 또는 약 5 중량% 이상) 및/또는 약 20 중량% 이하(예, 약 17 중량% 이하, 약 15 중량% 이하, 약 12 중량% 이하 또는 약 10 중량% 이하); 제1 킬레이트제(즉, 폴리아미노폴리카르복실산) 약 0.01 중량% 이상(예, 약 0.1 중량% 이상, 약 0.2 중량% 이상 또는 약 0.3 중량% 이상) 및/또는 약 1 중량% 이하(예, 약 0.7 중량% 이하, 약 0.6 중량% 이하 또는 약 0.5 중량% 이하); 일차 아미노, 이차 아미노, 이미다졸릴, 트리아졸릴, 벤조트리아졸릴, 피페라지닐, 피롤릴, 피롤리디닐, 피라졸릴, 피페리디닐, 구아니디닐, 비구아니디닐, 카르바자틸, 하이드라지딜, 세미카르바지딜 및 아미노구아니디닐로 이루어진 군으로부터 선택되는 2개 이상의 질소-함유 기를 가진 화합물로 이루어진 군으로부터 선택되는 제2 킬레이트제 약 0.01 중량% 이상(예, 약 0.1 중량% 이상, 약 0.2 중량% 이상, 약 0.3 중량% 이상 또는 약 0.4 중량% 이상) 및/또는 약 1.8 중량% 이하(예, 약 1.5 중량% 이하, 약 1.3 중량% 이하, 약 1.1 중량% 이하, 약 1 중량% 이하, 약 0.8 중량% 이하, 약 0.7 중량% 이하, 약 0.6 중량% 이하 또는 약 0.5 중량% 이하); 치환 및 비치환된 벤조트리아졸로 이루어진 군으로부터 선택되는 금속 부식 저해제 약 0.05 중량% 이상(예, 약 0.1 중량% 이상, 약 0.2 중량% 이상 또는 약 0.3 중량% 이상) 및/또는 약 1 중량% 이하(예, 약 0.7 중량% 이하, 약 0.6 중량% 이하 또는 약 0.5 중량% 이하); 수용성 알코올, 수용성 케톤, 수용성 에스테르 및 수용성 에테르로 이루어진 군으로부터 선택되는 하나 이상의 유기 용매 약 1 중량% 이상(예, 약 5 중량% 이상, 약 8 중량% 이상 또는 약 10 중량% 이상) 및/또는 약 30 중량% 이하(예, 약 25 중량% 이하, 약 20 중량% 이하 또는 약 15 중량% 이하); 물 약 78 중량% 이상(예, 약 80 중량% 이상, 약 83 중량% 이상 또는 약 85 중량% 이상) 및/또는 약 98 중량% 이하(예, 약 95 중량% 이하, 약 93 중량% 이하 또는 약 90 중량% 이하); 및 선택적으로, 금속 이온-무함유 pH 조정제 약 0.1% 내지 약 3%를 포함하거나, 이들로 구성되거나, 또는 본질적으로 이들로 구성되며; 여기서, 비-부식성 세정 조성물의 pH는 6 이상(예, 약 6.5 이상, 약 7 이상 또는 약 7.5 이상) 내지 약 11 이하(예, 약 10 이하, 약 9.5 이하, 약 9 이하, 약 8.5 이하)이다.
일부 구현예에서, 본 발명의 비-부식성 세정 조성물은, 하이드록시아민 약 0.5 중량% 이상(예, 약 1 중량% 이상, 약 2 중량% 이상, 약 3 중량% 이상 또는 약 5 중량% 이상) 및/또는 약 20 중량% 이하(예, 약 17 중량% 이하, 약 15 중량% 이하, 약 12 중량% 이하 또는 약 10 중량% 이하); 제1 킬레이트제(즉, 폴리아미노폴리카르복실산) 약 0.01 중량% 이상(예, 약 0.1 중량% 이상, 약 0.2 중량% 이상 또는 약 0.3 중량% 이상) 및/또는 약 1 중량% 이하(예, 약 0.7 중량% 이하, 약 0.6 중량% 이하 또는 약 0.5 중량% 이하); 1차 아미노기 또는 2차 아미노기 및 하나 이상의 부가적인 질소-함유 염기성 기를 포함하는 모노카르복실산 화합물, 구조 II를 가진 비구아나이드 화합물 및 다수의 비구아나이드 기를 가진 화합물로 이루어진 군으로부터 선택되는 제2 킬레이트제 약 0.01 중량% 이상(예, 약 0.1 중량% 이상, 약 0.2 중량% 이상, 약 0.3 중량% 이상 또는 약 0.4 중량% 이상) 및/또는 약 1.8 중량% 이하(예, 약 1.5 중량% 이하, 약 1.3 중량% 이하, 약 1.1 중량% 이하, 약 1 중량% 이하, 약 0.8 중량% 이하, 약 0.7 중량% 이하, 약 0.6 중량% 이하 또는 약 0.5 중량% 이하); 치환 및 비치환된 벤조트리아졸로 이루어진 군으로부터 선택되는 금속 부식 저해제 약 0.05 중량% 이상(예, 약 0.1 중량% 이상, 약 0.2 중량% 이상 또는 약 0.3 중량% 이상) 및/또는 약 1 중량% 이하(예, 약 0.7 중량% 이하, 약 0.6 중량% 이하 또는 약 0.5 중량% 이하); 수용성 알코올, 수용성 케톤, 수용성 에스테르 및 수용성 에테르로 이루어진 군으로부터 선택되는 하나 이상의 유기 용매 약 1 중량% 이상(예, 약 5 중량% 이상, 약 8 중량% 이상 또는 약 10 중량% 이상) 및/또는 약 30 중량% 이하(예, 약 25 중량% 이하, 약 20 중량% 이하 또는 약 15 중량% 이하); 물 약 78 중량% 이상(예, 약 80 중량% 이상, 약 83 중량% 이상 또는 약 85 중량% 이상) 및/또는 약 98 중량% 이하(예, 약 95 중량% 이하, 약 93 중량% 이하 또는 약 90 중량% 이하); 및 선택적으로, 금속 이온-무함유 pH 조정제 약 0.1% 내지 약 3%를 포함하거나, 이들로 구성되거나, 또는 본질적으로 이들로 구성되며; 여기서, 비-부식성 세정 조성물의 pH는 6 이상(예, 약 6.5 이상, 약 7 이상 또는 약 7.5 이상) 내지 약 11 이하(예, 약 10 이하, 약 9.5 이하, 약 9 이하, 약 8.5 이하)이다.
또한, 일부 구현예에서, 본 발명의 세정 조성물은 부가적인 pH 조정제, 부가적인 부식 저해제, 계면활성제, 부가적인 유기 용매, 살생물제 및 소포제와 같은 첨가제를 선택적인 성분으로서 포함할 수 있다.
적절한 소포제의 예로는, 폴리실록산 소포제(예, 폴리다이메틸실록산), 폴리에틸렌 글리콜 메틸 에테르 폴리머, 에틸렌 옥사이드/프로필렌 옥사이드 코폴리머 및 글리시딜 에테르 캡핑된 아세틸렌 다이올 에톡실레이트(본원에 원용에 의해 포함된 미국 특허 6,717,019에 기술된 바와 같음)를 포함한다.
일부 구현예에서, 본 발명의 세정 조성물은 첨가제 성분이 2개 이상 존재한다면, 임의의 조합에서 하나 이상의 첨가제 성분들을 구체적으로 배제할 수 있다. 이러한 성분은 산소 스캐빈저, 4차 암모늄 하이드록사이드, 아민, 알칼리 금속 및 알칼리 토금속 염기(예, NaOH, KOH, LiOH, 마그네슘 하이드록사이드 및 칼슘 하이드록사이드), 소포제 이외의 계면활성제, 플루오라이드-함유 화합물, 산화제(예, 퍼옥사이드, 하이드로겐 퍼옥사이드, 페릭 니트레이트(ferric nitrate), 포타슘 요오데이트, 포타슘 퍼망가네이트(potassium permanganate), 질산, 암모늄 클로라이트, 암모늄 클로레이트, 암모늄 요오데이트, 암모늄 퍼보레이트, 암모늄 퍼클로레이트, 암모늄 퍼요오데이트, 암모늄 퍼설페이트, 테트라메틸암모늄 클로라이트, 테트라메틸암모늄 클로레이트, 테트라메틸암모늄 요오데이트, 테트라메틸암모늄 퍼보레이트, 테트라메틸암모늄 퍼클로레이트, 테트라메틸암모늄 퍼요오데이트, 테트라메틸암모늄 퍼설페이트, 우레아 하이드로겐 퍼옥사이드 및 퍼아세트산), 연마재(abrasive), 실리케이트, 하이드록시카르복실산, 아미노기를 포함하지 않는 카르복실산 및 폴리카르복실산, 비-아졸 부식 저해제, 구아니딘, 구아니딘 염, 무기산(예, 설폰산, 황산, 아황산, 아질산, 질산, 아인산 및 인산), 피롤리돈, 폴리비닐 피롤리돈, 금속 할라이드, 식 WzMXy의 금속 할라이드 및 본 개시내용에 기술된 것들 이외의 부식 저해제로 이루어진 군으로부터 선택되며, 여기서, W는 H, 알칼리 금속 또는 알칼리 토금속 및 금속-이온-무함유 하이드록사이드 염기 모이어티로부터 선택되며; M은 Si, Ge, Sn, Pt, P, B, Au, Ir, Os, Cr, Ti, Zr, Rh, Ru 및 Sb로 이루어진 군으로부터 선택되는 금속이며; y는 4 내지 6이고; z은 1, 2 또는 3이다.
일반적으로, 본 발명의 세정 조성물은 벌크 포토레지스트 필름을 반도체 기판으로부터 제거하도록 특정하게 설계되지 않는다. 그보다는, 본 발명의 세정 조성물은 일반적으로, 건식 스트리핑 방법 또는 습식 스트리핑 방법에 의해 벌크 레지스트를 제거한 후, 모든 잔류물을 제거하도록 설계된다. 따라서, 본 발명의 세정 방법은 바람직하게는, 건식 스트리핑 공정 또는 습식 스트리핑 공정 후에 적용된다. 이러한 포토레지스트 스트리핑 공정은 일반적으로, 식각 또는 임플란트 공정과 같은 패턴 전사(pattern transfer) 공정 후에 수행되거나, 또는 이는 패턴 전사 전에 마스크 오차를 보정하기 위해 수행된다. 잔류물의 화학적 구성은 세정 단계 전의 공정 또는 공정들에 따라 다를 것이다.
임의의 적절한 건식 스트리핑 공정은 벌크 레지스트를 반도체 기판으로부터 제거하는 데 사용될 수 있다. 적절한 건식 스트리핑 공정의 예로는, 산소-기재의 플라즈마 애싱, 예컨대 불소/산소 플라즈마 또는 N2/H2 플라즈마; 오존 기체 상-처리; 불소 플라즈마 처리, 가열 H2 기체 처리(예, 그 전체가 원용에 의해 본 명세서에 포함된 미국 특허 5,691,117에 기술되어 있음) 등을 포함한다. 또한, 당업자에게 알려진 임의의 종래의 유기 습식 스트리핑 용액은 벌크 레지스트를 반도체 기판으로부터 제거하는 데 사용될 수 있다.
본 발명의 세정 방법과 조합하여 사용되는 바람직한 스트리핑 공정은 건식 스트리핑 공정이다. 바람직하게는, 이러한 건식 스트리핑 공정은 산소-기재의 플라즈마 애싱 공정이다. 이러한 공정은, 진공 조건(즉, 1 torr)에서 승온(전형적으로 250℃)에서 반응성-산소 분위기를 적용함으로써, 대부분의 포토레지스트를 반도체 기판으로부터 제거한다. 유기 물질은 이러한 공정에 의해 산화되며, 공정 기체를 사용하여 제거된다. 그러나, 이러한 공정은 무기 또는 유기금속 오염물질을 반도체 기판으로부터 제거하지 않는다. 반도체 기판을 본 발명의 세정 조성물로 후속해서 세정하는 것이 전형적으로, 이들 잔류물을 제거하는 데 필수적이다.
본 발명의 일 구현예는, 식각-후 잔류물 및/또는 애쉬-후 잔류물을 포함하는 반도체 기판을 본원에 기술된 세정 조성물과 접촉시키는 단계를 포함하는, 잔류물을 반도체 기판으로부터 세정하는 방법이다. 본 방법은, 접촉 단계 후 반도체 기판을 헹굼 용매로 헹구는 단계 및/또는 헹굼 단계 후 반도체 기판을 건조하는 단계를 추가로 포함할 수 있다.
일부 구현예에서, 세정 방법은 하기 (A) 내지 (D)의 단계를 포함한다:
(A) 식각-후 및/또는 애쉬-후 잔류물을 포함하는 반도체 기판을 제공하는 단계;
(B) 상기 반도체 기판을 본원에 기술된 세정 조성물과 접촉시키는 단계;
(C) 상기 반도체 기판을 적절한 헹굼 용매로 헹구는 단계; 및
(D) 선택적으로, 상기 반도체 기판을, 헹굼 용매를 제거하고 상기 반도체 기판의 온전성)을 해치지 않는 임의의 수단에 의해 건조하는 단계.
일부 구현예에서, 세정 방법은 전술한 방법에 의해 수득되는 반도체 기판으로부터 반도체 소자(예, 반도체 칩과 같은 집적 회로 장치)를 형성하는 단계를 추가로 포함한다.
이러한 방법으로 세정되어야 하는 반도체 기판은 유기 및 유기금속 잔류물, 및 부가적으로 제거될 필요가 있는 광범위한 금속 옥사이드를 포함할 수 있다. 반도체 기판은 전형적으로, 규소, 규소 게르마늄, GaA와 같은 III족 내지 V족 화합물 또는 이들의 임의의 조합으로 제조된다. 반도체 기판은 부가적으로, 금속 라인과 같은 상호연결 특징부 및 유전 물질과 같은 노출된 집적 회로 구조물을 포함할 수 있다. 상호연결 특징부에 사용되는 금속 및 금속 합금으로는, 알루미늄, 구리와 합금된 알루미늄, 구리, 티타늄, 탄탈륨, 코발트, 규소, 티타늄 니트라이드, 탄탈륨 니트라이드 및 텅스텐을 포함하지만, 이들로 한정되는 것은 아니다. 상기 반도체 기판은 또한, 내부층 유전체(interlayer dielectrics), 규소 옥사이드, 규소 니트라이드, 규소 카바이드, 티타늄 옥사이드 및 탄소 도핑된 규소 옥사이드의 층을 포함할 수도 있다.
반도체 기판은, 세정 조성물을 탱크 내에 위치시키고, 반도체 기판을 세정 조성물 내에 침지 및/또는 담그거나, 세정 조성물을 반도체 기판 상에 분무하거나, 세정 조성물을 반도체 기판 상에 스트리밍(streaming)시키거나 또는 이들의 임의의 조합과 같은 임의의 적절한 방법에 의해 세정 조성물과 접촉될 수 있다. 바람직하게는, 반도체 기판은 세정 조성물 내로 침지된다.
본 발명의 세정 조성물은 약 90℃ 이하의 온도에서 효과적으로 사용될 수 있다. 바람직하게는, 세정 조성물은 약 25℃ 내지 약 80℃에서 사용될 수 있다. 보다 바람직하게는, 세정 조성물은 약 30℃ 내지 약 60℃ 범위의 온도에서 사용될 수 있으며, 약 40℃ 내지 약 60℃ 범위의 온도가 가장 바람직하다.
유사하게는, 세정 시간은 적용되는 특정한 세정 방법 및 온도에 따라 광범위하게 다양할 수 있다. 침지 배치형 공정에서 세정되는 경우, 적절한 시간 범위는 예를 들어, 약 60분 이하이다. 배치형 공정에 바람직한 범위는 약 1분 내지 약 60분이다. 배치형 공정에 보다 바람직한 시간 범위는 약 3분 내지 약 20분이다. 배치형 세정 공정에 가장 바람직한 시간 범위는 약 4분 내지 약 15분이다.
단일 웨이퍼 공정에서의 세정 시간은 약 10초 내지 약 5분의 범위일 수 있다. 단일 웨이퍼 공정에 바람직한 세정 시간은 약 15초 내지 약 4분의 범위일 수 있다. 단일 웨이퍼 공정에 보다 바람직한 세정 시간은 약 15초 내지 약 3분의 범위일 수 있다. 단일 웨이퍼 공정에 가장 바람직한 세정 시간은 약 20초 내지 약 2분의 범위일 수 있다.
본 발명의 세정 조성물의 세정력을 더 촉진하기 위해, 기계적 교반 수단을 적용할 수 있다. 적절한 교반 수단의 예로는, 세정 조성물을 기판 상에서 순환시키는 것, 세정 조성물을 기판 상에 스트리밍하거나 분무하는 것, 및 세정 공정 동안의 울트라소닉 또는 메가소닉(megasonic) 교반을 포함한다. 그라운드(ground)에 대한 반도체 기판의 배향은 임의의 각도에 있을 수 있다. 수평 배향 또는 수직 배향이 바람직하다.
본 발명의 세정 조성물은 당업자에게 알려진 종래의 세정 기구에서 사용될 수 있다. 본 발명의 조성물의 유의미한 이점은, 이들이 전체적으로 그리고 부분적으로 상대적으로 무독성이며, 비-부식성이고, 무반응성인 성분들을 포함하며, 이로 인해, 조성물이 광범위한 온도 및 공정 시간에서 안정하다는 점이다. 본 발명의 조성물은, 배치 웨이퍼 세정 및 단일 웨이퍼 세정용의 기존 및 제안된 반도체 웨이퍼 세정 공정 툴을 구축하는 데 사용되는 실질적으로 모든 물질들과 화학적으로 융화성이다.
세정 이후, 반도체 기판을 교반 수단을 동반 또는 동반하지 않은 채, 적절한 헹굼 용매를 사용하여 약 5초 내지 약 5분 이하 동안 헹군다. 적절한 헹굼 용매의 예로는, 탈이온수(DI), 메탄올, 에탄올, 이소프로필 알코올, N-메틸피롤리디논, 감마-부티로락톤, 다이메틸 설폭사이드, 에틸 락테이트 및 프로필렌 글리콜 모노메틸 에테르 아세테이트를 포함하지만, 이들로 한정되는 것은 아니다. 다른 예로, pH가 8보다 높은 수성 헹굼액(예, 희석된 수성 암모늄 하이드록사이드)이 이용될 수 있다. 헹굼 용매의 바람직한 예로는, 희석된 수성 암모늄 하이드록사이드, DI 수, 메탄올, 에탄올 및 이소프로필 알코올을 포함하지만, 이들로 한정되는 것은 아니다. 보다 바람직한 헹굼 용매는 희석된 수성 암모늄 하이드록사이드, DI 수 및 이소프로필 알코올이다. 가장 바람직한 헹굼 용매는 희석된 수성 암모늄 하이드록사이드 및 DI 수이다. 용매는 본원에 기술된 세정 조성물을 적용하는 데 사용되는 것과 유사한 수단들을 사용하여 적용될 수 있다. 세정 조성물을 반도체 기판으로부터 제거한 후 헹굼 단계를 시작할 수 있거나, 또는 헹굼 단계의 시작 시에 여전히 세정 조성물이 반도체 기판과 접촉해 있을 수 있다. 바람직하게는, 헹굼 단계에 적용되는 온도는 16℃ 내지 27℃이다.
선택적으로, 반도체 기판은 헹굼 단계 후에 건조된다. 당업계에 알려진 임의의 적절한 건조 수단이 적용될 수 있다. 적절한 건조 수단의 예로는, 스핀 건조(spin drying), 반도체 기판 상에 건조 기체를 유동시키는 것, 또는 반도체 기판을 열판 또는 적외선 램프와 같은 가열 수단을 사용하여 가열하는 것, 마란고니(Marangoni) 건조, 로타고니(rotagoni) 건조, IPA 건조 또는 이들의 임의의 조합을 포함한다. 건조 시간은 적용되는 특정한 방법에 따라 다를 것이지만, 전형적으로 30초 내지 수 분 이하이다.
일부 구현예에서, 본원에 기술된 세정 조성물을 사용하여 집적 장치를 제조하는 방법은 하기 단계를 포함할 수 있다. 우선, 포토레지스트 층을 반도체 기판에 적용한다. 그런 다음, 그렇게 해서 수득되는 반도체 기판은 식각 공정 또는 임플란트 공정과 같은 패턴 전사 공정을 수행하여, 집적 회로를 형성할 수 있다. 그런 다음, 포토레지스트의 벌크는 건식 스트리핑 방법 또는 습식 스트리핑 방법(예, 산소-기재의 플라즈마 애싱 공정)에 의해 제거될 수 있다. 그런 다음, 반도체 기판 상에 잔류하는 잔류물을, 본원에 기술된 세정 조성물을 전술한 방식으로 사용하여 제거할 수 있다. 반도체 기판을 후속해서 가공하여 기판 상에 하나 이상의 부가적인 회로를 형성할 수 있거나, 또는 가공하여 예를 들어 조립(예, 다이싱(dicing) 및 본딩(bonding)) 및 패키징(예, 칩 실링(sealing))에 의해 반도체 칩으로 형성할 수 있다.
실시예
본 발명은 하기 실시예를 참조로 하여 보다 상세히 예시되며, 이는 예시적인 목적을 위한 것이며, 본 발명의 범위를 제한하려는 것이 아니어야 한다. 열거되는 임의의 백분율은 다르게 명시되지 않는 한, 중량 백분율(중량%)이다. 시험 동안 조절된 교반을 다르게 지시되지 않는 한, 300 rpm에서 1인치 교반 막대를 사용하여 수행하였다.
일반적인 절차 1
제형 블렌딩
세정 조성물 샘플은, 계산된 양의 초순수한 탈이온수(DIW)에, 금속 이온-무함유 pH 조정제를 제외한 세정 제형 성분들을 교반하면서 첨가함으로써 제조하였다. 균질한 용액을 달성한 후, 선택적인 첨가제를 사용한다면 이를 첨가하였다. 조성물의 제형은, pH 조정제의 첨가에 의해 완료하였다. 용액을 평형화시켰으며, 세정 조성물의 pH를 바람직한 경우 측정하였다.
바람직한 경우, pH 측정은 모든 성분들을 완전히 용해시킨 후, 주위 온도(17℃ 내지 25℃)에서 수행하였다. Beckman Coulter Φ 400 Series Handheld 미터를 이들 pH 측정에 사용할 수 있다. 사용되는 모든 성분들은 상업적으로 입수가능하며 고 순도였다.
일반적인 절차 2
Beaker 시험을 이용한 세정 평가
포토레지스트의 최상부 층을 완전히 제거하기 위해, 리소그래피(lithography)에 의해 패턴화되고, 플라즈마 금속 식각 장비(etcher)에 의해 식각된 다음, 산소 플라즈마 애싱에 처리된 포토레지스트/TiOx/SiN/Co/ILD(ILD = 내부층 유전체) 또는 포토레지스트/TiOx/SiN/W/ILD의 다층 기판을 사용하여, 기판으로부터의 PER(식각-후 잔류물)의 세정을 전술한 세정 조성물을 사용하여 수행하였다.
시험 쿠폰을 4" 길이의 플라스틱 잠금 핀셋(locking tweezer)을 사용하여 고정하였으며, 이로 인해, 본 발명의 세정 조성물을 약 200 ml 포함하는 500 ml 부피의 유리 비커에 쿠폰을 매달 수 있었다. 쿠폰을 세정 조성물에 침지하기 전에, 조성물을 요망되는 시험 조건 온도(주지된 바와 같이 전형적으로 40℃ 내지 60℃)로 교반을 조절하면서 예열하였다. 그런 다음, PER 층을 포함하는 쿠폰 면이 교반 막대와 마주하도록 플라스틱 핀셋에 의해 고정된 쿠폰을 가열된 조성물에 놓음으로써 세정 시험을 수행하였다. 조성물을 조절된 교반 하에 시험 온도에 유지시키는 동안, 쿠폰을 일정 기간(전형적으로 2분 내지 5분) 동안 세정 조성물에 정적으로 방치하였다. 요망되는 세정 시간이 완료된 후, 쿠폰을 세정 조성물로부터 재빨리 제거하고, 주위 온도(약 17℃)에서 DI 수 약 400 ml로 충전된 500 ml 플라스틱 비커에 놓고, 부드럽게 교반하였다. 쿠폰을 DI 수 비커에 약 30초 동안 방치한 다음, 재빨리 제거하고, 주위 온도에서 약 30초 동안 DI 수 스트림 하에 헹구었다. 쿠폰을 핸드헬드(hand held) 질소 발포 건으로부터의 질소 기체 스트림에 즉각 노출시켰으며, 이는 쿠폰 표면상의 임의의 액적이 쿠폰으로부터 발포되도록 유발하였으며, 나아가 쿠폰 장치 표면을 완전히 건조하였다. 이러한 마지막 질소 건조 단계 후, 쿠폰을 플라스틱 핀셋 홀더로부터 제거하고, 장치의 옆면을 위로 하여, 덮여진 플라스틱 캐리어 내에 약 2시간 이하의 짧은 기간 동안 보관하였다. 그런 다음, 세정된 시험 쿠폰 장치 표면 상에서 주요 특징들에 대한 주사 전자 현미경(SEM) 이미지를 수집하였다.
일반적인 절차 3
Beaker 시험을 이용한 물질 융화성 평가
규소 기판 상 블랭킷(blanket) Co, 규소 기판 상 W, 규소 기판 상 SiO2 상 TiOx, 규소 기판 상 SiN, 규소 기판 상 ILD를 물질의 융화성 시험을 위해 약 1인치 x 1인치의 정사각형 모양의 시험 쿠폰으로 다이싱하였다. 시험 쿠폰을 4-포인트 프로브, 금속성 필름(Co, W)용 CDE Resmap 273에 의해, 또는 Woollam M-2000X를 사용하는 유전성 필름(TiOx, SiN 및 ILD)용 Elipsometry에 의해 두께 또는 시트 저항성을 측정하였다. 그런 다음, 시험 쿠폰을 4" 길이의 플라스틱 락킹(locking) 핀셋에 설치하고, 10분 동안 쿠폰의 면이 교반 막대와 마주하도록 포함하는 Co, W, TiOx, SiN, 또는 ILD 층을 이용하여 일반적인 절차 2의 세정 절차에 기술된 바와 같이 처리하였다.
마지막 질소 건조 단계 후, 쿠폰을 플라스틱 핀셋 홀더로부터 제거하고, 덮여진 플라스틱 캐리어 내에 두었다. 그런 다음, 포스트-두께 또는 시트 저항성을, 4-포인트 프로브, 금속성 필름(Co, W)용 CDE Resmap 273에 의해, 또는 Woollam M-2000X를 사용하는 유전성 필름(TiOx, SiN 및 ILD)용 Elipsometry에 의해 가공-후 시험 쿠폰 표면 상에서 수집하였다.
제형예 FE-1 내지 FE-5 및 비교 제형예 CFE-1 내지 CFE-4
표 1은 일반적인 절차 1에 의해 제조되는 제형예 FE-1 내지 FE-5 및 비교 제형예 CFE-1 내지 CFE-4를 포함한다.
실시예 H2O2 하이드록시-아민 폴리아미노-폴리카르복실산 제2 킬레이트제 부식
저해제
유기 용매 pH 조정제 pH
FE-1 없음 4.00% DTPA (0.5%) CDC (0.001%) 5MBTA (0.25%) EGBE (5%) 90.25% 없음 6.83
FE-2 없음 4.00% DTPA (0.5%) CDC (0.001%) 5MBTA (0.25%) EGBE (5%) 89.75% DBU (0.5%) 7.47
FE-3 없음 4.00% DTPA (0.5%) CDC (0.001%) 5MBTA (0.25%) EGBE (5%) 89.32% DBU (0.93%) 8.49
FE-4 없음 4.00% DTPA (0.5%) CDC (0.001%) 5MBTA (0.25%) EGBE (5%) 88.96% DBU (1.04%) 9.01
CFE-1 없음 4.00% DTPA (0.5%) CDC (0.001%) 5MBTA (0.25%) EGBE (5%) 89.11% DBU (1.14%) 9.6
CFE-2 없음 4.00% DTPA (0.5%) CDC (0.001%) 5MBTA (0.25%) EGBE (5%) 88.97% DBU (1.28%) 10.2
FE-5 없음 4.00% DTPA (0.5%) 아르기닌 (0.25%) 5MBTA (0.25%) EGBE (5%) 89.16% DBU (0.85%) 8.47
CFE-3 없음 4.00% DTPA (0.5%) 없음 5MBTA (0.25%) EGBE (5%) 89.29% DBU (0.97%) 8.53
CFE-4 5% 없음 DTPA (0.25%) 없음 5MBTA (0.22%) EGBE (10%) 83.98% DBU (0.55%) 7.9
EGBE = 에틸렌 글리콜 부틸 에테르; DTPA = 다이에틸렌트리아민 펜타아세트산; 5MBTA = 5-메틸벤조트리아졸; DBU =1,8-다이아자비사이클로[5.4.0]운데스-7-엔; CDC =클로르헥시딘 다이하이드로클로라이드
아르기닌 =
Figure 112016055357073-pct00005
실시예 1 내지 5 및 비교예 CE1 내지 CE4
세정제와 노출된 금속과의 융화성
제형예 FE-1 내지 FE-5 및 비교 제형예 CFE-1 내지 CFE-4를 일반적인 절차 2에 따라 세정에 대해 시험하고, 65℃에서 4분 동안 일반적인 절차 3에 따라 물질의 융화성에 대해 시험하였다. 세정 조성물에서 Co, W, TiOx, SiN, SiC, TEOS(테트라에틸-오르토실리케이트) 및 ILD의 식각 속도(옹스트롬/분(Angstroms/minute))는 표 2에 나타나 있다.
Figure 112016055357073-pct00006
"NA"는 이용가능하지 않은 데이터를 지칭함.
표 2의 데이터는, 본 발명의 제형(즉, FE-1 내지 FE-5)이 반도체 소자에서 전형적으로 발견되는 반도체 물질(예, Co, W, TiOx, SiN, SiC, TEOS 및 ILD)을 상당히 식각하지 않으면서도, 식각-후 잔류물을 세정하였음을 보여준다. 반면, pH가 9.5보다 높은 비교 제형예 CFE-1 내지 CFE-2는 불량한 세정을 초래하였다. 또한, 제2 킬레이트제를 포함하지 않은 비교 제형예 CFE-3는 시험된 반도체 기판에서 텅스텐의 상당한 식각을 나타내었다. 유사하게는, 하이드록시아민 대신에 하이드로겐 퍼옥사이드를 포함한 비교 제형예 CFE-4 또한, 반도체 기판에서 텅스텐의 상당한 식각을 나타내었다.
제형예 FE-6 내지 FE-13 및 비교 제형예 CFE-5 내지 CFE-6
표 3은 일반적인 절차 1에 따라 제조된 제형예 FE-6 내지 FE-13 및 비교 제형예 CFE-5 내지 CFE-6에 대한 상세한 사항들을 나타낸 것이다.
제형예 하이드록시 아민 폴리아미노-폴리카르복실산 제2 킬레이트제 부식 저해제 유기 용매 pH 조정제 pH
CFE-5 5.5% HA 0.50% DTPA 없음 0.25% 5MBTA 5% EGBE 87.86% 0.89% DBU 8.68
FE-6 5.5% HA 0.50% DTPA 0.75% PBG 0.25% 5MBTA 5% EGBE 87.84% 0.16% DBU 8.52
FE-7 5.5% HA 0.50% DTPA 1.08% PBG 0.25% 5MBTA 5% EGBE 87.67%  없음 8.47
FE-8 5.5% HA 0.50% DTPA 0.75% PBG 0.25% 5MBTA 5% EGBE 86.75% 1.25% DBU 10.72
FE-9 5.5% HA 0.50% DTPA 1.47% PFCl 0.25% 5MBTA 5% EGBE 85.58% 1.45% DBU 8.60
CFE-6 5.5% HA 0.50% DTPA 1.01% MTCl 0.25% 5MBTA 5% EGBE 86.79% 0.95% DBU 8.55
FE-10 6.25% HA 0.50% DTPA 0.95% TBG 0.25% 5MBTA 5% EGBE 87.05%  없음 8.45
FE-11 5.5% HA 0.50% DTPA 1.17% TBG 0.25% 5MBTA 5% EGBE 87.58%  없음 8.54
FE-12 5.5% HA 0.50% DTPA 1.30% TBG 0.25% 5MBTA 5% EGBE 87.45%  없음 9.13
FE-13 5.5% HA 0.50% DTPA 0.25% GBI 0.25% 5MBTA 5% EGBE 87.64% 0.86% DBU 8.54
Figure 112016055357073-pct00007
실시예 6 내지 13 및 비교예 CE-5 내지 CE-6
세정제와 노출된 금속과의 융화성
제형예 FE-6 내지 FE-13 및 비교 제형예 CFE-5 내지 CFE-6를 일반적인 절차 2에 따라 세정 능력에 대해 시험하고, 65℃에서 4분 동안 일반적인 절차 3에 따라 물질의 융화성에 대해 시험하였다. 세정 조성물에서 Co, W, TiOx, SiN, SiC 및 ILD(이용가능한 경우)의 식각 속도(옹스트롬/분)는 표 4에 나타나 있다.
표 4의 제형들은 모두 우수한 세정 능력을 나타내었다. 그러나, 비교 제형예 CFE-5(비구아나이드를 포함하지 않음) 및 CFE-6(다이알킬 비구아나이드)는 허용불가능하게 높은 W 식각 속도를 나타내었다. 제형예 FE-6 내지 FE13은, 다른 물질들에 대해 허용가능한 식각 속도를 유지하며 우수한 세정력을 가지면서도, 상당히 감소된 W 식각 속도를 나타내었다.
실시예 제형예 TiOx
[A/min]
W
[A/min]
Co
[A/min]
SiN
[A/min]
ILD
[A/min]
세정력 1
CE-5 CFE-5 3.4 6.4 NA NA NA 5
6 FE-6 2.9 1.6 NA NA NA 5
7 FE-7 2.7 1.2 0.3 0.8 NA 5
8 FE-8 3.3 2.4 NA NA NA 5
9 FE-9 3.2 3.0 0.8 NA NA 5
CE-6 CFE-6 3.5 6.6 0.3 NA NA 5
10 FE-10 3.2 1.3 0.4 NA NA 5
11 FE-11 3.3 1.2 0.4 0.5 0.4 5
12 FE-12 3.6 1.1 0.6 NA NA 5
13 FE-13 3.6 3.3 NA NA NA 5
1등급 5는 우수하며; 등급 1은 매우 불량함.
"NA"는 이용가능하지 않은 데이터를 지칭함.
실시예 14 내지 17 및 비교예 CE-7 내지 CE-8;
세정제와 노출된 금속과의 융화성
제형예 FE-14 내지 FE-17 및 비교 제형예 CFE-7 내지 CFE-8을 일반적인 절차 2에 따라 세정 능력에 대해 시험하고, 65℃에서 4분 동안 일반적인 절차 3에 따라 물질의 융화성에 대해 시험하였다. 표 5는 세정 조성물에 대해 나타낸 것이다. 표 5에 나타낸 바와 같은 "Pol-BG"는 폴리헥사메틸렌 비구아나이드, 하이드로클로라이드를 지칭한다(하기 구조를 참조하며, n = 12임).
Figure 112016055357073-pct00008
세정 조성물에 대한 Co, W, TiOx, SiN, SiC 및 ILD(이용가능한 경우)의 식각 속도(옹스트롬/분)는 표 6에 나타나 있다.
제형예 하이드록시아민 폴리아미노-
폴리카르복실산
제2 킬레이트제 부식
저해제
유기
용매
H 2 O pH
조정제
pH
(65℃)

FE-14

5%

0.50% DTPA

0.01%
Pol-BG

0.20% 5MBTA

EGBE 3%

90.43%

0.763%
DBU

7.86

FE-15

5%

0.70% DTPA

0.01%
Pol-BG

0.20% 5MBTA

EGBE 4%

89.16%

0.933%
DBU

8.00

FE-16

4%

0.60% DTPA

0.01%
Pol-BG

0.30% 5MBTA

EGBE 4%

90.28%

0.81%
DBU

7.9

FE-17

5%

0.50% DTPA

0.01%
Pol-BG

0.25% 5MBTA

EGBE 5%

88.50%

0.74%
DBU

8.3

CFE-7

5%

0.60% DTPA

없음

0.20% 5MBTA

EGBE 3%

90.44%

0.763%
DBU

8.00

CFE-8

4%

0.60% DTPA

없음

0.25% 5MBTA

EGBE 5%

88.50%

0.74%
DBU

8.30

제형예

TiOx
(A/min)

W
(A/min)

Co
(A/min)

SiN
(A/min)

ILD
(A/min)

세정력 1

FE-14

2.1

0.7

0.02

1.4

NA

5

FE-15

1.8

0.7

0.03

1.1

NA

5

FE-16

1.2

0.7

0.01

2.3

0.00

5

FE-17

0.9

0.6

0.01

1.5

0.00

4

CFE-7

2.1

2.4

0.10

0.9

0.00

5

CFE-8

1.9

2.1

0.00

1.2

NA

5
1: 등급 5는 우수하며; 등급 1은 매우 불량함.
"NA"는 이용가능하지 않은 데이터를 지칭함.
표 6의 제형들은 모두 우수한 세정 능력을 나타내었다. 그러나, 비교 제형예 CFE-7 및 CFE-8은 허용불가능하게 높은 W 식각 속도를 나타내었다. 제형예 FE-14 내지 FE17은, 다른 물질들에 대해 허용가능한 식각 속도를 유지하며 우수한 세정력을 가지면서도, 상당히 감소된 W 식각 속도를 나타내었다.
제형예 18 내지 38
본 발명의 조성물에 대해 좀더 알아보기 위해, 부가적인 세정 조성물이 표 7에 기술되어 있다. 표 7에서 "제2 킬레이트제"는 구조 (IV)를 가진 폴리머성 비구아나이드(즉, 하기 폴리머 1 내지 8) 또는 펜던트 비구아나이드 기를 포함하는 폴리머성 비구아나이드(즉, 하기 폴리머 9 내지 12)를 지칭한다. 구체적으로, 폴리머 1 내지 8은, n, R25 및 R26가 하기 정의된 바와 같은 구조 (IV)의 것들이다.
Figure 112016055357073-pct00009
폴리머 9 내지 12는 펜던트 비구아나이드 모이어티를 가진 폴리머들이며, 하기 열거된다:
Figure 112016055357073-pct00010
제형예 하이드록시아민 폴리아미노-
폴리카르복실산
제2
킬레이트제
부식 저해제 유기
용매
H 2 O
FE-18 5.5% 0.50% DTPA 폴리머 1
0.01%
0.25% 5MBTA EGBE 5% 88.74%
FE-19 5.5% 0.50% DTPA 폴리머 2
0.01%
0.25% 5MBTA EGBE 5% 88.74%
FE-20 5.5% 0.50% DTPA 폴리머 3
0.02%
0.25% 5MBTA EGBE 5% 88.73%
FE-21 5.5% 0.50% DTPA 폴리머 4
0.04%
0.25% 5MBTA EGBE 5% 88.71%
FE-22 5.5% 0.50% DTPA 폴리머 5
0.06%
0.25% 5MBTA EGBE 5% 88.69%
FE-23 5.5% 0.50% DTPA 폴리머 6
0.08%
0.25% 5MBTA EGBE 5% 88.67%
FE-24 5.5% 0.50% DTPA 폴리머 7
0.10%
0.25% 5MBTA EGBE 5% 88.65%
FE-25 5.5% 0.50% DTPA 폴리머 8
0.50%
0.25% 5MBTA EGBE 5% 88.25%
FE-26 5.5% 0.50% DTPA 폴리머 9
1.0%
0.25% 5MBTA EGBE 5% 87.75%
FE-27 5.5% 0.50% DTPA 폴리머 10
1.8%
0.25% 5MBTA EGBE 5% 86.95%
FE-28 5.5% 0.50% DTPA 폴리머 11
0.01%

0.05%
BTA
2-에톡시-1-프로판올 2% 91.94%
FE-29 5.5% 0.50% DTPA 1-(4-니트로페닐)비구아나이드
0.01%
0.10%
1-하이드록시 BTA
다이에틸렌 글리콜 모노부틸에테르 10% 83.89%
FE-30 5.5% 0.50% DTPA 1,6-비스-(4-클로로벤질비구아니도)-헥산
0.01%
0.40%
4-메틸 BTA
에틸렌 글리콜 모노 n-프로필 에테르
15%
78.59%
FE-31 5.5% 0.50% DTPA 알렉시딘
0.01%
0.50%
5-아미노
테트라졸
다이에틸렌 글리콜
10%
83.49%
FE-32 5.5% 0.50% DTPA 2,4-다이아미노-부티르산
0.01%
1.0%
4-니트로
BTA
3-메톡시-1-부탄올
5%
87.99%
FE-33 1.0% 0.50% EDTA 히스티딘
0.01%
0.25% 5MBTA EGBE 5% 93.24%
FE-34 2.5% 0.01%
다이아미노프로판올 테트라아세트산
폴리머 12
0.01%
0.25% 5MBTA EGBE 5% 92.23%
FE-35* 7.0% 0.75% 에틸렌다이아민 다이프로피온산 1-헥실-5-벤질 비구아나이드
0.01%
0.25% 5MBTA EGBE 5% 86.49%
FE-36** 10% 1.0% 에틸렌다이아민 다이아세트산 폴리머 1
0.01%
0.25% 5MBTA EGBE 5% 83.34%
FE-37 1.0% 0.50% DTPA 부틸렌
다이아민
0.1%
0.25% 5MBTA EGBE 5% 93.15%
FE-38 2.5% 0.40% DTPA 트리에틸렌-
테트라민
0.5%
0.25% 5MBTA EGBE 5% 91.35%
*제형은 또한, 0.5% DBU를 포함함.
**제형은 또한, 0.4% 테트라메틸암모늄 하이드록사이드(TMAH)를 포함함.

Claims (48)

  1. 세정 조성물로서,
    1) 하나 이상의 산화환원제;
    2) 하나 이상의 제1 킬레이트제;
    3) 제1 킬레이트제와 상이한 하나 이상의 제2 킬레이트제;
    4) 하나 이상의 금속 부식 저해제;
    5) 수용성 알코올, 수용성 케톤, 수용성 에스테르 및 수용성 에테르로 이루어진 군으로부터 선택되는 하나 이상의 유기 용매;
    6) 물; 및
    7) 선택적으로, 하나 이상의 pH 조정제
    로 이루어지고(consisting of),
    상기 제1 킬레이트제는 폴리아미노폴리카르복실산이며,
    상기 제2 킬레이트제는 2개 이상의 질소-함유 기를 포함하며,
    상기 금속 부식 저해제는 치환 또는 비치환된 벤조트리아졸이고,
    상기 pH 조정제는 금속 이온을 포함하지 않는 염기인, 세정 조성물.
  2. 제1항에 있어서,
    상기 조성물의 pH가 pH 6 내지 pH 11인 것을 특징으로 하는, 세정 조성물.
  3. 제1항에 있어서,
    상기 산화환원제가 하이드록시아민인 것을 특징으로 하는, 세정 조성물.
  4. 제1항에 있어서,
    상기 산화환원제가 상기 조성물의 0.5 중량% 내지 20 중량%인 것을 특징으로 하는, 세정 조성물.
  5. 제1항에 있어서,
    상기 폴리아미노폴리카르복실산이 모노알킬렌 폴리아민 폴리카르복실산 또는 폴리알킬렌 폴리아민 폴리카르복실산, 폴리아미노알칸 폴리카르복실산, 폴리아미노알카놀 폴리카르복실산 및 하이드록시알킬에테르 폴리아민 폴리카르복실산으로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 세정 조성물.
  6. 제1항에 있어서,
    상기 폴리아미노폴리카르복실산이 부틸렌다이아민테트라아세트산, 다이에틸렌트리아민펜타아세트산, 에틸렌다이아민테트라프로피온산, 트리에틸렌테트라아민헥사아세트산, 1,3-다이아미노-2-하이드록시프로판-N,N,N',N'-테트라아세트산, 프로필렌다이아민테트라아세트산, 에틸렌다이아민테트라아세트산, trans-1,2-다이아미노사이클로헥산 테트라아세트산, 에틸렌다이아민 다이아세트산, 에틸렌다이아민 다이프로피온산, 1,6-헥사메틸렌-다이아민-N,N,N',N'-테트라아세트산, N,N-비스(2-하이드록시벤질)에틸렌다이아민-N,N-다이아세트산, 다이아미노프로판 테트라아세트산, 1,4,7,10-테트라아자사이클로도데칸-테트라아세트산, 다이아미노프로판올 테트라아세트산 및 (하이드록시에틸)에틸렌다이아민트리아세트산으로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 세정 조성물.
  7. 제1항에 있어서,
    상기 폴리아미노폴리카르복실산이 상기 조성물의 0.01 중량% 내지 1 중량%인 것을 특징으로 하는, 세정 조성물.
  8. 제1항에 있어서,
    상기 제2 킬레이트제가 1차 아미노기 또는 2차 아미노기, 및 질소를 포함하는 하나 이상의 부가적인 염기성 기를 포함하는 모노카르복실산인 것을 특징으로 하는, 세정 조성물.
  9. 제8항에 있어서,
    상기 모노카르복실산이 구조 (I)의 화합물인 것을 특징으로 하는, 세정 조성물:
    (R3NH)C(R1)(R2)CO2H (I),
    구조 (I)에서,
    R1 및 R2는 각각 독립적으로 수소 원자, C1-C4 알킬, 또는 하나 이상의 질소-함유 염기성 기를 가진 기이고;
    R3는 수소 원자, C1-C10 알킬, 또는 하나 이상의 질소-함유 염기성 기를 가진 기이며;
    하나 이상의 R1, R2 및 R3는 하나 이상의 질소-함유 염기성 기를 가진 기인 것을 특징으로 하는, 세정 조성물.
  10. 제9항에 있어서,
    R1이 하나 이상의 질소-함유 염기성 기를 가진 기이며, 여기서, 하나 이상의 질소-함유 염기성 기를 가진 기는 아미노, 구아니디닐 또는 이미다졸릴에 의해 치환되고 선택적으로 OH에 의해 추가로 치환되는 C1-C10 알킬인 것을 특징으로 하는, 세정 조성물.
  11. 제10항에 있어서,
    R2가 H 또는 C1-C4 알킬이고,
    R3가 H, C1-C10 알킬 또는 하나 이상의 질소-함유 염기성 기를 가진 기이며, 여기서, 하나 이상의 질소-함유 염기성 기를 가진 기는 선택적으로 아미노, 구아니디닐 또는 이미다졸릴에 의해 치환되고 선택적으로 OH에 의해 추가로 치환되는 C1-C10 알킬인 것을 특징으로 하는, 세정 조성물.
  12. 제9항에 있어서,
    R3가 하나 이상의 질소-함유 염기성 기를 가진 기이며, 여기서, 하나 이상의 질소-함유 염기성 기를 가진 기는 아미노, 구아니디닐 또는 이미다졸릴에 의해 치환되고 선택적으로 OH에 의해 추가로 치환되는 C1-C10 알킬인 것을 특징으로 하는, 세정 조성물.
  13. 제12항에 있어서,
    R1 및 R2가 각각 독립적으로 H 또는 C1-C4 알킬인 것을 특징으로 하는, 세정 조성물.
  14. 제8항에 있어서,
    상기 모노카르복실산이 라이신, 2,3-다이아미노부티르산, 2,4-다이아미노부티르산, 오르니틴, 2,3-다이아미노프로피온산, 2,6-다이아미노헵탄산, 4-메틸 라이신, 3-메틸 라이신, 5-하이드록시라이신, 3-메틸-L-아르기닌, 아르기닌, 호모아르기닌, N5-모노메틸-L-아르기닌, N5-[이미노(메틸아미노)메틸]-D-오르니틴, 카나바닌(canavanine), 히스티딘, N-(2-아미노에틸)글리신, N-(2-아미노프로필)글리신, N2-메틸라이신, N2-메틸-L-아르기닌, N2-(2-아미노에틸)-D-아르기닌, N2-(2-아미노에틸)-L-아르기닌, 2-메틸라이신, 2-메틸-L-아르기닌, 3,4-다이아미노부티르산 및 3-아미노-5-[(아미노이미노메틸)메틸아미노] 펜탄산으로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 세정 조성물.
  15. 세정 조성물로서,
    1) 하나 이상의 산화환원제;
    2) 하나 이상의 제1 킬레이트제;
    3) 제1 킬레이트제와 상이한 하나 이상의 제2 킬레이트제;
    4) 하나 이상의 금속 부식 저해제;
    5) 수용성 알코올, 수용성 케톤, 수용성 에스테르 및 수용성 에테르로 이루어진 군으로부터 선택되는 하나 이상의 유기 용매;
    6) 물; 및
    7) 선택적으로, 하나 이상의 pH 조정제
    를 포함하고,
    상기 제1 킬레이트제는 폴리아미노폴리카르복실산이며,
    상기 제2 킬레이트제는 2개 이상의 질소-함유 기를 포함하며,
    상기 금속 부식 저해제는 치환 또는 비치환된 벤조트리아졸이고,
    상기 pH 조정제는 금속 이온을 포함하지 않는 염기이고,
    상기 제2 킬레이트제가 구조 (II)의 화합물인 것을 특징으로 하는, 세정 조성물:
    Figure 112018037069930-pct00011

    상기 구조 (II)에서,
    R10, R11, R12 및 R13 독립적으로 수소, 치환 또는 비치환된 아릴, 치환 또는 비치환된 C3-C10 환형 알킬 및 치환 또는 비치환된 C1-C10 선형 또는 분지형 알킬로 이루어진 군으로부터 선택되고;
    R14은 수소, 또는 R13과 함께 이미다졸 고리를 형성하는 단일 결합이며;
    단, R10, R11, R12 및 R13 중 하나 이상은 아릴기이거나 또는 아릴 치환기를 포함하며, R10, R11, R12 및 R13 중 둘 이상은 수소임.
  16. 세정 조성물로서,
    1) 하나 이상의 산화환원제;
    2) 하나 이상의 제1 킬레이트제;
    3) 제1 킬레이트제와 상이한 하나 이상의 제2 킬레이트제;
    4) 하나 이상의 금속 부식 저해제;
    5) 수용성 알코올, 수용성 케톤, 수용성 에스테르 및 수용성 에테르로 이루어진 군으로부터 선택되는 하나 이상의 유기 용매;
    6) 물; 및
    7) 선택적으로, 하나 이상의 pH 조정제
    를 포함하고,
    상기 제1 킬레이트제는 폴리아미노폴리카르복실산이며,
    상기 제2 킬레이트제는 2개 이상의 질소-함유 기를 포함하며,
    상기 금속 부식 저해제는 치환 또는 비치환된 벤조트리아졸이고,
    상기 pH 조정제는 금속 이온을 포함하지 않는 염기이고,
    상기 제2 킬레이트제가 구조 (III)의 화합물인 것을 특징으로 하는, 세정 조성물:
    Figure 112018037069930-pct00012

    상기 구조 (III)에서,
    R20, R21, R22 및 R23는 독립적으로 수소, 치환 또는 비치환된 아릴, 치환 또는 비치환된 C3-C10 환형 알킬 및 치환 또는 비치환된 C1-C10 선형 또는 분지형 알킬로 이루어진 군으로부터 선택되며;
    R24는 각각 독립적으로 수소, 치환 또는 비치환된 아릴, 치환 또는 비치환된 페닐에틸 또는 치환 또는 비치환된 벤질 알킬로 이루어진 군으로부터 선택되고;
    m은 1 내지 10의 정수이며;
    단, R20, R21, R22, R23 및 R24 중 하나 이상은 아릴기이거나 또는 아릴 치환기를 포함하며, R20, R21, R22 및 R23 중 둘 이상은 수소임.
  17. 세정 조성물로서,
    1) 하나 이상의 산화환원제;
    2) 하나 이상의 제1 킬레이트제;
    3) 제1 킬레이트제와 상이한 하나 이상의 제2 킬레이트제;
    4) 하나 이상의 금속 부식 저해제;
    5) 수용성 알코올, 수용성 케톤, 수용성 에스테르 및 수용성 에테르로 이루어진 군으로부터 선택되는 하나 이상의 유기 용매;
    6) 물; 및
    7) 선택적으로, 하나 이상의 pH 조정제
    를 포함하고,
    상기 제1 킬레이트제는 폴리아미노폴리카르복실산이며,
    상기 제2 킬레이트제는 2개 이상의 질소-함유 기를 포함하며,
    상기 금속 부식 저해제는 치환 또는 비치환된 벤조트리아졸이고,
    상기 pH 조정제는 금속 이온을 포함하지 않는 염기이고,
    상기 제2 킬레이트제가 클로르헥시딘, 알킬렌다이아민, 다이에틸렌트리아민, 트리에틸렌테트라민 또는 폴리에틸렌이민인 것을 특징으로 하는, 세정 조성물.
  18. 세정 조성물로서,
    1) 하나 이상의 산화환원제;
    2) 하나 이상의 제1 킬레이트제;
    3) 제1 킬레이트제와 상이한 하나 이상의 제2 킬레이트제;
    4) 하나 이상의 금속 부식 저해제;
    5) 수용성 알코올, 수용성 케톤, 수용성 에스테르 및 수용성 에테르로 이루어진 군으로부터 선택되는 하나 이상의 유기 용매;
    6) 물; 및
    7) 선택적으로, 하나 이상의 pH 조정제
    를 포함하고,
    상기 제1 킬레이트제는 폴리아미노폴리카르복실산이며,
    상기 제2 킬레이트제는 2개 이상의 질소-함유 기를 포함하며,
    상기 금속 부식 저해제는 치환 또는 비치환된 벤조트리아졸이고,
    상기 pH 조정제는 금속 이온을 포함하지 않는 염기이고,
    상기 제2 킬레이트제가 폴리머성 비구아나이드인 것을 특징으로 하는, 세정 조성물.
  19. 제18항에 있어서,
    상기 폴리머성 비구아나이드가 구조 (IV)의 화합물 또는 이의 염인 것을 특징으로 하는, 세정 조성물:
    Figure 112016055357073-pct00013

    상기 구조 (IV)에서,
    n은 2 이상의 정수이며;
    R25는 각각 독립적으로 H 또는 C1-C6 알킬이고;
    R26는 선택적으로 치환된 C1-C20 알킬렌임.
  20. 제19항에 있어서,
    R26가 각각 독립적으로 C4 내지 C10 알킬렌인 것을 특징으로 하는, 세정 조성물.
  21. 제19항에 있어서,
    R26가 각각 독립적으로 C6 알킬렌인 것을 특징으로 하는, 세정 조성물.
  22. 제19항에 있어서,
    n이 5 내지 300의 정수인 것을 특징으로 하는, 세정 조성물.
  23. 제22항에 있어서,
    n이 5 내지 50의 정수인 것을 특징으로 하는, 세정 조성물.
  24. 제23항에 있어서,
    n이 10 내지 20의 정수인 것을 특징으로 하는, 세정 조성물.
  25. 제24항에 있어서,
    n이 12 또는 15인 것을 특징으로 하는, 세정 조성물.
  26. 제1항에 있어서,
    상기 제2 킬레이트제가 상기 조성물의 0.01 중량% 내지 1.8 중량%인 것을 특징으로 하는, 세정 조성물.
  27. 제1항에 있어서,
    상기 금속 부식 저해제가 알킬기, 아릴기, 할로겐 기, 아미노기, 니트로기, 알콕시기 및 하이드록시기로 이루어진 군으로부터 선택되는 하나 이상의 치환기에 의해 선택적으로 치환된 벤조트리아졸인 것을 특징으로 하는, 세정 조성물.
  28. 제1항에 있어서,
    상기 금속 부식 저해제가 벤조트리아졸, 5-아미노테트라졸, 1-하이드록시벤조트리아졸, 5-페닐티올-벤조트리아졸, 5-클로로벤조트리아졸, 4-클로로벤조트리아졸, 5-브로모벤조트리아졸, 4-브로모벤조트리아졸, 5-플루오로벤조트리아졸, 4-플루오로벤조트리아졸, 나프토트리아졸, 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로벤조트리아졸, 4-니트로벤조트리아졸, 3-아미노-5-머캅토-1,2,4-트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-벤조트리아졸, 5-메틸-1H-벤조트리아졸, 벤조트리아졸-5-카르복실산, 4-메틸벤조트리아졸, 4-에틸벤조트리아졸, 5-에틸벤조트리아졸, 4-프로필벤조트리아졸, 5-프로필벤조트리아졸, 4-이소프로필벤조트리아졸, 5-이소프로필벤조트리아졸, 4-n-부틸벤조트리아졸, 5-n-부틸벤조트리아졸, 4-이소부틸벤조트리아졸, 5-이소부틸벤조트리아졸, 4-펜틸벤조트리아졸, 5-펜틸벤조트리아졸, 4-헥실벤조트리아졸, 5-헥실벤조트리아졸, 5-메톡시벤조트리아졸, 5-하이드록시벤조트리아졸, 다이하이드록시프로필벤조트리아졸, 1-[N,N-비스(2-에틸헥실)아미노메틸]-벤조트리아졸, 5-t-부틸 벤조트리아졸, 5-(1',1'-다이메틸프로필)-벤조트리아졸, 5-(1',1',3'-트리메틸부틸)벤조트리아졸, 5-n-옥틸 벤조트리아졸 및 5-(1',1',3',3'-테트라메틸부틸)벤조트리아졸로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 세정 조성물.
  29. 제1항에 있어서,
    상기 금속 부식 저해제가 상기 조성물의 0.05 중량% 내지 1 중량%인 것을 특징으로 하는, 세정 조성물.
  30. 제1항에 있어서,
    상기 유기 용매가 상기 조성물의 1 중량% 내지 30 중량%인 것을 특징으로 하는, 세정 조성물.
  31. 제1항에 있어서,
    상기 물이 상기 조성물의 78 중량% 내지 98 중량%인 것을 특징으로 하는, 세정 조성물.
  32. 제1항에 있어서,
    하나 이상의 pH 조정제를 추가로 이루어지며,
    상기 pH 조정제가 금속 이온을 포함하지 않는 염기인 것을 특징으로 하는, 세정 조성물.
  33. 제32항에 있어서,
    상기 pH 조정제가 4차 암모늄 하이드록사이드, 모노아민, 이민 또는 구아니딘 염인 것을 특징으로 하는, 세정 조성물.
  34. 식각-후(post etch) 잔류물 및/또는 애쉬-후(post ash) 잔류물을 포함하는 반도체 기판을 제1항에 따른 세정 조성물과 접촉시키는 단계를 포함하는, 방법.
  35. 제34항에 있어서,
    상기 접촉 단계 후, 상기 반도체 기판을 헹굼 용매(rinse solvent)로 헹구는 단계를 추가로 포함하는 것을 특징으로 하는, 방법.
  36. 제35항에 있어서,
    상기 헹굼 단계 후, 상기 반도체 기판을 건조하는 단계를 추가로 포함하는 것을 특징으로 하는, 방법.
  37. 제34항에 있어서,
    상기 반도체 기판으로부터 반도체 소자를 형성하는 단계를 추가로 포함하는 것을 특징으로 하는, 방법.
  38. 세정 조성물로서,
    1) 하나 이상의 산화환원제;
    2) 하나 이상의 제1 킬레이트제;
    3) 하나 이상의 금속 부식 저해제;
    4) 수용성 알코올, 수용성 케톤, 수용성 에스테르 및 수용성 에테르로 이루어진 군으로부터 선택되는 하나 이상의 유기 용매;
    5) 물; 및
    6) 선택적으로, 하나 이상의 pH 조정제
    로 이루어지고,
    상기 제1 킬레이트제는 폴리아미노폴리카르복실산이며,
    상기 금속 부식 저해제는 치환 또는 비치환된 벤조트리아졸이고,
    상기 pH 조정제는 금속 이온을 포함하지 않는 염기인, 세정 조성물.
  39. 제38항에 있어서,
    상기 조성물의 pH가 pH 6 내지 pH 11인 것을 특징으로 하는, 세정 조성물.
  40. 제38항에 있어서,
    상기 산화환원제가 하이드록시아민인 것을 특징으로 하는, 세정 조성물.
  41. 제38항에 있어서,
    상기 산화환원제가 상기 조성물의 0.5 중량% 내지 20 중량%인 것을 특징으로 하는, 세정 조성물.
  42. 제38항에 있어서,
    상기 폴리아미노폴리카르복실산이 모노알킬렌 폴리아민 폴리카르복실산 또는 폴리알킬렌 폴리아민 폴리카르복실산, 폴리아미노알칸 폴리카르복실산, 폴리아미노알카놀 폴리카르복실산 및 하이드록시알킬에테르 폴리아민 폴리카르복실산으로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 세정 조성물.
  43. 제38항에 있어서,
    상기 폴리아미노폴리카르복실산이 상기 조성물의 0.01 중량% 내지 1 중량%인 것을 특징으로 하는, 세정 조성물.
  44. 제38항에 있어서,
    상기 금속 부식 저해제가 알킬기, 아릴기, 할로겐 기, 아미노기, 니트로기, 알콕시기 및 하이드록시기로 이루어진 군으로부터 선택되는 하나 이상의 치환기에 의해 선택적으로 치환된 벤조트리아졸인 것을 특징으로 하는, 세정 조성물.
  45. 제38항에 있어서,
    상기 금속 부식 저해제가 상기 조성물의 0.05 중량% 내지 1 중량%인 것을 특징으로 하는, 세정 조성물.
  46. 제38항에 있어서,
    하나 이상의 pH 조정제를 추가로 포함하며,
    상기 pH 조정제가 금속 이온을 포함하지 않는 염기인 것을 특징으로 하는, 세정 조성물.
  47. 세정 조성물로서,
    상기 세정 조성물이 하이드록시아민, 다이에틸렌트리아민 펜타아세트산, 5-메틸벤조트리아졸, 1,8-다이아자비사이클로[5.4.0]운데스-7-엔, 에틸렌 글리콜 부틸 에테르 및 물을 포함하는 것을 특징으로 하는, 세정 조성물.
  48. 제47항에 있어서,
    상기 조성물이,
    하이드록시아민 0.5 중량% 내지 20 중량%;
    다이에틸렌트리아민 펜타아세트산 0.01 중량% 내지 1 중량%;
    5-메틸벤조트리아졸 0.05 중량% 내지 1 중량%;
    1,8-다이아자비사이클로[5.4.0]운데스-7-엔 0.1 중량% 내지 3 중량%;
    에틸렌 글리콜 부틸 에테르 1 중량% 내지 20 중량%; 및
    물 78 중량% 내지 98 중량%
    를 포함하는 것을 특징으로 하는, 세정 조성물.
KR1020167015325A 2013-12-06 2014-12-03 표면 잔류물 제거용 세정 제형 KR101964901B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361912697P 2013-12-06 2013-12-06
US61/912,697 2013-12-06
US201461936999P 2014-02-07 2014-02-07
US61/936,999 2014-02-07
PCT/US2014/068294 WO2015084921A1 (en) 2013-12-06 2014-12-03 Cleaning formulation for removing residues on surfaces

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020197008832A Division KR20190035959A (ko) 2013-12-06 2014-12-03 표면 잔류물 제거용 세정 제형

Publications (2)

Publication Number Publication Date
KR20160096095A KR20160096095A (ko) 2016-08-12
KR101964901B1 true KR101964901B1 (ko) 2019-04-02

Family

ID=53270533

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020217021104A KR102573354B1 (ko) 2013-12-06 2014-12-03 표면 잔류물 제거용 세정 제형
KR1020207008354A KR20200034830A (ko) 2013-12-06 2014-12-03 표면 잔류물 제거용 세정 제형
KR1020197008832A KR20190035959A (ko) 2013-12-06 2014-12-03 표면 잔류물 제거용 세정 제형
KR1020167015325A KR101964901B1 (ko) 2013-12-06 2014-12-03 표면 잔류물 제거용 세정 제형
KR1020237029245A KR20230129193A (ko) 2013-12-06 2014-12-03 표면 잔류물 제거용 세정 제형

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020217021104A KR102573354B1 (ko) 2013-12-06 2014-12-03 표면 잔류물 제거용 세정 제형
KR1020207008354A KR20200034830A (ko) 2013-12-06 2014-12-03 표면 잔류물 제거용 세정 제형
KR1020197008832A KR20190035959A (ko) 2013-12-06 2014-12-03 표면 잔류물 제거용 세정 제형

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020237029245A KR20230129193A (ko) 2013-12-06 2014-12-03 표면 잔류물 제거용 세정 제형

Country Status (8)

Country Link
US (10) US9562211B2 (ko)
EP (3) EP3104398B1 (ko)
JP (4) JP6494627B2 (ko)
KR (5) KR102573354B1 (ko)
CN (2) CN108485840B (ko)
IL (2) IL245724A0 (ko)
TW (5) TWI687515B (ko)
WO (1) WO2015084921A1 (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6203525B2 (ja) * 2013-04-19 2017-09-27 関東化學株式会社 洗浄液組成物
US9562211B2 (en) 2013-12-06 2017-02-07 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
JP6486652B2 (ja) * 2014-10-31 2019-03-20 東京応化工業株式会社 リソグラフィー用洗浄液、及び基板の洗浄方法
EP3304197A4 (en) * 2015-06-04 2019-01-23 Kateeva, Inc. METHOD FOR PRODUCING AN ESTETRESIST PATTERN ON A METALLIC SURFACE
JP6808714B2 (ja) * 2015-08-03 2021-01-06 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物
KR102626521B1 (ko) 2015-08-13 2024-01-17 카티바, 인크. 금속 표면 상에 에치 레지스트 패턴을 형성하는 방법
KR102384908B1 (ko) * 2015-11-25 2022-04-08 삼성전자주식회사 자성 패턴 세정 조성물, 자성 패턴 형성 방법 및 자기 메모리 장치의 제조 방법
WO2017099211A1 (ja) * 2015-12-11 2017-06-15 富士フイルム株式会社 洗浄液、基板洗浄方法、及び、半導体デバイスの製造方法
JP6681750B2 (ja) * 2016-03-04 2020-04-15 東京応化工業株式会社 洗浄液及び洗浄方法
WO2017155669A1 (en) 2016-03-11 2017-09-14 Fujifilm Planar Solutions, LLC Advanced fluid processing methods and systems
US10916435B2 (en) * 2016-03-30 2021-02-09 Fujimi Incorporated Surface treatment composition, method of producing surface treatment composition, method of treating surface, and method of producing semiconductor substrate
KR102160022B1 (ko) * 2016-08-31 2020-09-25 후지필름 가부시키가이샤 처리액, 기판의 세정 방법, 반도체 디바이스의 제조 방법
WO2018067763A1 (en) * 2016-10-06 2018-04-12 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on semiconductor substrates
US10398034B2 (en) 2016-12-12 2019-08-27 Kateeva, Inc. Methods of etching conductive features, and related devices and systems
JP2020508369A (ja) * 2017-02-10 2020-03-19 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄用調合物
WO2018175222A1 (en) 2017-03-24 2018-09-27 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions for removing residues on semiconductor substrates
JP6899252B2 (ja) * 2017-05-10 2021-07-07 株式会社ディスコ 加工方法
KR102295991B1 (ko) 2017-08-31 2021-09-01 후지필름 가부시키가이샤 처리액, 키트, 기판의 세정 방법
JP7306373B2 (ja) * 2018-03-14 2023-07-11 三菱瓦斯化学株式会社 ドライエッチング残渣を除去するための洗浄液及びこれを用いた半導体基板の製造方法
US10752867B2 (en) 2018-03-28 2020-08-25 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
JP2022514611A (ja) * 2018-12-21 2022-02-14 インテグリス・インコーポレーテッド コバルト基板のcmp後洗浄のための組成物及び方法
US11268025B2 (en) * 2019-06-13 2022-03-08 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
WO2021039137A1 (ja) 2019-08-23 2021-03-04 富士フイルム株式会社 洗浄剤組成物
JP7324290B2 (ja) * 2019-09-09 2023-08-09 富士フイルム株式会社 処理液、キット、処理液の製造方法、基板の洗浄方法、基板の処理方法
WO2021131449A1 (ja) * 2019-12-26 2021-07-01 富士フイルムエレクトロニクスマテリアルズ株式会社 洗浄液、洗浄方法
CN113130292A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种等离子体刻蚀残留物清洗液
JP7433418B2 (ja) 2020-04-10 2024-02-19 富士フイルム株式会社 半導体基板用洗浄液
WO2022005696A1 (en) * 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202208607A (zh) 2020-08-27 2022-03-01 美商富士軟片電子材料美國股份有限公司 清潔組成物
US11728185B2 (en) 2021-01-05 2023-08-15 Applied Materials, Inc. Steam-assisted single substrate cleaning process and apparatus
US20240010952A1 (en) * 2022-07-05 2024-01-11 Fujifilm Electronic Materials U.S.A., Inc. Cleaning Compositions

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000014193A1 (en) 1998-09-07 2000-03-16 Macgregor, Keith, Martin Composition for the removal of biological and organic substances
WO2009146606A1 (zh) * 2008-06-06 2009-12-10 安集微电子科技(上海)有限公司 一种等离子刻蚀残留物清洗液
EP2305788A1 (en) 2009-09-30 2011-04-06 FUJIFILM Corporation Cleaning composition, cleaning process, and process for producing semiconductor device
JP2012009513A (ja) * 2010-06-22 2012-01-12 Fujifilm Corp 洗浄組成物、洗浄方法、及び、半導体装置の製造方法
US20120048295A1 (en) 2009-03-11 2012-03-01 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
JP2012195590A (ja) * 2011-03-16 2012-10-11 Air Products & Chemicals Inc クリーニング調合物およびそのクリーニング調合物の使用方法
WO2013101907A1 (en) 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1347008A (en) 1970-11-13 1974-02-13 Ciba Geigy Uk Ltd Detergent compositions
JPH03799A (ja) * 1989-05-29 1991-01-07 Asahi Chem Res Lab Ltd 水性フラックス洗浄剤組成物
US6825156B2 (en) 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
JP2731730B2 (ja) 1993-12-22 1998-03-25 インターナショナル・ビジネス・マシーンズ・コーポレイション フォトレジストの除去方法
JP2911792B2 (ja) 1995-09-29 1999-06-23 東京応化工業株式会社 レジスト用剥離液組成物
US20020111024A1 (en) 1996-07-25 2002-08-15 Small Robert J. Chemical mechanical polishing compositions
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6265781B1 (en) 1996-10-19 2001-07-24 Micron Technology, Inc. Methods and solutions for cleaning polished aluminum-containing layers, methods for making metallization structures, and the structures resulting from these methods
WO1998049723A1 (en) 1997-04-30 1998-11-05 Minnesota Mining And Manufacturing Company Method of planarizing the upper surface of a semiconductor wafer
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
JP3898801B2 (ja) 1997-06-17 2007-03-28 株式会社大和化成研究所 銀製品の変色皮膜除去剤及び除去方法
US6284309B1 (en) 1997-12-19 2001-09-04 Atotech Deutschland Gmbh Method of producing copper surfaces for improved bonding, compositions used therein and articles made therefrom
US7579308B2 (en) 1998-07-06 2009-08-25 Ekc/Dupont Electronics Technologies Compositions and processes for photoresist stripping and residue removal in wafer level packaging
WO2000016782A1 (en) 1998-09-18 2000-03-30 The University Of British Columbia Pharmaceutical compositions of vanadium biguanide complexes and their use
JP2002528903A (ja) 1998-10-23 2002-09-03 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド 活性剤溶液を含有し、化学機械的に磨くためのスラリーシステム
DE19849648A1 (de) 1998-10-29 2000-05-04 Benckiser Nv Reinigungsmittelzusammensetzung
US6310020B1 (en) 1998-11-13 2001-10-30 Kao Corporation Stripping composition for resist
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6303557B1 (en) 1999-11-16 2001-10-16 S. C. Johnson Commercial Markets, Inc. Fast acting disinfectant and cleaner containing a polymeric biguanide
US6417147B2 (en) 2000-02-29 2002-07-09 Showa Denko K.K. Cleaning agent composition, method for cleaning and use thereof
US7456140B2 (en) 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6740589B2 (en) 2000-11-30 2004-05-25 Showa Denko Kabushiki Kaisha Composition for polishing semiconductor wafer, semiconductor circuit wafer, and method for producing the same
US7754765B2 (en) 2000-12-01 2010-07-13 Radical Vision Therapeutics Inc Copper chelators for treating ocular inflammation
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
JP4867092B2 (ja) * 2001-07-04 2012-02-01 三菱瓦斯化学株式会社 レジスト剥離剤組成物
TWI297102B (en) 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
KR100438015B1 (ko) 2001-10-10 2004-06-30 엘지.필립스 엘시디 주식회사 구리용 레지스트 제거용 조성물
US7543592B2 (en) 2001-12-04 2009-06-09 Ekc Technology, Inc. Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US20030119692A1 (en) 2001-12-07 2003-06-26 So Joseph K. Copper polishing cleaning solution
US6717019B2 (en) 2002-01-30 2004-04-06 Air Products And Chemicals, Inc. Glycidyl ether-capped acetylenic diol ethoxylate surfactants
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
WO2003091376A1 (en) 2002-04-24 2003-11-06 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
US8003587B2 (en) 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
PL207297B1 (pl) 2002-06-07 2010-11-30 Mallinckrodt Baker Inc Bezkrzemianowa kompozycja czyszcząca i zastosowanie bezkrzemianowej kompozycji czyszczącej
JP2004101849A (ja) * 2002-09-09 2004-04-02 Mitsubishi Gas Chem Co Inc 洗浄剤組成物
TWI227271B (en) 2002-10-04 2005-02-01 Merck Kanto Advanced Chemical Post chemical mechanical polishing (CMP) cleaning solution
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
TWI324362B (en) 2003-01-10 2010-05-01 Kanto Kagaku Cleaning solution for semiconductor substrate
US6951710B2 (en) 2003-05-23 2005-10-04 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
JP3953476B2 (ja) 2003-06-26 2007-08-08 ドングウー ファイン−ケム カンパニー、 リミテッド フォトレジスト剥離液組成物及びそれを用いたフォトレジストの剥離方法
TWI362415B (en) 2003-10-27 2012-04-21 Wako Pure Chem Ind Ltd Novel detergent and method for cleaning
WO2005043245A2 (en) * 2003-10-29 2005-05-12 Mallinckrodt Baker Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
JP2005223030A (ja) * 2004-02-04 2005-08-18 Mitsubishi Gas Chem Co Inc 半導体基体の洗浄剤とその洗浄方法
KR100795364B1 (ko) 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
KR100606187B1 (ko) 2004-07-14 2006-08-01 테크노세미켐 주식회사 반도체 기판 세정용 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
US8178482B2 (en) 2004-08-03 2012-05-15 Avantor Performance Materials, Inc. Cleaning compositions for microelectronic substrates
US20060073997A1 (en) 2004-09-30 2006-04-06 Lam Research Corporation Solutions for cleaning silicon semiconductors or silicon oxides
US20060094613A1 (en) 2004-10-29 2006-05-04 Lee Wai M Compositions and processes for photoresist stripping and residue removal in wafer level packaging
KR101331747B1 (ko) 2005-01-27 2013-11-20 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 반도체 기판 처리 조성물
JP4988165B2 (ja) 2005-03-11 2012-08-01 関東化学株式会社 フォトレジスト剥離液組成物及びフォトレジストの剥離方法
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
CN101198416A (zh) 2005-04-15 2008-06-11 高级技术材料公司 从微电子器件上清除离子注入光致抗蚀剂层的配方
JP2007003617A (ja) * 2005-06-21 2007-01-11 Showa Denko Kk 剥離液組成物
US7700533B2 (en) * 2005-06-23 2010-04-20 Air Products And Chemicals, Inc. Composition for removal of residue comprising cationic salts and methods using same
TWI339780B (en) 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
WO2007120259A2 (en) 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
TWI362571B (en) 2006-05-26 2012-04-21 Lg Chemical Ltd Stripper composition for photoresist
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US20080039356A1 (en) 2006-07-27 2008-02-14 Honeywell International Inc. Selective removal chemistries for semiconductor applications, methods of production and uses thereof
US20080139436A1 (en) * 2006-09-18 2008-06-12 Chris Reid Two step cleaning process to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric material
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2008080097A2 (en) 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
KR101341707B1 (ko) 2007-06-28 2013-12-16 동우 화인켐 주식회사 포토레지스트 박리액 조성물 및 이를 이용한 박리방법
TW200940706A (en) * 2007-10-29 2009-10-01 Ekc Technology Inc Methods of cleaning semiconductor devices at the back end of line using amidoxime compositions
WO2009058288A1 (en) 2007-10-29 2009-05-07 Ekc Technology, Inc. Amidoxime compounds as chelating agents in semiconductor processes
KR20100076999A (ko) 2007-10-31 2010-07-06 이케이씨 테크놀로지, 인코포레이티드 포토레지스트 박리를 위한 화합물
US20090120457A1 (en) 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
US8404626B2 (en) 2007-12-21 2013-03-26 Lam Research Corporation Post-deposition cleaning methods and formulations for substrates with cap layers
JP5813280B2 (ja) 2008-03-19 2015-11-17 富士フイルム株式会社 半導体デバイス用洗浄液、および洗浄方法
US7825079B2 (en) * 2008-05-12 2010-11-02 Ekc Technology, Inc. Cleaning composition comprising a chelant and quaternary ammonium hydroxide mixture
JP5561914B2 (ja) 2008-05-16 2014-07-30 関東化学株式会社 半導体基板洗浄液組成物
KR101752684B1 (ko) 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. 구리 세척 및 보호 조성물
JP5553985B2 (ja) 2008-12-11 2014-07-23 三洋化成工業株式会社 電子材料用洗浄剤
US8361237B2 (en) 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
KR20110106880A (ko) 2009-01-22 2011-09-29 바스프 에스이 화학적 기계적 연마 후 세정을 위한 조성물
US8518865B2 (en) * 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
US9034810B2 (en) 2009-09-02 2015-05-19 Wako Pure Chemical Industries, Ltd. Processing agent composition for semiconductor surface and method for processing semiconductor surface using same
WO2011027673A1 (ja) 2009-09-03 2011-03-10 荒川化学工業株式会社 鉛フリーハンダ水溶性フラックス除去用洗浄剤、除去方法及び洗浄方法
SG10201500387RA (en) 2010-01-29 2015-04-29 Entegris Inc Cleaning agent for semiconductor provided with metal wiring
JP5513196B2 (ja) 2010-03-25 2014-06-04 富士フイルム株式会社 洗浄組成物及び半導体装置の製造方法
JP2012021151A (ja) 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
SG10201505535VA (en) 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
JP5674373B2 (ja) 2010-07-30 2015-02-25 富士フイルム株式会社 洗浄組成物、これを用いた洗浄方法及び半導体素子の製造方法
JP2012046685A (ja) 2010-08-30 2012-03-08 Fujifilm Corp 洗浄組成物、これを用いた洗浄方法及び半導体素子の製造方法
CN102477359B (zh) 2010-11-26 2015-12-02 安集微电子(上海)有限公司 一种化学机械抛光清洗液
WO2012161790A1 (en) 2011-02-24 2012-11-29 John Moore Concentrated chemical composition and method for removing photoresist during microelectric fabrication
WO2012148967A2 (en) 2011-04-25 2012-11-01 Air Products And Chemicals, Inc. Cleaning lead-frames to improve wirebonding process
EP2768920A4 (en) 2011-10-21 2015-06-03 Advanced Tech Materials AMIN FREE POST-KMP COMPOSITION AND METHOD OF USE THEREOF
JP2013104104A (ja) 2011-11-14 2013-05-30 Mec Kk エッチング液、補給液及び銅配線の形成方法
US8916429B2 (en) 2012-04-30 2014-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Aqueous cleaning techniques and compositions for use in semiconductor device manufacturing
WO2013170130A1 (en) 2012-05-11 2013-11-14 Advanced Technology Materials, Inc. Formulations for wet etching nipt during silicide fabrication
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
CN105683336A (zh) * 2013-06-06 2016-06-15 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
JP6599322B2 (ja) 2013-10-21 2019-10-30 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 表面の残留物を除去するための洗浄配合物
US9562211B2 (en) * 2013-12-06 2017-02-07 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US10073351B2 (en) 2014-12-23 2018-09-11 Versum Materials Us, Llc Semi-aqueous photoresist or semiconductor manufacturing residue stripping and cleaning composition with improved silicon passivation
JP6808714B2 (ja) 2015-08-03 2021-01-06 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物
WO2018067763A1 (en) * 2016-10-06 2018-04-12 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on semiconductor substrates
WO2018175222A1 (en) 2017-03-24 2018-09-27 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions for removing residues on semiconductor substrates
US10752867B2 (en) 2018-03-28 2020-08-25 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000014193A1 (en) 1998-09-07 2000-03-16 Macgregor, Keith, Martin Composition for the removal of biological and organic substances
WO2009146606A1 (zh) * 2008-06-06 2009-12-10 安集微电子科技(上海)有限公司 一种等离子刻蚀残留物清洗液
US20120048295A1 (en) 2009-03-11 2012-03-01 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
EP2305788A1 (en) 2009-09-30 2011-04-06 FUJIFILM Corporation Cleaning composition, cleaning process, and process for producing semiconductor device
JP2012009513A (ja) * 2010-06-22 2012-01-12 Fujifilm Corp 洗浄組成物、洗浄方法、及び、半導体装置の製造方法
JP2012195590A (ja) * 2011-03-16 2012-10-11 Air Products & Chemicals Inc クリーニング調合物およびそのクリーニング調合物の使用方法
WO2013101907A1 (en) 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride

Also Published As

Publication number Publication date
KR20210088018A (ko) 2021-07-13
TW202330893A (zh) 2023-08-01
JP6856684B2 (ja) 2021-04-07
CN108485840A (zh) 2018-09-04
EP3077129B1 (en) 2020-11-11
US11618867B2 (en) 2023-04-04
JP7171800B2 (ja) 2022-11-15
US20230100080A1 (en) 2023-03-30
KR20200034830A (ko) 2020-03-31
TW201527523A (zh) 2015-07-16
US10415005B2 (en) 2019-09-17
EP3077129A1 (en) 2016-10-12
KR20160096095A (ko) 2016-08-12
TWI687515B (zh) 2020-03-11
IL264953B (en) 2020-11-30
TW201811996A (zh) 2018-04-01
US20150159124A1 (en) 2015-06-11
TW202026413A (zh) 2020-07-16
US20220145222A1 (en) 2022-05-12
JP6494627B2 (ja) 2019-04-03
US11286444B2 (en) 2022-03-29
KR20190035959A (ko) 2019-04-03
TWI609958B (zh) 2018-01-01
TWI653332B (zh) 2019-03-11
TWI781379B (zh) 2022-10-21
IL245724A0 (en) 2016-07-31
JP2021102773A (ja) 2021-07-15
US11401487B2 (en) 2022-08-02
CN105873691B (zh) 2018-04-20
US10696933B2 (en) 2020-06-30
EP3719105A1 (en) 2020-10-07
US11639487B2 (en) 2023-05-02
KR20230129193A (ko) 2023-09-06
JP2023014099A (ja) 2023-01-26
JP2017504190A (ja) 2017-02-02
US20170101608A1 (en) 2017-04-13
WO2015084921A1 (en) 2015-06-11
EP3104398A1 (en) 2016-12-14
US20200048584A1 (en) 2020-02-13
US9562211B2 (en) 2017-02-07
EP3104398B1 (en) 2020-03-11
EP3077129A4 (en) 2017-10-04
US20200377829A1 (en) 2020-12-03
US10927329B2 (en) 2021-02-23
CN108485840B (zh) 2020-12-29
US10253282B2 (en) 2019-04-09
US20230066300A1 (en) 2023-03-02
CN105873691A (zh) 2016-08-17
KR102573354B1 (ko) 2023-08-30
JP2019116634A (ja) 2019-07-18
TW201920641A (zh) 2019-06-01
US20190241845A1 (en) 2019-08-08
US20220275313A1 (en) 2022-09-01
US20190256807A1 (en) 2019-08-22
EP3719105B1 (en) 2023-09-27

Similar Documents

Publication Publication Date Title
KR101964901B1 (ko) 표면 잔류물 제거용 세정 제형

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant