JP2012195590A - クリーニング調合物およびそのクリーニング調合物の使用方法 - Google Patents

クリーニング調合物およびそのクリーニング調合物の使用方法 Download PDF

Info

Publication number
JP2012195590A
JP2012195590A JP2012059809A JP2012059809A JP2012195590A JP 2012195590 A JP2012195590 A JP 2012195590A JP 2012059809 A JP2012059809 A JP 2012059809A JP 2012059809 A JP2012059809 A JP 2012059809A JP 2012195590 A JP2012195590 A JP 2012195590A
Authority
JP
Japan
Prior art keywords
water
composition
mixtures
group
corrosion inhibitor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012059809A
Other languages
English (en)
Other versions
JP5662365B2 (ja
Inventor
Aiping Wu
ウー アイピン
Yi-Chia Lee
リー イ−チア
Wen Dar Liu
ダー リウ ウェン
Bhaskara Rao Madhukar
バスカラ ラオ マデュカー
Banerjee Gautam
バナジー ガウタム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2012195590A publication Critical patent/JP2012195590A/ja
Application granted granted Critical
Publication of JP5662365B2 publication Critical patent/JP5662365B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2006Monohydric alcohols
    • C11D3/2034Monohydric alcohols aromatic
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • C11D3/2058Dihydric alcohols aromatic
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2086Hydroxy carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/22Light metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】非有毒かつ環境に優しいクリーニング組成物、従来の高有機含有量系クリーニング組成物に比肩するクリーニング効率を有するクリーニング組成物を提供すること。
【解決手段】A半導体基材がアルミニウムを含む用途におけるフォトレジストおよびエッチング後/アッシング後残留物除去のための水に富んだヒドロキシルアミン調合物。クリーニング組成物は、約2〜約15wt%のヒドロキシルアミン;約50〜約80wt%の水;約0.01〜約5.0wt%の腐食防止剤;約5〜約45wt%の、:pKa<9.0を有するアルカノールアミン、水混和性溶媒、およびそれらの混合物からなる群から選択される成分を含む。そうした組成物の使用は、一方で両方の材料を含む基材でアルミニウムを保護しながら、Al基材での効率的なクリーニング能力、最小限のケイ素エッチングを示す。
【選択図】なし

Description

本願は、2011年3月16日出願の米国仮特許出願第61/453282号明細書(参照により本明細書中に完全に取り込まれる)の利益を主張する。
本発明は、例えば、半導体基材上の、好ましからざるレジスト膜、エッチング後、およびアッシング後残留物を除去することを含む種々の用途のために使用できるクリーニング組成物を提供する。特に、本発明は、有機成分の使用を最小化するラインの終端側での(back−end−of−the−line)作業のために特に有用であるクリーニング組成物を提供する。
本発明の背景技術は、集積回路の製造を含むクリーニング用途におけるその使用と関連して記載されるであろう。しかし、当然のことながら、本発明の使用は、以下本明細書中に記載されるようにより広い適用性を有する。
集積回路の製造においては、ケイ素、ガリウムヒ素、ガラスの表面、または進行中の(in−process)集積回路ウェハー上に位置する他の基材上に堆積されたか、または成長した薄膜中の開口または他の形状をエッチングするのが時々必要である。そうした膜をエッチングするための現在の方法は、膜の一部を除去するように膜が化学的エッチング剤に露出されることを必要とする。膜の一部を除去するのに使用される特定のエッチング剤は、膜の性質による。酸化物膜の場合、例えば、エッチング剤は、フッ化水素酸であることができる。ポリケイ素膜の場合、典型的には、フッ化水素酸または硝酸と酢酸との混合物であろう。
膜の所望の部分のみが除去されることを確かにするために、フォトリソグラフィー工程が使用され、これを通してコンピューター中のパターンを書いたフォトマスク内のパターンが膜の表面に転写される。マスクは、選択的に除去される膜の範囲を特定するのに役立つ。光感受性材料が集積回路ウェハー上に薄膜でスピンコートされ、そしてフォトマスクを通して投影される高強度の照射に曝されるフォトレジスト材料を用いて、このパターンは形成される。露光されたまたは未露光のフォトレジスト材料は、その組成によって、典型的には現像液で溶解され、選択された範囲中で起こるエッチングを可能とし、一方、他の範囲ではエッチングを防ぐパターンを残す。例えば、ポジティブタイプのレジストは、エッチングが起こる場合、ビア、トレンチ、コンタクトホール等になる基材上の細かいパターンをマスキングする材料として広く使用されてきた。
ますます、例えば、プラズマエッチング、反応性イオンエッチング、またはイオンミリング等の乾燥エッチングプロセスは、基材のフォトレジストで保護されていない範囲を攻撃して、ビア、トレンチ、コンタクトホール等を生成させるために使用される。プラズマエッチングプロセスの結果として、フォトレジスト、エッチングガスおよびエッチングされた材料の副生成物が基材上のエッチングされた開口の側壁の周りまたは側壁上に残留物として堆積される。
そうした乾燥エッチングプロセスはまた、典型的にはフォトレジストを除去するのを極度に難しくする。例えば、相互に接続する回路の複数層の裏側配線を有するアドバンスドDRAMおよびロジック機器等の複雑な半導体機器において、反応性イオンエッチング(RIE)は、層間絶縁を通してビアを生成して、1つのレベルのケイ素、ケイ化物または金属回路と次のレベルの回路との間の接触を生成するために使用される。これらのビアは、典型的には、Al、AlCu、Cu、Ti、TiN、Ta、TaN、ケイ素または、例えば、タングステン、チタンまたはコバルトのケイ化物等のケイ化物を露出する。RIE工程は、例えば、再スパッタされた酸化物材料、エッチングガスから誘導されたポリマー材料、およびビアを描くのに使用されたレジストからの有機材料を含むことができる複雑な混合物を含む関与した基材上の残留物を残す。
さらに、エッチングステップの停止後に、最終の仕上げ作業を行えるように、フォトレジストおよびエッチ残留物は、ウェハーの保護された範囲から除去される必要がある。これは、好適なプラズマアッシングガスの使用によってプラズマ「アッシング」ステップで達成可能である。これは、典型的には、高温、例えば、200℃超で生じる。アッシングは、有機残留物の大部分を揮発性種に転化させるが、主に無機残留物を基材上に残す。そうした残留物は、典型的には基材の表面上のみならず、存在できるビアの内壁上にも残留する。結果として、アッシング処理した基材は、多くの場合、典型的には基材から高付着性残留物を除去するといわれる「液体剥離組成物」と呼ばれるクリーニング組成物を用いて処理される。悪影響、例えば、金属回路を腐食させること、溶解すること、鈍くすることなく、この残留物の除去のための好適なクリーニング組成物を見いだすことはまた、問題があることが証明されてきた。残留物を完全に除去または中和し損ねると、回路配線の中断および電気抵抗の望ましくない増加となる場合がある。
ジメチルアセトアミド(DMAC)を含むクリーニング組成物は、半導体基材から残留物を除去するために広く使用されている。DMACは、有機残留物のための優れた溶媒とする高極性のために、そうした用途に特に好適である。高い引火点を有し、水混和性であり、低粘度を有し、そして比較的安価であることから、DMACはまた望ましい。しかし、あいにくDMACは、米国およびヨーロッパの両方において有毒材料として分類されている。この点で、DMACは、2のNPFAヘルスレーティングを有し、そしてそのMSDSは、皮膚を通して容易に吸収されることを示す。毒性データはまた、DMACが胚毒素であることができることを示し、したがって、その使用は、ヨーロッパにおいて妨げられてきており、そして米国およびアジアにおいてはさらなる詳細な精査を受けてきた。結果として、例えば、電子産業は、DMACを含むクリーニング組成物を使用しないであろう。
例えば、アッシングされたおよびアッシングされていない基材のAl BEOL(ラインの終端側での)クリーニング等における、アルミニウムを含む半導体基材のクリーニングに関心がある場合、従来の組成物は、典型的には5〜50%ヒドロキシルアミン、10〜80%(アルカノールアミンおよび/または溶媒)、30%までのキレート剤および水(水は、比較的より小さい成分である)を含む。しかし、そうした組成物は、概して有機組成物であり、水によるアルミニウムの腐食を防ぐために、最終の水すすぎ前に、例えば、イソプロピルアルコールすすぎステップ等の追加のすすぎステップ(すなわち、中間すすぎステップ)を必要とする。
したがって、フォトレジストおよび、例えば、上記の欠点に苦しむことなくプラズマ工程によって生成されたもの等のプラズマアッシング残留物を剥離することを含む後半部(back−end)クリーニング作業のための非有毒かつ環境に優しいクリーニング組成物への技術的ニーズがある。基材上の金属構造の臨界寸法を変えずに、エッチング残留物を除去する従来の高有機含有量系クリーニング組成物に比肩するクリーニング効率を有する水に富んだヒドロキシルアミン含有クリーニング組成物への特別なニーズがある。
本発明は、約2wt%〜約15wt%(または約2〜約10または約2〜約12%wt%)のヒドロキシルアミン(NHOH);約55〜約80wt%(または約50〜約80wt%)の水;約0.01〜約5.0wt%の腐食防止剤;約5〜約42wt%または約5〜約45wt%の、pKa<9.0を有するアルカノールアミン、水混和性有機溶媒、およびそれらの混合物からなる群から選択される成分を含むか、これらから本質的に成るか、および/またはこれらからなる半導体基材から残留物を除去するのに有用な組成物を提供することによって、このニーズを満足する。
別の形態では、本発明は、半導体基材から残留物を除去するのに有用な組成物を提供し、この組成物は、約4〜約10wt%または約4〜約12wt%または約4〜約15wt%のヒドロキシルアミン;約60〜約80wt%の水;約0.01〜約5.0wt%(または約0.1〜約1.0wt%)の腐食防止剤;約10〜約25wt%の水混和性有機溶媒;および約0〜約30wt%(または約0〜約25wt%)のpKa<9.0を有するアルカノールアミンを含むか、これらから本質的に成るか、および/またはこれらからなる。
別の形態では、本発明は、アルミニウムおよびケイ素を含む基材から残留物を除去するための方法を提供し、この方法は、約2〜約10wt%(または約2〜約12または約2〜約15wt%)のヒドロキシルアミン;約50〜約80wt%(または約55〜約80wt%)の水;約0.01〜約5.0wt%の腐食防止剤;約5〜約42または約5〜約45wt%の、pKa<9.0を有するアルカノールアミン、水混和性有機溶媒、およびそれらの混合物からなる群から選択される成分を含むか、本質的に成るか、および/またはからなるクリーニング組成物と基材とを接触させる工程と;基材を水ですすぐ工程と;基材を乾燥させる工程との各ステップを含み、ここで、この方法は、基材を水ですすぐ工程のステップの前に、中間IPAすすぎステップを含まない。
上記態様のそれぞれでは、腐食防止剤は、1種または2種以上の直鎖または分枝鎖のC〜Cアルキルジヒドロキシベンゼン、1種または2種以上のヒドロキシキノリンまたはそれらの混合物からなる群から選択できる。いくつかの態様において、腐食防止剤は、1種または2種以上の直鎖または分枝鎖のC〜Cアルキルジヒドロキシベンゼン、または1種または2種以上のヒドロキシキノリン、(直鎖または分枝鎖のC〜Cアルキルジヒドロキシベンゼンとヒドロキシキノリンとの混合物ではない)からなる群から選択される。本明細書中に記載されたそれぞれの態様で、単一の腐食防止剤のみ(調合物中に1種の腐食防止剤のみが存在し、そしていくつかのタイプまたは個々の腐食防止剤の混合物が存在しないことを意味する)があることができる。
本発明の好ましい組成物は、半導体産業において現在の所使用されている組成物より、優れたクリーニング特性を有し、より有毒でなく、そして/またはより環境的に受け入れられることができる。さらに、本発明の好ましい組成物は、アルミニウム含有基材および低いアルミニウムおよびケイ素エッチング速度と適合性を示す。
クリーニング調合物は、アッシングされたおよびアッシングされていない基材のAl BEOL(ラインの終端側での)クリーニングのために必要とされる。効果的なクリーナーの重要な特性は、下地をなす相互接続しているケイ素またはポリケイ素;誘電体または金属を実質的に攻撃することなく、エッチング後およびアッシング後残留物を攻撃し、そして溶解するその能力であることが当業者に周知であり;腐食防止剤の選択は、金属エッチング速度の制御のために重要である。
アルミニウムは、電気化学的に非常に活性であり、腐食および/またはエッチングを最も受けやすい。Alの相互接続構造では、腐食防止剤は、アルミニウムおよび他の相互接続金属のエッチングを防ぐことができるに違いないが、いつかの用途が非常に重要であるケイ素エッチングをどのように防止または減少させるかは知られていない。
従来のクリーニング調合物は、典型的には、ヒドロキシルアミン、溶媒(任意選択的)、アルカノールアミン(任意選択的)、水および腐食防止剤またはキレート剤を含む。従来技術において、クリーニング調合物中でヒドロキシルアミン(およびアミン)の腐食性効果を改変する1つの方法は、水のレベルを低く保つことによるか、および高濃度の溶媒を使用することにより、したがって溶媒が多い調合物である。カテコールは、アルミニウムのための腐食防止剤および/またはヒドロキシルアミンを含有する溶媒に富んだ調合物の安定性を伸ばすキレート剤として用いることが知られてきた。
本発明は、その成分が例えば、半導体基材等の基材から残留物を効果的に除去する量で存在する組成物を提供する。半導体基材に関する用途では、そうした残留物は、例えば、フォトレジスト残留物、アッシング残留物、および、例えば、反応性イオンエッチングにより生じた残留物等のエッチング残留物を含む。さらに、半導体基材はまた、典型的には、クリーニング組成物とまた接触するであろう金属、ケイ素、シリケートおよび/または堆積された酸化ケイ素等のレベル間誘電体材料を含む。典型的な金属は、銅、銅合金、チタン、窒化チタン、タンタル、窒化タンタル、アルミニウムおよび/またはアルミニウム合金を含む。本発明の好ましいクリーニング組成物は、そうした材料が低い金属および/またはケイ素(またはポリケイ素)エッチング速度を有するので、そうした材料と適合する。
本発明のクリーニング組成物は:約2〜約10wt%(または約2〜約12または約2〜15wt%)のヒドロキシルアミン;約50(または約55)〜約80wt%の水;約0.01〜約5.0wt%の腐食防止剤;約5〜約45wt%(または約5〜約42wt%)の、pKa<9.0を有するアルカノールアミン、水混和性溶媒、およびそれらの混合物からなる群から選択される成分を含むか、これらから本質的に成るか、および/またはこれらからからなることができる。「本質的になる」は本発明の請求項の組成物を記載するために使用される場合、記載された質量パーセンテージの記載された構成部分を有する組成物を意味するが、この組成物は、請求項中に記載されていない構成部分をまた有することができ;請求項の組成物に加えられた任意の成分が請求項の組成物による金属(例えばアルミニウム)およびケイ素のエッチング速度にほとんどまたは全く効果がないであろうという警告(caveat)を有する。例えば、AlおよびSiのエッチング速度が、加えられた追加の成分を有さない請求項に記載された組成物に比較して10Å/分以下で変化する場合、加えられた追加の成分を有する組成物は、依然請求項に記載の組成物の範囲内である。本発明の組成物に加えられることができる成分の例は、添加物ということができ、そしてキレート剤、界面活性剤、殺生剤および安定剤を含むことができる。典型的にはそれぞれの添加物は、0〜約10wt%または0〜約5wt%または0.01〜約10wt%または0.1〜約5wt%で、組成物中に存在する。典型的には全ての添加物は、組成物の10wt%を超えない。
本発明のクリーニング組成物は、水性系であり、したがって、組成物の質量%で最大成分としての水を含む。本発明において、水は、成分の担体として、無機塩および錯体の除去を促進する助けとして、組成物の粘度調整剤として、および希釈剤として、例えば、組成物の1種または2種以上の固体成分を溶解する等の種々の様式で機能することができる。好ましくは、クリーニング組成物中で用いられる水は、脱イオン(DI)水またはさもなければ、精製水である。
大部分の用途のために、水は、好適に、クリーニング組成物の、例えば、約55〜約80wt%を構成するであろうと考えられている。本発明の他の好ましい態様は、約60〜約80wt%の水を含むであろう。本発明のまた他の好ましい態様は、約60〜約70wt%の水を含むであろう。水の大きな割合を有するそうした組成物はまた、本明細書中において、「水に富んだ組成物」という。
本発明のクリーニング組成物は、約2〜約10wt%(または約2〜約12または約2〜約15wt%)のヒドロキシルアミンを含む。好ましい態様では、ヒドロキシルアミンは、本発明の組成物中に、約4〜約10wt%存在し、そして最も好ましくは約5〜約7.5wt%存在する。そうした組成物において、ヒドロキシルアミンは、例えば、金属を含有する残留物をより低い酸化状態に還元し、それによって残留物をクリーニング組成物中でさらに可溶性にする酸化還元剤として等の種々の様式で機能できる。
本発明のクリーニング組成物は、好適に、約5〜約45(または約5〜約42)wt%の、pKa<9.0を有するアルカノールアミン、水混和性有機溶媒、およびそれらの混合物からなる群から選択された成分を含む。
pKa<9.0を有するアルカノールアミンが存在する態様において、アルカノールアミンは、好ましくは、本発明の組成物中に、約5〜約42または約5〜約45wt%、約5〜約25wt%、または約10〜約25wt%または約15〜約25wt%の量で存在する。そうした組成物において、pKa<9.0を有するアルカノールアミンは、例えば、浸透および膨潤を通して有機残留物を除去するため、および塩基性による酸性レジストおよび残留物を溶解するため等の種々の様式で機能できる。アルカノールアミンを有するいくつかの態様において、組成物は、溶媒を含まないことができ、すなわち、組成物は、組成物中に存在する有機溶媒を全く有さないことができる。
pKa<9.0を有するアルカノールアミンは、例えば、トリエタノールアミン、ジエタノールアミン、ジイソプロパノールアミン、N−メチルジエタノールアミン、およびそれらの混合物を含む。トリエタノールアミンは、好ましいpKa<9.0を有するアルカノールアミンである。いくつかの態様において、単一のアルカノールアミン(ただ1種の個別のアルカノールアミン)が、組成物中に存在する。
水混和性有機溶媒が存在する態様において、水混和性有機溶媒の量は、好適には、組成物の約5〜約42または約5〜約45wt%を構成するであろう。いくつかの態様において、溶媒は、5〜約30wt%を構成し、そして他の態様において、組成物の約10%〜約25wt%または約18%〜約22wt%または約20wt%を構成する。水混和性有機溶媒が存在するいくつかの態様において、組成物は、アルカノールアミンを含まない(組成物中にアルカノールアミンが全く無いことを意味する)ことができる。あるいは、アルカノールアミンを有し、pKa<9.0を有する他の態様は、水混和性有機溶媒を含まない(組成物中に水混和性有機溶媒が全く無いことを意味する)ことができる。
本発明に従った使用のための水混和性有機溶媒は、例えば、エチレングリコール、プロピレングリコール、1、4−ブタンジオール、トリプロピレングリコールメチルエーテル、プロピレングリコールプロピルエーテル、(例えばDowanol(商標)DBの名の下で市販されている)ジエチレングリコールn−ブチルエーテル、ジメチルスルホキシド、テトラヒドロフルフリルアルコール、グリセロール、ベンジルアルコール、ジメチル尿素、ジプロピレングリコールモノメチルエーテル、n−メチルピロリドン、テトラメトキシエタン、およびそれらの混合物を含む。好ましい溶媒は、エチレングリコール、プロピレングリコール、ベンジルアルコール、ジメチルスルホキシド、ジメチル尿素、グリセロール、ジプロピレングリコールモノメチルエーテル、n−メチルピロリドン、テトラヒドロフルフラールアルコール、テトラメトキシエタン、およびそれらの混合物を含む。
好ましい態様では、水混和性有機溶媒は、エチレングリコール、プロピレングリコール、ベンジルアルコール、ジメチルスルホキシド、ジメチル尿素、グリセロール、ジプロピレングリコールモノメチルエーテル、n−メチルピロリドン、テトラヒドロフルフラールアルコール、テトラメトキシエタン、およびそれらの混合物からなる群から選択される。プロピレングリコールは、最も好ましい水混和性有機溶媒である。いくつかの態様において、プロピレングリコールは、水混和性有機溶媒のみとして使用され、そしてまた、アルカノールアミンを含まない組成物中で使用されることができる。
pKa<9.0を有するアルカノールアミンおよび水混和性有機溶媒の混合物が用いられる本発明の態様において、アルカノールアミン/溶媒混合物のそれぞれの成分の合計は、組成物の、好ましくは、約5〜約42または〜約45%、または約15〜約38wt%である。いずれかの上記のアルカノールアミンおよび溶媒は混合されることができる。アルカノールアミンと溶媒とは、例えば、1:1、2:1、1:2、3:1、1:3、4:1、1:4、5:1、1:5、6:1、1:6、7:1、1:7、8:1、1:8、9:1、1:9、10:1、および1:10等の任意の比で混合することができる。混合物が用いられる場合、トリエタノールアミンおよびプロピレングリコールの混合物が、好ましい。
水混和性有機溶媒およびpKa<9.0を有するアルカノールアミンのいずれかが、本発明の組成物中に存在しない場合があるので、これを記載する別の様式は、水混和性有機溶媒およびpKa<9.0を有するアルカノールアミンは、それぞれの成分が組成物中に0〜約42または0〜約45wt%または0〜約40wt%存在することができるということである。
本発明のクリーニング組成物は、腐食防止剤をまた含む。腐食防止剤の例は、芳香族ヒドロキシル化合物、アルキルジヒドロキシベンゼン、ヒドロキシキノリン、カルボキシル基含有有機化合物およびそれらの無水物、およびトリアゾール化合物を含む。本発明による好ましい腐食防止剤は、C〜Cアルキルジヒドロキシベンゼン、ヒドロキシキノリン、およびそれらの混合物からなる群から選択される。好ましいアルキルジヒドロキシベンゼンは、例えば、tert−ブチルカテコール、カテコール等の直鎖または分枝鎖のC〜Cアルキルジヒドロキシベンゼン、没食子酸、2、3−ジヒドロキシナフタレン、2、3−ジヒドロキシテトラリン、およびそれらの混合物、さらに好ましくは、tert−ブチルカテコール、没食子酸、2、3−ジヒドロキシナフタレン、2、3−ジヒドロキシテトラリン、およびそれらの混合物、好ましくはtert−ブチルカテコール、2、3−ジヒドロキシナフタレンおよび2、3−ジヒドロキシテトラリンを含む。いくつかの態様は、カテコールを含まない。好ましいヒドロキシキノリンは、2−ヒドロキシキノリン、4−ヒドロキシキノリン、6−ヒドロキシキノリン、8−ヒドロキシキノリン、およびそれらの混合物を含む。好ましい腐食防止剤は、tert−ブチルカテコールである。いくつかの態様において、単一の腐食防止剤がそれぞれの組成物中で使用される。いくつかの態様において、単一の腐食防止剤のみ使用され、そしてそれは、tert−ブチルカテコールである。
大部分の用途のために、腐食防止剤は、組成物の約0.01〜約5wt%を構成するであろうし;好ましくは、腐食防止剤は、組成物の約0.01〜約3wt%、最も好ましくは、約0.1〜約1.5wt%または約0.1〜約1wt%を構成すると考えられている。
本発明のクリーニング組成物中において用いることのできる任意選択的成分は、金属キレート剤であり;キレート剤は、組成物の溶液中に金属を保持し、そして金属性残留物の溶解を高める能力を高めるために機能できる。この目的のために有用なキレート剤の典型的な例は、以下の有機酸およびそれらの異性体および塩、すなわち(エチレンジニトリロ)テトラ酢酸(EDTA)、ブチレンジアミンテトラ酢酸、(1、2−シクロへキシレンジニトリロ−)テトラ酢酸(CyDTA)、ジエチレンtriアミンペンタ酢酸(DETPA)、エチレンジアミンテトラプロピオン酸、(ヒドロキシエチル)エチレンジアミン三酢酸(HEDTA)、N、N、N′、N′−エチレンジアミンテトラ(メチレンホスホン)酸(EDTMP)、トリエチレンテトラアミンヘキサ酢酸(TTHA)、1、3−ジアミノ−2−ヒドロキシプロパン−N、N、N′、N′−テトラ酢酸(DHPTA)、メチルイミノ二酢酸、プロピレンジアミン四酢酸、ニトリロ三酢酸(NTA)、クエン酸、酒石酸、グルコン酸、糖酸、グリセリン酸、シュウ酸、フタル酸、マレイン酸、マンデル酸、マロン酸、乳酸、サリチル酸、カテコール、没食子酸、没食子酸プロピル、ピロガロール、8−ヒドロキシキノリン、およびシステインである。好ましいキレート剤は、EDTA、CyDTA等のアミノカルボン酸およびEDTMP等のアミノホスホン酸である。
大部分の用途のために、(1種のタイプの添加物である)キレート剤は、組成物中に0〜約5wt%の量で、好ましくは組成物中に約0.1〜2wt%の量で存在するであろうと考えられている。界面活性剤、殺生剤およびその同類のものを含む他の添加物を、上記のようにこの発明の組成物中で使用できる。いくつかの態様は、添加物を含まない(これは添加物を全く有さないことを意味する)。いくつかの態様は、フッ素を含有する化合物を含まず、そして/または第四級アンモニウム化合物を含まず、そして/または硫黄を含有する化合物を含まず、そして/または酸化剤を含まず、これは、組成物が、フッ素を含有する化合物および/または第四級アンモニウム化合物および/または硫黄を含有する化合物および/または酸化剤を含まないことを意味する。
本発明の一態様では、半導体基材から残留物を除去するために有用な組成物は、約4〜約10wt%(または約4〜約12または約4〜約15wt%)のヒドロキシルアミン;約60〜約80wt%の水;約0.1〜約1.0wt%の腐食防止剤;約10〜約25wt%の水混和性溶媒;および約0〜約30wt%のpKa<9.0を有するアルカノールアミンを含むか、これらから本質的に成るかおよび/またはこれらからなる。本発明の一態様では、半導体から残留物を除去するのに有用な組成物は、約4〜約10wt%(または約4〜約12または約4〜約15wt%)のヒドロキシルアミン;約60〜約80wt%の水;約0.1〜約1.0wt%の腐食防止剤;約10〜約25wt%の水混和性溶媒を含むか、これらから本質的に成るかおよび/またはこれらからなる。
本発明の別の態様では、半導体から残留物を除去するのに有用な組成物は、約4〜約10wt%のヒドロキシルアミン;約60〜約80wt%の水;約0.1〜約1.0wt%の腐食防止剤;約0〜約30wt%の水混和性溶媒;および約10〜約25wt%のpKa<9.0を有するアルカノールアミンを含むか、これらから本質的に成るかおよび/またはこれらからなる。本発明の別の態様では、半導体から残留物を除去するのに有用な組成物は、約4〜約10wt%のヒドロキシルアミン;約60〜約80wt%の水;約0.1〜約1.0wt%の腐食防止剤;約10〜約25wt%のpKa<9.0を有するアルカノールアミンを含むか、これらから本質的に成るかおよび/またはこれらからなる。本発明は、さらに、約2〜約12(または約2〜約15)%、または約2〜約10%、または約4〜約10%、または約1〜約10%、または約5〜約7.5wt%のヒドロキシルアミン;約50〜約80%、または約55〜約80%、または約60〜約80%、または約60〜約70wt%の水;約0.01〜約5.0%、または約0.01〜約3%、または約0.1〜1wt%の腐食防止剤;pKa<9.0を有するアルカノールアミン、水混和性溶媒、およびそれらの混合物からなる群から選択される約5〜約42または約5〜約45wt%の成分(ここで該アルカノールアミンは、約5〜約42または約5〜約45%、または約5〜約25%、または約10〜約25%、または約0〜約42または約0〜約45wt%存在し、そして該水混和性溶媒は、約5〜約42または約5〜約45%、または約5〜約30%、または約10〜約25%、または約0〜約42または約0〜約45wt%存在する);および他の添加物を有するかまたは有さない、約0〜約5%または約0.1〜約2wt%の金属キレート剤を含むか、これらから本質的に成るかおよび/またはこれらからなる半導体から残留物を除去するのに有用な組成物を含む。(質量)パーセンテージは、全組成物に基づく。アルカノールアミンおよび水混和性溶媒は、両者が組成物中に存在する場合、さらに、全ての規定された質量パーセンテージと組み合わせた明細書中に記載された比のいずれにおいても使用されることができることに留意する。任意の本明細書中に記載された組成物は、本発明の方法において有用である。本発明のクリーニング組成物は、典型的には、すべての固体が水性系媒体中に溶解されるまで、容器室温で容器中において共に成分を混合することによって調製される。
本発明のクリーニング組成物は、基材から好ましくない残留物を除去するために使用されることができる。この組成物は、半導体機器を製造する工程の間に、蓄積され、または形成された半導体基材をクリーニングするのに特に良好な利点を与えるのに使用されることができると考えられており;そうした残留物の例は、(正および負両方の)膜および乾燥エッチングの間に形成されたエッチング堆積物、および化学的に劣化したレジスト膜の形態で、レジスト組成物を含む。除去される残留物が表面に暴露された金属膜を有する半導体基材上のレジスト膜および/またはエッチング堆積物である場合、この組成物の使用は、特に効果的である。基材それ自身を攻撃することなく本発明の好ましい組成物の使用によってクリーニングできる基材の例は、金属基材、例えば:アルミニウムチタン/タングステン;アルミニウム/ケイ素;アルミニウム/ケイ素/銅;酸化ケイ素;窒化ケイ素;およびガリウム/ヒ素を含む。そうした基材は、典型的には、フォトレジストおよび/またはエッチング後の堆積物を含む残留物を含む。本発明は、低いケイ素エッチング速度または低いケイ素および低いアルミニウムエッチング速度を必要とする基材のクリーニングのために特に有用である。本発明の方法における本発明の組成物の使用は、60℃において組成物を使用した下記例中の方法によって測定した場合、約20Å/分未満、約10Å/分未満、約5Å/分未満まあは約2Å/分未満であるケイ素およびアルミニウムのためのエッチング速度を提供する。
本発明のクリーニング組成物の使用によって効果的に除去できるレジスト組成物の例は、エステルまたはオルトナフトキノンを含むフォトレジストおよびノボラックタイプのバインダーおよびブロック化ポリヒドロキシスチレンまたはポリヒドロキシスチレンのコポリマーおよび光酸発生剤を含有する化学的に増幅されたレジストを含む。市販されているフォトレジスト組成物の例は、Clariant Corporation AZ 1518、AZ4620、Shipley Company、 Inc.フォトレジスト、S1400、 APEX−E(商標) positive DUV、UV5(商標) positive DUV、Megaposit(商標) SPR(商標) 220シリーズ; JSRマイクロエレクトロニクスフォトレジスト KRF(商標)シリーズ、ARF(商標)シリーズ;および東京応化工業(株)。フォトレジスト TSCRシリーズおよびTDUR−P/Nシリーズを含む。
好ましいクリーニング組成物は、ほとんど腐食効果なしに、比較的低温において半導体基剤から、エッチング後残留物および灰、他の有機および無機残留物、ならびにポリマー残留物を除去するのに使用できる。クリーニング組成物は、所望のクリーニング効果を得るのに充分な時間の間表面に適用されていることが好ましい。この時間は、例えば、残留物の性質、クリーニング組成物の温度および使用される特定のクリーニング組成物を含む多数の因子により変わるであろう。通常、例えば、約25℃〜約85℃の温度で、約1分〜約1時間の範囲の時間の間、基材を接触させる工程によって、次に基材からクリーニング組成物をすすぐ工程、および基材を乾燥させる工程によって、クリーニング組成物を使用できる。
したがって、別の形態では、本発明は、アルミニウムおよびケイ素を含む基材から残留物を除去するための方法を提供し、この方法は、上記の様に基材とクリーニング組成物とを接触させる工程と、基材を水ですすぐ工程と、基材を乾燥させる工程とのステップを含み、この方法は、基材を水ですすぐ工程のステップ前に中間IPAすすぎステップを含まない。
接触ステップは、例えば、浸漬、スプレー等の任意の好適な手段によって、または単一のウェハープロセスを介して行われることができ;フォトレジスト、灰またはエッチング堆積物および/または汚染物質の除去のために液体を利用する任意の方法が使用できる。
水、脱イオン化されたかまたはさもなければ、精製された水を用いたすすぎステップは、任意の好適な手段、例えば、脱イオン化水を用いて浸漬またはスプレー技術によって、基材をすすぐことによって行われる。従来技術のヒドロキシルアミン系クリーニング組成物は、水によって生じるアルミニウム腐食を防ぐために、少なくとも1つの中間すすぎステップ(すなわち、最終のすすぎステップの前にすすぎステップ)を必要とする。本発明の組成物を使用する本発明の方法は、アルミニウムが基材上に存在する場合、アルミニウムの腐食を導入することなく中間すすぎステップを取り除く。さらに、従来技術のアミン系クリーニング組成物は、基材からケイ素をエッチングする。本発明の好ましい組成物を用いることは、そうした基材におけるケイ素への損傷を最小化する。
乾燥ステップは、任意の好適な手段、例えば、イソプロピルアルコール(IPA)蒸気乾燥により、または熱または求心力により、行われることができる。
当業者により認識されるであろうように、本発明のクリーニング組成物は、高いスループットのクリーニングが製造プロセス中に維持されることができるように基材に損傷を与えることなく最適なクリーニングを達成するように改変されることができる。例えば、クリーニングされる基材の組成、除去される残留物の性質、および使用される特定の工程パラメーターにより、幾つかまたは全ての成分の量に改変を行うことができることを当業者は認識するであろう。
本発明は、半導体基材のクリーニングと関連して主に記載されたが、本発明のクリーニング組成物を、有機および無機残留物を含む任意の基材をクリーニングするのに用いることができる。
以下の例は、本発明をさらに具体的に記載するために提供されるが、決して本発明を限定することを意図しない。
クリーニング組成物の調製のための一般的な手順
本例の主題であるすべての組成物を、1インチ(2.5cm)のテフロン(商標)被覆攪拌棒を有する600mLビーカー中で500gの材料を混合することによって、調製した。液体成分を、固体成分の前の任意の順番で加えることができる。
基材の組成
本例で使用された基材は、Al金属線および/またはAlビアであった。Al金属線基材は、TiN/Al/TiN/Ti冶金からなり、そして反応性イオンエッチング(RIE)により、パターン化され、そしてエッチングされた。フォトレジストは、酸素プラズマアッシングにより除去された。アッシング工程後の金属線基材上に有機金属残留物が残っていた。酸化ケイ素誘電体層中に0.45ミクロンのビア開口を有する1つのAlビア基材を、酸素プラズマアッシングなしの酸化ケイ素プラズマエッチングプロセスを使用してエッチングした。バルクのフォトレジスト層が酸化ケイ素の上に残った。酸化ケイ素誘電体層中に1μmまたは0.45μmのビア開口を有する他のAlビア基材を、酸化ケイ素プラズマエッチングプロセスを使用してエッチングし、そして酸素プラズマアッシング工程を使用してアッシングし;残留物が側壁およびビアの上/底上に残った。
処理条件
クリーニング試験を、600回転/分に設定された1/2インチ(1.3cm)丸テフロン(商標)攪拌棒を有する400mLビーカー中で300mLのクリーニング組成物を使用して行った。クリーニング組成物を、必要な場合、ホットプレート上で所望の温度に加熱した。サイズ約1/2インチ×1/2インチ(1.3cm×1.3cm)のウェハーセグメントを、所望の温度で所望の時間の間、組成物中に浸した。
次に、このセグメントを3分間DI水オーバーフロー浴の中ですすぎ、そしてその後に濾過した窒素を使用して乾燥した。次にこれらを、SEM顕微鏡を使用して清浄度を分析した。
エッチング速度測定手順
ブランケットAlウェハーのきれいなクーポン(coupon)について、Creative Design 、Engineering Inc.(Long Island City、NY)からのResMap(商標)モデル273抵抗率測定器を用いて層の抵抗率を測定することによって、金属層の厚さを測定した。次にこのクーポンを60℃で(または表に示された温度で)かつ5、10、20、40および60分で、組成物中に浸し、クーポンを組成物から除去し、脱イオン化水ですすぎ、そして乾燥し、そして金属層の厚さを再び測定した。(明確にするために、5分でこのクーポンを組成物から取り出し、すすぎ、乾燥しそして測定し、次に、さらに5分間組成物中に戻して置き(10分において)組成物から取り出し、すすぎ、乾燥しそして測定した、そして次にさらに10分間組成物中に戻して置き、(20分において)組成物から取り出し、すすぎ、乾燥し、そして測定した)。測定を行った時の時間は、組成物中にクーポンを浸した全時間を表す。浸漬時間の関数としての厚さにおける変化のグラフを作り、そして曲線の勾配から、オングストローム/分でのエッチング速度を決定した。
Montco Silicon Techology Inc.により供給された熱酸化物/ケイ素基材上の1000Åのポリケイ素層からなるブランケットポリケイ素ウェハーのきれいなクーポンを、FilmTek(商標)2000−SE分光偏光解析器および反射率計によりSi層の厚さを測定した。次にこのクーポンを、60℃で(または表中のそれぞれに示された温度で)かつ5、10、20、40および60分で組成物中に浸し、クーポンを組成物から除去し、脱イオン化水ですすぎ、そして、乾燥し、そして金属層の厚さを再び測定した。測定が行われた時間は、上記の様な組成物中にクーポンを浸した全時間を表わす。浸漬時間の関数としての厚さの変化のグラフを作り、そしてオングストローム/分でのエッチング速度を、曲線の勾配から決定した。
結果
表1は、水に富んだヒドロキシルアミン調合物である、例1Aおよび2D、2E、2F、2G、49A、49Bおよび49Cの組成物を示す。これらの組成物における唯一の違いは、異なるアルカノールアミンが使用されたことである。アルカノールアミンTEA、DEA、DIPA、NDEAを有する組成物が、驚くほど最小のSiエッチングを有することを見ることができる。他の普通使用されるアルカノールアミン、すなわち、MIPA、MEA、NMEAおよびAEEを有する組成物は、非常に高いSiエッチング速度を有する。TEA、DEA、DIPA、NDEAのpKaは、9未満であり。MIPA、MEA、NMEAおよびAEEのpKaは、9超である。これらの結果は、水に富んだヒドロキシルアミンおよびアルカノールアミンを含有する組成物において、pKa<9を有するアルカノールアミンを用いることが、ケイ素基材を保護するであろうことを明確に示す。
表1 異なるアルカノールアミンを有する組成物でのケイ素エッチング速度
Figure 2012195590
*25℃での水中でのアルカノールアミンのpKa値、
データソース:Handbook of Chemistry and Physics、 81st edition;Lange’s Handbook of Chemistry、fifteenth edition; Huntsman Technical bulletin;Ind.Eng.Chem.Res.2003、42、4414〜4412
MIPA:イソプロパノールアミン
TEA:トリエタノールアミン
MEA:モノエタノールアミン
NMEA:N−メチルエタノールアミン
AEE:アミノエトキシエタノール
DEA:ジエタノールアミン
DIPA:ジイソプロパノールアミン
NDEA:N−メチルジエタノールアミン
tBC:t−ブチルカテコール
PG:プロピレングリコール
表2は、TEAを有する水に富んだ組成物が低いAlおよびSiエッチング速度を有することを示す。
表2
Figure 2012195590
表3は、組成物5Fが、Al基材で効率的なクリーニング能力を有することおよびクリーニング組成物を使用した中間すすぎの必要がないことを示す。
表3 組成物5Fのクリーニング性能
Figure 2012195590
このように、HAおよびpKa<9を有するアルカノールアミンの水に富んだ組成物は、効率的なクリーニングおよびケイ素層が露出されており、そして/または露出されていない場合があるAl基材との良好な適合性、および低いAlおよびSiエッチング速度を示した。またこれは、中間IPAすすぎステップが必要でないことを示した。
表4の組成物は、水混和性溶媒(プロピレングリコール、PG)を含んでおり、そしてアルカノールアミンを含んでいなかった。
表4PGを有する組成物のAlおよびSiエッチング速度
Figure 2012195590
表5は、表4の組成物の性能をまとめる。
表5組成物42Eのクリーニング性能
Figure 2012195590
このように、HAおよびPGの水に富んだ組成物は、効率的なクリーニングおよびケイ素層が露出されており、そして/または露出されていない場合があるAl基材との良好な適合性を示した。これはまた、中間IPAすすぎステップが必要でないことを示した。
先の例および好ましい態様の記載は、請求項によって規定される本発明を限定するというよりも、具体的に記載すると理解されるべきである。容易に認識されるであろうように、上記を説明する特徴の多数の変化および組み合わせは、請求項に記載の本発明を離れることなく利用できる。そうした変化は、本発明の精神および範囲を離れると見なされず、そしてすべてのそうした変化が、以下の請求項の範囲内に含まれることを意図する。

Claims (25)

  1. 約2〜約15wt%のヒドロキシルアミンと、
    約50〜約80wt%の水と、
    約0.01〜約5.0wt%の腐食防止剤と、
    約5〜約45wt%の、それぞれpKa<9.0を有する1種または2種以上のアルカノールアミン、1種または2種以上の水混和性溶媒、およびそれらの混合物からなる群から選択される成分と、
    を含んで成る、半導体から残留物を除去するのに有用な組成物。
  2. 約2〜約10wt%のヒドロキシルアミンと、
    約55〜約80wt%の水と、
    約0.01〜約5.0wt%の腐食防止剤と、
    約5〜約42wt%の、それぞれpKa<9.0を有する1種または2種以上のアルカノールアミン、1種または2種以上の水混和性溶媒、およびそれらの混合物からなる群から選択される成分と、
    を含んで成る、半導体から残留物を除去するのに有用な組成物。
  3. 該アルカノールアミンが、トリエタノールアミン、ジエタノールアミン、ジイソプロパノールアミン、N−メチルジエタノールアミン、およびそれらの混合物からなる群から選択される、請求項1に記載の組成物。
  4. 該アルカノールアミンが、トリエタノールアミンである、請求項3に記載の組成物。
  5. 該腐食防止剤が、1種または2種以上の直鎖または分枝鎖のC1〜C6アルキルジヒドロキシベンゼン、1種または2種以上のヒドロキシキノリン、およびそれらの混合物からなる群から選択される、請求項1に記載の組成物。
  6. 該腐食防止剤が、tert−ブチルカテコール、カテコール、没食子酸、2、3−ジヒドロキシナフタレン、2、3−ジヒドロキシテトラリン、およびそれらの混合物からなる群から選択される、請求項5に記載の組成物。
  7. 該腐食防止剤が、tert−ブチルカテコールである、請求項6に記載の組成物。
  8. 水混和性有機溶媒が、該組成物中に存在する、請求項1に記載の組成物。
  9. 該水混和性有機溶媒が、エチレングリコール、プロピレングリコール、ベンジルアルコール、ジメチルスルホキシド、ジメチル尿素、グリセロール、ジプロピレングリコールモノメチルエーテル、n−メチルピロリドン、テトラヒドロフルフラールアルコール、テトラメトキシエタン、およびそれらの混合物からなる群から選択される、請求項8に記載の組成物。
  10. 該水混和性有機溶媒が、プロピレングリコールである、請求項1に記載の組成物。
  11. 約4〜約10wt%の該ヒドロキシルアミンと、
    約60〜約80wt%の該水と、
    約0.1〜約5.0wt%の該腐食防止剤と、
    約10〜約25wt%の該水混和性溶媒と、
    約0〜約30wt%の該pKa<9.0を有するアルカノールアミンとからなる、請求項1に記載の組成物。
  12. 該アルカノールアミンが、トリエタノールアミン、ジエタノールアミン、ジイソプロパノールアミン、N−メチルジエタノールアミン、およびそれらの混合物からなる群から選択される、請求項11に記載の組成物。
  13. 該アルカノールアミンが、トリエタノールアミンである、請求項12に記載の組成物。
  14. 該腐食防止剤が、tert−ブチルカテコール、カテコール、没食子酸、2、3−ジヒドロキシナフタレン、2、3−ジヒドロキシテトラリン、およびそれらの混合物からなる群から選択される、請求項11に記載の組成物。
  15. 該腐食防止剤が、tert−ブチルカテコールである、請求項14に記載の組成物。
  16. アルミニウムおよびケイ素を含む基材から残留物を除去するための方法であって、該方法が、
    約2〜約10wt%のヒドロキシルアミンと、
    約55〜約80wt%の水と、
    約0.01〜約5.0wt%の腐食防止剤と、
    約5〜約42wt%の、pKa<9.0を有するアルカノールアミン、水混和性溶媒、およびそれらの混合物からなる群から選択される成分と、
    を含むクリーニング組成物と、該基材とを接触させる工程と、
    該基材を水ですすぐ工程と、
    該基材を乾燥する工程の各ステップを含み、
    該方法が、該基材を水ですすぐステップの前に、中間IPA(イソプロピルアルコール)すすぎステップを含まない、方法。
  17. 該基材が、半導体基材であり、該組成物が、約2〜約10wt%の該ヒドロキシルアミンと、約55〜約80wt%の該水と、5〜約42wt%の、pKa<9.0を有するアルカノールアミン、水混和性溶媒、およびそれらの混合物からなる群から選択される該成分とを含み、そしてこの方法での該ケイ素のエッチング速度が20Å/分未満である、請求項16に記載の方法。
  18. 該アルカノールアミンが、トリエタノールアミン、ジエタノールアミン、ジイソプロパノールアミン、N−メチルジエタノールアミン、およびそれらの混合物からなる群から選択される、請求項17に記載の方法。
  19. 該アルカノールアミンが、トリエタノールアミンである、請求項18に記載の方法。
  20. 該腐食防止剤が、直鎖または分枝鎖のC1〜C6アルキルジヒドロキシベンゼン、ヒドロキノリン、およびそれらの混合物からなる群から選択される、請求項16に記載の方法。
  21. 該腐食防止剤が、2−ヒドロキシキノリン、4−ヒドロキシキノリン、6−ヒドロキシキノリン、8−ヒドロキシキノリン、およびそれらの混合物からなる群から選択される、請求項16に記載の方法。
  22. 該腐食防止剤が、tert−ブチルカテコール、カテコール、没食子酸、2、3−ジヒドロキシナフタレン、2、3−ジヒドロキシテトラリン、およびそれらの混合物からなる群から選択される、請求項16に記載の方法。
  23. 該腐食防止剤が、tert−ブチルカテコールである、請求項22に記載の方法。
  24. 該水混和性有機溶媒が、エチレングリコール、プロピレングリコール、ベンジルアルコール、ジメチルスルホキシド、ジメチル尿素、グリセロール、ジプロピレングリコールモノメチルエーテル、n−メチルピロリドン、テトラヒドロフルフラールアルコール、テトラメトキシエタン、およびそれらの混合物からなる群から選択される、請求項16に記載の方法。
  25. 該水混和性有機溶媒が、プロピレングリコールである、請求項24に記載の方法。
JP2012059809A 2011-03-16 2012-03-16 クリーニング調合物およびそのクリーニング調合物の使用方法 Active JP5662365B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161453282P 2011-03-16 2011-03-16
US61/453,282 2011-03-16
US13/414,339 2012-03-07
US13/414,339 US8889609B2 (en) 2011-03-16 2012-03-07 Cleaning formulations and method of using the cleaning formulations

Publications (2)

Publication Number Publication Date
JP2012195590A true JP2012195590A (ja) 2012-10-11
JP5662365B2 JP5662365B2 (ja) 2015-01-28

Family

ID=45936751

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012059809A Active JP5662365B2 (ja) 2011-03-16 2012-03-16 クリーニング調合物およびそのクリーニング調合物の使用方法

Country Status (8)

Country Link
US (1) US8889609B2 (ja)
EP (1) EP2500407B1 (ja)
JP (1) JP5662365B2 (ja)
KR (1) KR101535283B1 (ja)
CN (1) CN102732393B (ja)
MY (1) MY162416A (ja)
SG (1) SG184669A1 (ja)
TW (1) TWI454573B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160096095A (ko) * 2013-12-06 2016-08-12 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
KR20160114889A (ko) * 2015-03-25 2016-10-06 동우 화인켐 주식회사 식각액 조성물 및 액정표시장치용 어레이 기판의 제조방법
WO2017099121A1 (ja) * 2015-12-11 2017-06-15 富士フイルム株式会社 半導体デバイス用処理液の保管方法、処理液収容体
WO2017099211A1 (ja) * 2015-12-11 2017-06-15 富士フイルム株式会社 洗浄液、基板洗浄方法、及び、半導体デバイスの製造方法
US11407966B2 (en) 2018-03-28 2022-08-09 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI572711B (zh) * 2012-10-16 2017-03-01 盟智科技股份有限公司 半導體製程用的清洗組成物及清洗方法
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
CN102936333B (zh) * 2012-11-02 2014-07-30 陕西科技大学 一种芳香族超支化聚合物表面活性剂及其制备方法
EP3060642B1 (en) 2013-10-21 2019-11-06 FujiFilm Electronic Materials USA, Inc. Cleaning formulations for removing residues on surfaces
US10073351B2 (en) 2014-12-23 2018-09-11 Versum Materials Us, Llc Semi-aqueous photoresist or semiconductor manufacturing residue stripping and cleaning composition with improved silicon passivation
WO2016161072A1 (en) * 2015-03-31 2016-10-06 Air Products And Chemicals, Inc. Cleaning formulations
US10233413B2 (en) * 2015-09-23 2019-03-19 Versum Materials Us, Llc Cleaning formulations
TWI608311B (zh) * 2016-03-25 2017-12-11 達興材料股份有限公司 一種光阻脫除組成物及一種利用該光阻脫除組成物進行微影製程的電子元件的製造方法
WO2018067763A1 (en) * 2016-10-06 2018-04-12 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on semiconductor substrates
CN108121176A (zh) * 2016-11-29 2018-06-05 安集微电子科技(上海)股份有限公司 一种低刻蚀光阻残留物清洗液
KR20200004938A (ko) 2018-07-04 2020-01-15 정청식 인계 난연제를 이용한 난연성 올레핀계 천정제 및 보드 소재의 제조방법과 그의 제조방법
CN111139140A (zh) * 2018-11-02 2020-05-12 依工特种材料(苏州)有限公司 一种水基型半导体清洗剂及其制备方法
TW202113057A (zh) * 2019-07-15 2021-04-01 美商慧盛材料美國有限責任公司 用於移除蝕刻殘留物之組合物、使用其之方法及其用途
US20220380705A1 (en) * 2019-09-27 2022-12-01 Versum Materials Us, Llc Composition For Removing Etch Residues, Methods Of Using And Use Thereof
CN114502708A (zh) * 2019-09-30 2022-05-13 弗萨姆材料美国有限责任公司 光致抗蚀剂去除剂
CN112410787A (zh) * 2020-11-03 2021-02-26 哈尔滨哈飞航空工业有限责任公司 一种薄板铝合金零件化学铣切溶液及其方法
CN116262888B (zh) * 2021-12-13 2024-03-08 上海新阳半导体材料股份有限公司 一种等离子刻蚀清洗后中和清洗剂
CN114574297A (zh) * 2022-03-09 2022-06-03 张家港安储科技有限公司 一种用于碳化硅半导体制程中的清洗液组合物
CN115710536B (zh) * 2022-11-11 2024-03-08 上海新阳半导体材料股份有限公司 一种清洗液的制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0996911A (ja) * 1995-09-29 1997-04-08 Tokyo Ohka Kogyo Co Ltd レジスト用剥離液組成物
JP2001188363A (ja) * 1999-12-28 2001-07-10 Tokyo Ohka Kogyo Co Ltd ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
JP2003223010A (ja) * 2002-01-30 2003-08-08 Kao Corp 剥離剤組成物
JP2007128038A (ja) * 2005-07-28 2007-05-24 Rohm & Haas Electronic Materials Llc ストリッパー

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6000411A (en) 1990-11-05 1999-12-14 Ekc Technology, Inc. Cleaning compositions for removing etching residue and method of using
US6110881A (en) 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US6187730B1 (en) 1990-11-05 2001-02-13 Ekc Technology, Inc. Hydroxylamine-gallic compound composition and process
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5981454A (en) 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US6242400B1 (en) 1990-11-05 2001-06-05 Ekc Technology, Inc. Method of stripping resists from substrates using hydroxylamine and alkanolamine
US6121217A (en) 1990-11-05 2000-09-19 Ekc Technology, Inc. Alkanolamine semiconductor process residue removal composition and process
US7205265B2 (en) 1990-11-05 2007-04-17 Ekc Technology, Inc. Cleaning compositions and methods of use thereof
US5556482A (en) 1991-01-25 1996-09-17 Ashland, Inc. Method of stripping photoresist with composition containing inhibitor
US5496491A (en) 1991-01-25 1996-03-05 Ashland Oil Company Organic stripping composition
US6825156B2 (en) 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US7144849B2 (en) 1993-06-21 2006-12-05 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
DE19617646C2 (de) 1996-05-02 1998-07-09 Siemens Ag Speicherzellenanordnung und ein Verfahren zu deren Herstellung
US6030932A (en) 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US5798323A (en) 1997-05-05 1998-08-25 Olin Microelectronic Chemicals, Inc. Non-corrosive stripping and cleaning composition
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
US6328943B1 (en) 1998-07-09 2001-12-11 Betzdearborn Inc. Inhibition of pyrophoric iron sulfide activity
US6828289B2 (en) * 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
DE69923289D1 (de) 1999-04-28 2005-02-24 St Microelectronics Srl Halbleitervorrichtung mit auswälbarer Anschlussfläche
KR100366974B1 (ko) * 1999-12-30 2003-01-14 유니켐스 (주) 드라이필름용 박리액 조성물 및 이를 이용한 드라이필름의박리방법
US6475966B1 (en) 2000-02-25 2002-11-05 Shipley Company, L.L.C. Plasma etching residue removal
KR100363271B1 (ko) 2000-06-12 2002-12-05 주식회사 동진쎄미켐 포토레지스트 리무버 조성물
JP2002062668A (ja) * 2000-08-14 2002-02-28 Mitsubishi Gas Chem Co Inc フォトレジストの剥離方法
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
MY131912A (en) 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
TW575783B (en) * 2001-07-13 2004-02-11 Ekc Technology Inc Sulfoxide pyrolid(in)one alkanolamine cleaner composition
US7543592B2 (en) 2001-12-04 2009-06-09 Ekc Technology, Inc. Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US6917110B2 (en) 2001-12-07 2005-07-12 Sanyo Electric Co., Ltd. Semiconductor device comprising an interconnect structure with a modified low dielectric insulation layer
KR101017738B1 (ko) 2002-03-12 2011-02-28 미츠비시 가스 가가쿠 가부시키가이샤 포토레지스트 박리제 조성물 및 세정 조성물
WO2003091376A1 (en) * 2002-04-24 2003-11-06 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
US8003587B2 (en) * 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
BRPI0416067A (pt) * 2003-10-29 2007-01-02 Mallinckrodt Baker Inc removedores alcalinos de resìduo de cinza/gravação pós-plasma e composições de descascamento de fotorresistes contendo inibidores de corrosão de haleto de metal
US20060003910A1 (en) * 2004-06-15 2006-01-05 Hsu Jiun Y Composition and method comprising same for removing residue from a substrate
US7727420B2 (en) 2005-05-17 2010-06-01 Ppt Research Corrosion inhibiting compositions
EP1945748A4 (en) * 2005-10-13 2009-01-07 Advanced Tech Materials PHOTORESIN REMOVAL AND / OR SACRIFICIAL ANTIREFLECTION COATING COMPOSITION COMPATIBLE WITH METALS
US20070179072A1 (en) 2006-01-30 2007-08-02 Rao Madhukar B Cleaning formulations
US20090107520A1 (en) 2007-10-29 2009-04-30 Wai Mun Lee Amidoxime compounds as chelating agents in semiconductor processes
US20090120457A1 (en) * 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
US8518865B2 (en) 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0996911A (ja) * 1995-09-29 1997-04-08 Tokyo Ohka Kogyo Co Ltd レジスト用剥離液組成物
JP2001188363A (ja) * 1999-12-28 2001-07-10 Tokyo Ohka Kogyo Co Ltd ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
JP2003223010A (ja) * 2002-01-30 2003-08-08 Kao Corp 剥離剤組成物
JP2007128038A (ja) * 2005-07-28 2007-05-24 Rohm & Haas Electronic Materials Llc ストリッパー

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101964901B1 (ko) * 2013-12-06 2019-04-02 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
US11639487B2 (en) 2013-12-06 2023-05-02 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US11286444B2 (en) 2013-12-06 2022-03-29 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
JP7491497B2 (ja) 2013-12-06 2024-05-28 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 表面上の残渣を除去するための洗浄用製剤
US10253282B2 (en) 2013-12-06 2019-04-09 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US11618867B2 (en) 2013-12-06 2023-04-04 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
KR20160096095A (ko) * 2013-12-06 2016-08-12 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
JP2019116634A (ja) * 2013-12-06 2019-07-18 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 表面上の残渣を除去するための洗浄用製剤
JP2017504190A (ja) * 2013-12-06 2017-02-02 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 表面上の残渣を除去するための洗浄用製剤
JP2021102773A (ja) * 2013-12-06 2021-07-15 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 表面上の残渣を除去するための洗浄用製剤
JP7171800B2 (ja) 2013-12-06 2022-11-15 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 表面上の残渣を除去するための洗浄用製剤
US10415005B2 (en) 2013-12-06 2019-09-17 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US11401487B2 (en) 2013-12-06 2022-08-02 Fujifilm Electronics Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US10696933B2 (en) 2013-12-06 2020-06-30 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US10927329B2 (en) 2013-12-06 2021-02-23 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
KR20160114889A (ko) * 2015-03-25 2016-10-06 동우 화인켐 주식회사 식각액 조성물 및 액정표시장치용 어레이 기판의 제조방법
KR102281190B1 (ko) 2015-03-25 2021-07-23 동우 화인켐 주식회사 식각액 조성물 및 액정표시장치용 어레이 기판의 제조방법
JPWO2017099121A1 (ja) * 2015-12-11 2018-08-30 富士フイルム株式会社 半導体デバイス用処理液の保管方法、処理液収容体
KR102067444B1 (ko) * 2015-12-11 2020-01-17 후지필름 가부시키가이샤 반도체 디바이스용 처리액의 보관 방법, 처리액 수용체
JPWO2017099211A1 (ja) * 2015-12-11 2018-09-20 富士フイルム株式会社 洗浄液、基板洗浄方法、及び、半導体デバイスの製造方法
KR20180074755A (ko) 2015-12-11 2018-07-03 후지필름 가부시키가이샤 반도체 디바이스용 처리액의 보관 방법, 처리액 수용체
WO2017099211A1 (ja) * 2015-12-11 2017-06-15 富士フイルム株式会社 洗浄液、基板洗浄方法、及び、半導体デバイスの製造方法
WO2017099121A1 (ja) * 2015-12-11 2017-06-15 富士フイルム株式会社 半導体デバイス用処理液の保管方法、処理液収容体
US11407966B2 (en) 2018-03-28 2022-08-09 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions

Also Published As

Publication number Publication date
EP2500407B1 (en) 2017-07-19
KR101535283B1 (ko) 2015-07-08
JP5662365B2 (ja) 2015-01-28
KR20120106928A (ko) 2012-09-27
CN102732393B (zh) 2014-12-17
US8889609B2 (en) 2014-11-18
SG184669A1 (en) 2012-10-30
CN102732393A (zh) 2012-10-17
TW201239085A (en) 2012-10-01
EP2500407A1 (en) 2012-09-19
US20130061882A1 (en) 2013-03-14
TWI454573B (zh) 2014-10-01
MY162416A (en) 2017-06-15

Similar Documents

Publication Publication Date Title
JP5662365B2 (ja) クリーニング調合物およびそのクリーニング調合物の使用方法
KR101960351B1 (ko) 세정 포뮬레이션
JP6546080B2 (ja) クリーニング用組成物
KR100857865B1 (ko) 세정 제제
US10647950B2 (en) Cleaning formulations
KR20170066244A (ko) 에칭 조성물 및 이를 사용하는 방법
TWI752528B (zh) 用於半導體基材的清潔組合物
EP3599633A1 (en) Post etch residue cleaning compositions and methods of using the same
TW202122564A (zh) 用於移除蝕刻殘留物之組合物、使用其之方法及其用途

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130924

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131220

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140320

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141104

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141204

R150 Certificate of patent or registration of utility model

Ref document number: 5662365

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250