KR101828608B1 - 포토리소그래피 마스크의 에러를 정정하는 방법 및 장치 - Google Patents

포토리소그래피 마스크의 에러를 정정하는 방법 및 장치 Download PDF

Info

Publication number
KR101828608B1
KR101828608B1 KR1020110068908A KR20110068908A KR101828608B1 KR 101828608 B1 KR101828608 B1 KR 101828608B1 KR 1020110068908 A KR1020110068908 A KR 1020110068908A KR 20110068908 A KR20110068908 A KR 20110068908A KR 101828608 B1 KR101828608 B1 KR 101828608B1
Authority
KR
South Korea
Prior art keywords
photolithographic mask
laser beam
mask
errors
photolithographic
Prior art date
Application number
KR1020110068908A
Other languages
English (en)
Other versions
KR20120007975A (ko
Inventor
블라드미르 드미트리에프
Original Assignee
칼 짜이스 에스엠에스 엘티디
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 칼 짜이스 에스엠에스 엘티디 filed Critical 칼 짜이스 에스엠에스 엘티디
Publication of KR20120007975A publication Critical patent/KR20120007975A/ko
Application granted granted Critical
Publication of KR101828608B1 publication Critical patent/KR101828608B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 포토리소그래피 마스크의 다수의 에러를 보정하는 방법에 관한 것으로서, 상기 방법은, 포토리소그래피 마스크의 이미지 변환의 제 1 파라미터 및, 포토리소그래피 마스크 상으로 국부적으로 지향되는 레이저 빔의 제 2 파라미터를 최적화하는 단계, 및 최적화된 제 1 파라미터를 이용하여 이미지 변환을 적용하고, 최적화된 제 2 파라미터를 이용하여 포토리소그래피 마스크 상으로 레이저 빔을 국부적으로 지향시킴으로써 다수의 에러를 보정하는 단계를 포함하는데, 제 1 및 2 파라미터는 동시에 결합 최적화 프로세스에서 최적화된다.

Description

포토리소그래피 마스크의 에러를 정정하는 방법 및 장치{METHOD AND APPARATUS FOR CORRECTING ERRORS OF A PHOTOLITHOGRAPHIC MASK}
본 발명은 포토리소그래피 마스크의 에러를 정정하는 분야에 관한 것이다.
반도체 산업에서 끊임없이 증가하는 집적 밀도의 결과로서, 포토리소그래피 마스크는 감광층, 즉 웨이퍼 상의 포토레지스트 상으로 구조를 점점 적게 투사해야 한다. 이런 요구를 충족시키기 위해, 포토리소그래피 마스크의 노광 파장은 중간(mean) 자외선에 걸친 근자외선에서 전자기 스펙트럼의 원자외선 영역으로 시프트되었다. 현재, 193 nm의 파장은 전형적으로 웨이퍼 상의 포토레지스트의 노광을 위해 이용된다. 결과로서, 해상도를 증가시키는 포토리소그래피 마스크의 제조는 점점 더 복잡해져, 또한 점점 더 값비싸게 된다. 장래에는, 포토리소그래피 마스크는 전자기 스펙트럼의 극자외선 (EUV) 파장 범위 (대략 13.5 nm) 내에서 상당히 작은 파장을 이용할 것이다.
포토리소그래피 마스크는 투과 균질도(transmission homogeneity), 평면성(planarity), 순수성(pureness) 및 온도 안정성에 대해 최고의 요구를 충족해야 한다. 장래 EUV 포토리소그래피 마스크에 대해, 기판의 표면 상의 다층 구조로부터 반사되는 전자기파의 동위상 파면(phase front)을 상당히 교란시키지 않기 위해 이런 평면성으로부터의 이들 기판의 허용 편차는 노광 파장의 파장의 일부일 뿐이다. 포토리소그래피 마스크의 기판의 평면성의 보다 큰 편차는, 포토레지스트 내의 파면의 파괴성 합산의 구성(constructive of a destructive addition)으로 인해 포트레지스트에서의 광 강도 분포를 변화시킬 수 있다. 웨이퍼의 추가 처리 시에, 광 강도의 변화는 결과적으로 제조 결함있는 반도체 장치를 생성시킬 수 있다. 노광 파장의 감소는 이런 문제를 더욱 어렵게 한다. 제조자로부터 공급되는 기판은 EUV 포토리소그래피 마스크에 대한 평면성 조건을 충족시키지 않을 수 있고, 하나의 표면 상에 파인 패턴(fine pattern)을 형성하는 마스크의 제조 공정은 기판의 평면성을 악화시킬 수 있다.
투과성 포토리소그래피 마스크에 대해, 마스크 영역에 걸친 광 투과의 균질도는 중요한 파라미터이다. 포토리소그래피 마스크의 영역에 걸친 광 투과의 변화로, 이에 대응하여 웨이퍼 상의 포토레지스트에 적용되는 로컬 광선량이 변화된다. 로컬 적용된 선량의 변화는 결과적으로 현상된 포토레지스트에서의 패턴 요소의 구조 선폭(structure dimension)을 변동시키거나 변화시킨다. 포토리소그래피 마스크의 영역에 걸친 구조 요소의 균일도는 임계 선폭 균일도(critical dimension uniformity, CDU)라 부른다.
더욱이, 포토리소그래피 마스크의 기판의 곡률은 또한 마스크의 이미징 에러를 유발시킨다. US 2007/02245222 A1은 제조된 포토리소그래피 마스크의 평면성을 향상시키는 방법을 기술하고 있다. 기판의 곡률을 조정하거나, 기판의 불균일을 평활시키기 위해, 이 문서는 기판의 미리 정해진 영역 내의 변형 또는 확장 부분을 형성하는 것을 제안하며, 여기서, 기판은 확장 부분을 형성하기 전의 곡선 영역을 포함한다. 확장 부분은 기판의 본딩 상태를 국부적으로 수정하는 이런 영역 내에 펨토초(femtosecond) 레이저 펄스를 포커싱함으로써 생성된다.
미국 특허 제7 001 697 B2호는 웨이퍼 상의 포토레지스트 내의 포토리소그래피 마스크에 의해 유도되는 강도 차(intensity differences) 또는 광 투과 에러를 제거하는 다른 방법을 제공한다. 마스크의 단일 조명(single illumination) 동안에 유도된 포토레지스트에서 광 강도의 로컬 차를 보상하기 위해, 회절 패턴은 패턴 요소를 수용하는 표면에 대향하는 기판 표면인 후방 기판 표면 상에 에칭된다.
문서 US 7 241 539 B2 및 US 2007/0065729 A1은, 포토리소그래피 마스크, 또는 이 마스크의 조명을 위해 이용되는 광 요소에 의해 유도되는 광 투과 에러 또는 이미징 에러를 정정하는 추가적 방법을 개시하고 있다. 다시 펨토초 레이저 펄스를 이용함으로써 마스크의 기판 내의 섀도우 요소의 어레이를 생성시킴으로써, 패턴 요소를 통한 회절 에러는 오프셋하여, 거의 균일한 강도의 패턴 방사가 마스크 기판을 투과되도록 한다. 섀도우 요소의 스페이싱, 사이징 및/또는 배치(placements)는 시험 및 에러를 이용하고 및/또는 시뮬레이션을 이용함으로써 실험적으로 결정될 수 있다.
포토리소그래피 마스크의 기판 재료를 정상적으로 형성하는 석영 또는 용융 실리카(fused silica) 상의 펨토초 레이저 펄스의 동작은, 예컨대, S. Oshemkov, V. Dmitriev, E. Zait and G. Gen-Zvi: "DUV attenuation structures in fused silica induced ultrashort laser radiation", Proc. CLEOE-IQEC, Munich 2007에 의해 조사되었다. 여기서 전적으로 참조로 포함되는 출원인의 계류 중인 미국 가출원 US 61/324 467 및 US 61 351 056은 포토리소그래피 마스크 내의 임계 선폭 정정 (CDC)의 일부 양태를 기술하고 있다.
패턴 요소에서 회절로 인해 유도되는 에러 이외에, 포토리소그래피 마스크를 형성하는 패턴 요소는 또한 결함이 있을 수 있다. 미국 특허 제7 459 242호는 또한 패턴 요소를 형성하는 크롬 층 내의 공극을 가진 포토리소그래피 마스크를 수리하는 방법을 개시한다. 공극의 앞에 포토리소그래피 마스크의 기판 내에 회절 광 요소 또는 차광 요소(shading element) (DOE/SE)를 유도함으로써, DOE/SE의 위치에서의 기판의 산란 특성은 변화되어, 포토리소그래피 마스크의 기판 상의 크롬 층 내의 공극을 정정한다.
더욱이, 포토리소그래피 마스크는 또한 패턴 요소의 배치 에러를 가질 수 있다. 즉, 패턴 요소의 일부는 정확히 포토레지스트 상의 미리 정해진 위치에 있는 패턴 파라미터를 이미지화하지 않는다. 포토레지스트 내의 패턴 요소의 배치 에러의 효과는 이미지 필드의 포커스에 대해 포토리소그래피 마스크의 선형 이미지 변환을 실행하는 것을 정상적으로 감소시킨다. 포토레지스트와 평행한 평면에서의 포토리소그래피 마스크의 작은 시프트에 의해, 배치 에러의 전체 효과는 감소될 수 있다. 이미지면의 포커스에 대한 마스크의 회전은 또한 포토리소그래피 마스크의 기판 상의 패턴 요소의 배치 에러의 합을 감소시킬 수 있다. 더욱이, 패턴 요소의 배치 에러를 정정하기 위한 추가적 가능성은, 웨이퍼 상의 포토레지스트 내의 포토리소그래피 마스크의 패턴 요소의 이미징의 스케일(scale) 정정을 실행하는 것이다. 패턴 요소의 배치 에러가 선형 이미지 변환 후에 너무 큰 경우에, 마스크는 폐기되어야 한다.
문서 DE 10 2006 054 820 A1은 한 단계 더 발전시킨다. 이 문서는, 각각의 패턴 요소를 시프트시키기 위해 배치 에러에 근접한 마스크의 기판의 일부에 로컬 밀도(local density) 변화의 어레이를 유도하도록 제안한다. 포토레지스트 상의 포토리소그래피 마스크의 이미지 에러를 최소화하기 위한 방향으로의 패턴 요소의 이런 시프트는 다시 마스크의 전체 배치 에러의 합을 감소시켜, 마스크 제조 프로세스의 수율을 증가시킨다. 마스크 기판 내의 로컬 밀도 변화는 펨토초 레이저 빔을 이용하여 국부적으로 및 일시적으로 기판 재료를 용해시킴으로써 발생된다. 이런 프로세스는 이 재료가 일시 용해된 범위에서 기판 밀도를 국부적으로 감소시킨다. 레이저 빔에 의해 국부적으로 변화되는 도트(dot)는 픽셀이라 한다. 패턴 요소에 대한 배치 에러의 원하는 정정을 실행하는데 필요한 픽셀의 밀도 및 구성은 배치된 패턴 요소를 가진 다수의 샘플의 각각의 조명을 실행함으로써 실험적으로 결정된다. 그 후, 실험 결과는 라이브러리(library) 내에 저장된다.
DE 10 2006 054 820 A1은 포토리소그래피 마스크의 기판 상의 패턴 요소의 배치 에러가 2 단계 프로세스로 축소되는 방법을 기술하고 있다. 제 1 단계에서, 선형 이미지 변환이 실행된다. 제 2 단계에서, 잔여 배치 에러는 마스크의 기판 내의 밀도 변화를 선택적으로 유도함으로써 더 감소된다. 필요하다면, 이런 루프는 반복될 수 있다. 그러나, 이런 접근법은 많은 경우에 허용될 수 없는 포토리소그래피 마스크의 에러 량을 보유한다. 더욱이, 각 기판 재료에 대해, 툴(tools)을 정정하는 종합적인(comprehensive) 라이브러리는 포토리소그래피 마스크의 선형 이미지 변환에 의해 정정될 수 없는 배치 에러를 정정할 수 있는 실험적으로 결정된 프라이어(prior)이어야 한다.
그래서, 본 발명의 한 목적은, 에러 정정 후에 남은 에러가 최소화되어, 제조된 포토리소그래피 마스크의 수율을 증대시키도록 포토리소그래피 마스크를 정정하는 방법 및 장치를 제공하는 것이다.
본 발명의 제 1 양태에 따르면, 특허청구범위 제 1 항에 따른 방법이 제공된다. 한 실시예에서, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법은, 포토리소그래피 마스크의 이미지 변환의 제 1 파라미터 및, 포토리소그래피 마스크 상으로 국부적으로 지향되는 레이저 빔의 제 2 파라미터를 최적화하는 단계, 및 최적화된 제 1 파라미터를 이용하여 이미지 변환을 적용하고, 최적화된 제 2 파라미터를 이용하여 포토리소그래피 마스크 상으로 레이저 빔을 국부적으로 지향시킴으로써 다수의 에러를 정정하는 단계를 포함하는데, 제 1 및 2 파라미터는 동시에 결합(joint) 최적화 프로세스에서 최적화된다.
이미지 파라미터 및 레이저 빔 파라미터의 동시 변화는, 종래 기술에 따른 단계적인 최적화에 비해 최적화 프로세스의 변화 공간의 치수를 확장한다. 이미지 파라미터 및 레이저 빔 파라미터에 대한 결합 최적화 프로세스에서의 확장된 솔루션 공간(solution space)은 결과적으로 종래 기술의 최적화 프로세스로 이룰 수 없는 포토리소그래피 마스크의 전체 에러를 감소시킨다. 따라서, 정의된 에러 정정 방법의 응용은 마스크 제조 프로세스의 수율을 상당히 증대시킬 것이다.
다른 양태에 따르면, 본 발명은 에러 데이터, 제 1 이미지 변환 파라미터 및 제 2 레이저 빔 파라미터를 포함하는 타깃 범함수(target functional)를 설정하는 단계, 및 제 1 이미지 변환 파라미터 및 제 2 레이저 빔 파라미터를 동시에 변화시킴으로써 타깃 범함수를 최소화하는 단계를 포함한다. 또 다른 양태에서, 라그랑지 변분 원리(Lagrange variational principle)가 타깃 범함수를 설정하는데 이용된다. 다른 양태에서, 타깃 범함수는 제 1 및 제 2 파라미터에 의해 포토리소그래피 마스크 내에 유도되는 포텐셜 에너지 분포를 최소화한다. 또 다른 양태에서, 이미지 변환은 선형 이미지 변환을 포함한다.
본 발명의 다른 중요한 양태는, 레이저 빔 파라미터와, 포토리소그래피 마스크의 기판 내에서 이런 레이저 빔 파라미터를 가진 레이저 빔에 의해 유도되는 효과 간의 일반적 관계를 확립하는 것이다. 이와 같은 관계의 확립은 특정 타입의 마스크 에러의 정정에 필요한 레이저 빔 파라미터의 결정을 허용한다. 더욱이, 레이저 빔 파라미터와, 포토리소그래피 마스크의 기판 내에서의 유도된 효과 간의 관계는, 레이저 빔을 마스크의 기판 상으로 국부적으로 지향시켜, 레이저 빔을 포토리소그래피 마스크 상으로 한번만 지향시킴으로써, 또는 레이저 빔에 의한 픽셀을 기록하는 단일 프로세스에서 포토리소그래피 마스크의 서로 다른 타입의 에러를 동시에 정정하기 위해 최적화된 레이저 빔 파라미터를 결정할 수 있다.
다른 양태에서, 선형 이미지 변환은 포토리소그래피 마스크 시프트를 위한 2개의 파라미터, 포토리소그래피 마스크 스케일링(scaling)을 위한 2개의 파라미터 및, 포토리소그래피 마스크의 일반화된 회전을 위한 2개의 파라미터를 포함한다.
다른 양태에 따르면, 제 2 레이저 빔 파라미터는, 레이저 빔의 에너지 및/또는 개구수(numerical aperture) 및/또는 포커스 사이즈 및/또는 빔 편광 및/또는 비점수차(astigmatism) 및/또는 펄스 길이 및/또는 반복율 및/또는 포토리소그래피 마스크의 한 위치 상으로 지향된 펄스의 수 및/또는 레이저 빔이 포토리소그래피 마스크 상으로 지향되는 2개의 위치 간의 거리를 포함한다.
다른 양태에서, 레이저 빔은 포토리소그래피 마스크의 밀도 및/또는 광 투과 분포를 국부적으로 수정한다. 한 양태에서, 국부적으로 수정된 포토리소그래피 마스크의 밀도 및/또는 광 투과 분포는 포토리소그래피 마스크의 다수의 작은 볼륨(volume) 내에서 불연속적으로 수정되는데, 여기서 각 작은 볼륨은 픽셀이라 한다. 선택적 양태에서, 국부적으로 수정된 포토리소그래피 마스크의 밀도 및/또는 광 투과 분포는 포토리소그래피 마스크에 걸쳐 불연속적으로 수정된다.
이것은, 포토리소그래피 마스크의 기판의 밀도가 특히 픽셀을 마스크 기판 내에 국부적으로 유도함으로써 수정될 수 있고, 광 투과 분포가 서로 다른 세트의 레이저 빔 파라미터를 이용함으로써 마스크를 연속적으로 수정함으로써 개별적으로 수정될 수 있다는 것을 의미한다. 따라서, 레지스트레이션 에러(registration error)의 정정 및 광 투과 분포의 에러의 정정은 본질적으로 분리될 수 있다.
다른 양태에 따르면, 포토리소그래피 마스크의 밀도 및/또는 광 투과 분포는 레이저 빔에 의해 생성된 변형률(strain) 분포에 의해 유도되는 응력(stress) 분포에 의해 수정되는데, 응력 분포 및 변형률 분포는 후크의 법칙(Hooke's law)에 의해 연결된다.
또 다른 양태에서, 포토리소그래피 마스크에 걸쳐 연장하는 사각형 그리드의 노드의 변위는 제 2 레이저 빔 파라미터의 함수이다.
다른 양태에 따르면, 제 2 레이저 빔 파라미터는, 레이저 빔에 의해 포토리소그래피 마스크에 유도되는 레이저 빔에 수직인 법선 방향에서 하나 이상의 기록 밀도 진폭 및 하나 이상의 변형 요소를 결정한다. 또 다른 양태에서, 셀 α에서의 모드 m의 하나 이상의 기록 밀도 진폭
Figure 112011053373377-pat00001
및, 셀 α에서의 모드 m의 하나 이상의 법선 방향 l의 하나 이상의 변형 요소
Figure 112011053373377-pat00002
Figure 112011053373377-pat00003
로 주어지는 노드 i의 변위 ξi를 유도하며, 텐서(tensor)
Figure 112011053373377-pat00004
는 다수의 에러를 정정하기 전의 포텐셜 에너지 분포의 역 텐서이고,
Figure 112011053373377-pat00005
는 레이저 빔을 포토리소그래피 마스크 상으로 지향시킨 후에 포텐셜 에너지 분포를 나타낸 텐서의 요소이며, 양방의 텐서는 포토리소그래피 마스크의 재료 파라미터를 포함하고, Nkl은 정규화 텐서이다.
이런 식은 이들 효과를 가진 레이저 빔의 제 2 레이저 빔 파라미터를 포토리소그래피 마스크 상에 연결한다. 이것은, 본 발명의 방법이 포토리소그래피 마스크의 기판 재료 내에 유도된 레이저 빔의 효과 광 펄스를 결정하기 위해 포토리소그래피 마스크 및 기본 물리적 법칙의 재료 파라미터를 이용한다는 것을 의미한다.
다른 양태에서, 레이저 빔의 기록 밀도 진폭은 포토리소그래피 마스크의 손상 임계치(damage threshold) 이하이다. 레이저 빔 파라미터는, 한편으로, 레이저 빔이 포토리소그래피 마스크의 기판의 밀도 및/또는 광 투과 분포를 국부적으로 수정하지만, 다른 한편으로는 포토리소그래피 마스크의 기판을 국부적으로 손상시키지 않도록 선택되어야 한다.
또 다른 양태에 따르면, 포토리소그래피 마스크 상의 위치에서의 변위는 모든 그리드 노드의 변위의 선형 조합으로부터 결정된다.
다른 양태에서, 에러 데이터는 다수의 에러의 위치를 나타내는 데이터를 포함한다. 다른 양태에 따르면, 에러 데이터는 포토리소그래피 마스크의 하나 이상의 측정 및/또는 포토리소그래피 마스크를 이용하여 조명된 웨이퍼의 하나 이상의 측정으로부터 결정되고, 및/또는 포토리소그래피 마스크의 기판의 제조자에 의해 제공된다. 다른 양태에서, 이미지 변환으로부터 생기는 다수의 에러의 일부는 포토리소그래피 마스크를 이용하여 조명된 웨이퍼의 하나 이상의 측정으로부터 결정된다.
다른 양태에 따르면, 포토리소그래피 마스크는 포토리소그래피 마스크 상에 배치된 하나 이상의 흡수 재료의 다수의 패턴 요소를 포함한다.
다른 양태에서, 에러 데이터는 포토리소그래피 마스크의 패턴 요소의 결정된 위치와, 패턴 요소의 미리 정해진 위치 간의 차를 포함한다. 다른 양태에 따르면, 에러 데이터는, 포토리소그래피 마스크를 이용하여 조명된 웨이퍼 상의 패턴 요소의 결정된 위치와, 웨이퍼 상의 패턴 요소의 미리 정해진 위치 간의 차를 포함한다. 선택적 양태에서, 에러 데이터는 포토리소그래피 마스크의 결정된 광 투과 분포와, 포토리소그래피 마스크의 미리 정해진 광 투과 분포 간의 차를 포함한다. 다른 양태에서, 에러 데이터는, 포토리소그래피 마스크의 결정된 광 투과 분포와, 포토리소그래피 마스크의 미리 정해진 광 투과 분포 간의 차 및, 포토리소그래피 마스크 또는 포토리소그래피 마스크를 이용하여 조명된 웨이퍼 상의 패턴 요소의 결정된 위치와, 포토리소그래피 마스크 또는 포토리소그래피 마스크를 이용하여 조명된 웨이퍼 상의 패턴 요소의 미리 정해진 위치 간의 차를 포함한다.
다른 양태에서, 에러 데이터는, 포토리소그래피 마스크를 이용하여 조명된 웨이퍼 상의 패턴 요소의 계산된 위치와, 웨이퍼 상의 패턴 요소의 미리 정해진 위치 간의 차를 포함한다. 다른 양태에 따르면, 패턴 요소의 계산된 위치는, 제 1 타입의 다수의 에러를 정정하기 위해 레이저 빔을 포토리소그래피 마스크 상으로 국부적으로 지향시킴으로써 결정된다. 또 다른 양태에서, 에러 데이터는, 포토리소그래피 마스크의 계산된 광 투과 분포와, 포토리소그래피 마스크의 미리 정해진 광 투과 분포 간의 차를 포함한다. 또 다른 양태에서, 계산된 광 투과 분포는, 제 2 타입의 다수의 에러를 정정하기 위해 레이저 빔을 포토리소그래피 마스크 상으로 국부적으로 지향시킴으로써 결정된다.
에러 측정 이외에, 예컨대, 레지스트레이션 에러를 정정할 시에 포토리소그래피 마스크의 기판 내에 새롭게 유도되는 에러가 또한 계산될 수 있다. 계산된 에러는 제 2 기록 프로세스에서 레이저 빔을 포토리소그래피 마스크의 기판 상으로 다시 지향시킴으로써 정정될 수 있다.
또 다른 양태에서, 타깃 범함수는 티호노프 정규화(Tikhonov regularization)를 이용하여 잔여 변위의 평균 제곱으로서 최소화된다.
다른 양태에 따르면, m 기록 밀도 진폭
Figure 112011053373377-pat00006
의 수 및 셀 α에서의 모드 m의 법선 방향 l에서의 변형 요소
Figure 112011053373377-pat00007
를 가진 타깃 범함수는 식
Figure 112011053373377-pat00008
이며, 여기서,
Figure 112011053373377-pat00009
은 포토리소그래피 마스크의 패턴 요소의 결정된 위치와, 패턴 요소의 미리 정해진 위치 간의 차를 포함하고, 포토리소그래피 마스크의 임의 위치에서의 변위
Figure 112011053373377-pat00010
Figure 112011053373377-pat00011
를 가진 노드
Figure 112011053373377-pat00012
에서의 변위로부터 선형 변환에 의해 결정되며, 여기서,
Figure 112011053373377-pat00013
Figure 112011053373377-pat00014
Figure 112011053373377-pat00015
간의 변환 매트릭스이며, 기록 밀도 진폭
Figure 112011053373377-pat00016
및, 레이저 빔에 의해 유도된 법선 방향
Figure 112011053373377-pat00017
에서의 변형 요소를 가진 노드 i에서의 변위
Figure 112011053373377-pat00018
의 추가적 연결은 이전의 페이지에서 정의되었으며, 부가적으로, 제 3 항목은 선형 이미지 변환을 기술하고 있으며, 여기서, 벡터
Figure 112011053373377-pat00019
는 선형 이미지 계수를 포함하고, 텐서 Tik는 노드
Figure 112011053373377-pat00020
의 면 내의 변위에 선형 이미지 계수 sk를 옮기며, 최종으로, 최종 2개의 합은 티호노프 정규화의 항목이다.
유익한 양태에 따르면, 타깃 범함수는, 포토리소그래피 마스크의 활성 영역 및/또는 비활성 영역에서 제약(constraint)으로서 하나 이상의 가중 함수를 포함한다. 다른 양태에서, 하나 이상의 가중 함수는 포토리소그래피 마스크의 활성 영역의 중심에 근접해 레이저 빔을 국부적으로 지향시킨다. 다른 양태에서, 하나 이상의 가중 함수는 레이저 빔을 패턴 요소의 영역으로 지향시키는 것을 제한한다. 또 다른 양태에서, 하나 이상의 가중 함수는 레이저 빔을 패턴 요소의 영역 주변으로 지향시키는 것을 제한한다. 또 다른 양태에 따르면, 픽셀의 밀도는 패턴 요소로부터의 거리의 증가에 따라 감소한다. 다른 양태에서, 하나 이상의 가중 함수는 레이저 빔을 포토리소그래피 마스크 상으로 국부적으로 지향시킬 시에 서로 다른 타입의 에러의 정정을 절충한다(compromise). 다른 유익한 양태에 따르면, 하나 이상의 가중 함수는 레이저 빔을 포토리소그래피 마스크의 제 1 영역 상으로 국부적으로 지향시킴으로써 유도된 에러를 레이저 빔을 포토리소그래피 마스크의 제 2 영역 상으로 국부적으로 지향시킴으로써 균형을 이루게 한다.
다른 양태에서, 다수의 에러는 서로 다른 타입의 다수의 에러를 포함한다. 다른 양태에 따르면, 서로 다른 타입의 다수의 에러는 레지스트레이션 에러 및/또는 광 투과 분포 에러 및/또는 평면성 에러를 포함한다. 다른 양태에서, 픽셀 및/또는 연속적으로 수정된 밀도는 포토리소그래피 마스크의 기판의 높이 내의 하나 이상의 단일 층 내에 배열된다. 유익한 양태에 따르면, 픽셀 및/또는 연속적으로 수정된 밀도는 포토리소그래피 마스크의 기판의 높이 내의 2 이상의 층 내에 배열된다. 또 다른 양태에서, 픽셀은 서로 다른 레이저 빔 파라미터로 생성되는 2 이상의 층 내에 배열된다. 다른 양태에서, 레이저 빔을 포토리소그래피 마스크의 기판 상으로 국부적으로 지향시켜, 다수의 에러를 정정하기 위한 제 1 층에 픽셀을 생성시킬 시에 정정되지 않은 및/또는 유도된 에러는, 레이저 빔을 포토리소그래피 마스크 상으로 다시 지향시켜, 포토리소그래피 마스크의 제 2 층에 픽셀을 생성시킴으로써 정정된다.
다른 유익한 양태에서, 포토리소그래피 마스크는 투과성 포토리소그래피 마스크를 포함한다. 선택적 양태에서, 포토리소그래피 마스크는 반사성 포토리소그래피 마스크를 포함한다. 다른 선택적 양태에서, 포토리소그래피 마스크는 나노임프린트 리소그래피(nanoimprint lithography)에 대한 템플릿(template)을 포함한다.
다른 양태에 따르면, 가중 함수는 다수의 에러의 레지스트레이션 에러 및 평탄도 에러(flatness error)를 동시에 정정하도록 구성된다.
다른 양태에서, 레이저 빔은 포토리소그래피 마스크의 활성 영역 상으로 지향되지 않는다.
레이저 빔을 포토리소그래피 마스크의 활성 영역 상으로 지향시키지 않음으로써, 식별된 에러를 정정할 동안에 포토리소그래피 마스크의 활성 영역에서 새로운 또는 부가적인 에러가 확실히 유도되지 않게 한다. 다른 한편으로, 부가적으로 결함이 있는 영역으로부터 떨어져 있는 에러 정정을 위한 영역을 제한하는 것이 덜 효율적인 정정 프로세스를 생성시키는 것이 명백하다. 이런 결점은 레이저 빔을 더욱 고 펄스 에너지를 이용하여 마스크의 비활성 영역으로 지향시킴으로써 적어도 부분적으로 보상될 수 있다.
다른 양태는, 2개의 수직 방향, 특히 x 방향 및 y 방향으로의 변위를 제어하기 위한 타깃 범함수에서의 2 이상의 가중 함수를 유도하는 단계 및, 가중 함수를 변화시킴으로써 반복 프로세스에서 타깃 범함수를 풀이하는 단계를 포함한다. 또 다른 양태에서, 가중 함수 wi를 가진 단일 기록 밀도 진폭
Figure 112011053373377-pat00021
에 대한 타깃 범함수는 식
Figure 112011053373377-pat00022
이며, 여기서, 인덱스 k를 가진 반복 프로세스에 대한 가중 함수는
Figure 112011053373377-pat00023
,
Figure 112011053373377-pat00024
,
Figure 112011053373377-pat00025
,
Figure 112011053373377-pat00026
,
Figure 112011053373377-pat00027
이고, 변화 한계치는
Figure 112011053373377-pat00028
Figure 112011053373377-pat00029
이며, 포토리소그래피 마스크의 활성 영역 내에서
Figure 112011053373377-pat00030
이다. 또 다른 양태에서, 반복 프로세스는, 유도된 변위가 미리 정해진 임계치를 초과할 시에 반복을 중지하는 단계; 미리 정해진 임계치에 대한 최대 차를 가진 방향을 결정하는 단계; 결정된 방향에서의 유도된 변위가 임계치를 초과하면, 반복을 중지하는 단계; x 방향이 미리 정해진 임계치에 대한 최대 차를 가지면,
Figure 112011053373377-pat00031
을 선택하고, 변화 한계치를
Figure 112011053373377-pat00032
,
Figure 112011053373377-pat00033
로 수정하는 단계; y 방향이 미리 정해진 임계치에 대한 최대 차를 가지면,
Figure 112011053373377-pat00034
을 선택하고, 변화 한계치를
Figure 112011053373377-pat00035
,
Figure 112011053373377-pat00036
로 수정하는 단계; 및 반복 프로세스를 반복하여, 최종 가중 함수를 각각의 방향에 대한 솔루션으로서 취하는 단계를 포함한다.
다른 양태에 따르면, 레이저 빔 및/또는 포토리소그래피 마스크의 레이저 빔은 레이저 빔이 포토리소그래피 마스크 상으로 지향되는 시간 주기의 일부 동안에 레이저 빔과 평행한 축 주변에서 어떤 각도만큼 회전된다.
레이저 빔 파라미터의 단일 세트의 픽셀은 레이저 빔에 수직인 면에서 비대칭을 유도하는데, 그 이유는 레이저 빔이 보통 빔 방향과 수직인 면에서 완전히 대칭이지 않기 때문이다. 빔 방향 주변에서 서로에 대해 회전되는 2개의 레이저 빔의 응용은 적어도 부분적으로 레이저 빔에 의해 유도되는 비대칭을 보상하는 간단한 수단을 제공한다.
다른 양태에서, 레이저 빔 및/또는 포토리소그래피 마스크의 레이저 빔의 회전각은 90°이다. 또 다른 양태에서, 포토리소그래피 마스크의 회전 및/또는 레이저 빔의 회전 없이 레이저 빔이 포토리소그래피 마스크 상으로 지향되는 시간 주기는 레이저 빔이 포토리소그래피 마스크 상으로 지향되는 전체 시간 주기의 50%이다.
다른 양태에서, 레이저 빔을 포토리소그래피 마스크 상으로 지향시킴으로써, 포토리소그래피 마스크의 노광 파장에서 레이저 빔에 대한 광 투과 분포의 변화가 유도되지 않는다.
본 발명의 방법의 양태는 식별된 에러의 정정이 마스크의 활성 영역에 새로운 에러를 확실히 유도하지 않게 한다.
다른 양태에 따르면, 레이저 빔을 포토리소그래피 마스크 상으로 지향시킴으로써, 노광 파장에서 레이저 빔에 대한 포토리소그래피 마스크에 걸친 광 투과의 일정한 수정(constant modification)이 유도된다. 또 다른 양태에서, 포토리소그래피 마스크 상으로의 레이저 빔의 지향은, 노광 파장에서 레이저 빔에 대한 포토리소그래피 마스크에 걸친 광 투과 분포의 변화를 유도하지 않기 위해, 제 1 기록 밀도 진폭
Figure 112011053373377-pat00037
을 가진 제 2 레이저 빔 파라미터의 하나 이상의 제 1 세트 및, 제 2 기록 밀도 진폭
Figure 112011053373377-pat00038
을 가진 제 2 레이저 빔 파라미터의 하나 이상의 제 2 세트로 실행된다. 또 다른 양태에서, 제 1 및 제 2 기록 밀도 진폭 간의 분할(partitioning)을 최적화하기 위한 타깃 범함수는 식
Figure 112011053373377-pat00039
이며, 여기서, 셀 α에서의 일정한 광 투과의 조건은
Figure 112011053373377-pat00040
을 필요로 하며, 여기서,c는 임의 상수이다.
다른 양태에 따르면, 레이저 빔을 포토리소그래피 마스크 상으로 지향시킴으로써, 부가적으로, 포토리소그래피 마스크의 노광 파장에서 레이저 빔에 대한 포토리소그래피 마스크에 걸친 광 투과 분포의 미리 정해진 변화가 유도된다.
레이저 빔을 이런 조건을 충족하는 파라미터를 가진 포토리소그래피 마스크의 기판 상으로 국부적으로 지향시킴으로써, 양방의 레지스트레이션 에러 및 광 투과 분포 에러 또는 CDU 에러는 마스크 기판 내에서 픽셀을 기록하는 단일 프로세스에서 동시에 정정될 수 있다.
다른 양태에서, 포토리소그래피 마스크 상으로의 레이저 빔의 지향은, 부가적으로 노광 파장에서 레이저 빔에 대한 포토리소그래피 마스크에 걸친 광 투과의 미리 정해진 변화를 유도하기 위해, 제 2 레이저 빔 파라미터의 하나 이상의 제 1 세트 및, 제 2 레이저 빔 파라미터의 하나 이상의 제 2 세트로 실행된다. 또 다른 양태에서, 상기에 정의된 타깃 범함수는, 제 1 기록 밀도 진폭
Figure 112011053373377-pat00041
및, 제 2 기록 밀도 진폭
Figure 112011053373377-pat00042
간의 분할을 최적화하는데 이용되며, 각 셀 α에 대한 부가적인 조건은
Figure 112011053373377-pat00043
이고, 활성 영역 내의 셀 내에서
Figure 112011053373377-pat00044
이며, 포토리소그래피 마스크의 활성 영역의 외부의 셀에서는
Figure 112011053373377-pat00045
이며, 여기서, Tmod는 활성 영역 내의 광 투과 분포의 미리 정해진 변화이다.
다른 양태에서, 본 발명의 방법은, 포토리소그래피 마스크의 활성 영역에서의 광 투과 분포 에러를 정정함으로써 포토리소그래피 마스크 내에 새로이 유도된 에러를 정정하기 위해 포토리소그래피 마스크 상에 레이저 빔을 지향시키는 단계를 포함한다.
레이저 빔을 마스크 기판 상으로 지향시키거나, 포토리소그래피 마스크의 기판 내에 픽셀을 기록함으로써 광 투과 분포 에러 또는 CDU 에러의 정정은 CDU 정정 프로세스 전에 관찰되지 않는 레지스트레이션 에러를 유도할 수 있음이 이미 알려져 있다. 타깃 범함수를 최소화할 시에 타깃 범함수의 적절한 제약을 고려함으로써, 본 발명의 방법은 이들 레지스트레이션 에러를 정정하도록 한다.
다른 양태에서, 새롭게 유도된 에러는 레지스트레이션 에러이고, 레지스트레이션 에러는, 레이저 빔 파라미터의 제 1 세트를 가진 레이저 빔을 포토리소그래피 마스크의 활성 영역 상으로 지향시키고, 레이저 빔 파라미터의 제 2 세트를 가진 레이저 빔을 포토리소그래피 마스크의 비활성 영역 상으로 지향시킴으로써 정정된다. 또 다른 양태에서, 레지스트레이션 에러는 레이저 빔을 포토리소그래피 마스크의 비활성 영역 상으로 지향시킴으로써 정정된다. 본 발명의 방법의 또 다른 양태에서, 레이저 빔을 포토리소그래피 마스크의 비활성 영역 상으로 지향시키는 단계는 비활성 영역에 걸친 제 2 레이저 빔 파라미터의 한 단일 세트를 이용하는 단계를 포함한다. 다른 양태에서, 레이저 빔을 포토리소그래피 마스크의 비활성 영역 상으로 지향시키는 단계는 2 이상의 프레임이 포토리소그래피 마스크의 활성 영역을 둘러싸는 단계를 포함하는데, 각 프레임은 제 2 레이저 빔 파라미터의 한 세트를 가지며, 유도된 밀도 수정은 레이저 빔을 프레임 상으로 지향시키는 것이 포토리소그래피 마스크의 경계(boundary)를 향해 감소할 시에 감소한다. 또 다른 양태에서, 활성 영역 내의 광 투과 에러를 정정하기 위한 기록 밀도 진폭
Figure 112011053373377-pat00046
및, 레지스트레이션 에러를 정정하기 위한 비활성 영역 내의 기록 밀도 진폭
Figure 112011053373377-pat00047
을 가진 타깃 범함수는 식
Figure 112011053373377-pat00048
이며, 여기서, 제 1 항목은 포토리소그래피 마스크의 활성 영역 내의 광 투과 분포 정정에 의해 유도되는 변위를 고려하고, 제 2 항목은 레이저 빔을 비활성 영역 상으로 지향시킬 시에 레이저 빔에 의해 유도되는 변위를 규정하며, 기록 밀도 진폭
Figure 112011053373377-pat00049
은 포토리소그래피 마스크의 비활성 영역에만 규정된다.
본 발명의 방법의 또 다른 양태에서, 포토리소그래피 마스크 상의 레이저 빔의 지향은 포토리소그래피 마스크에 걸친 광 투과 분포 에러의 정정이 포토리소그래피 마스크 내로 레지스트레이션 에러를 유도하지 않도록 레이저 빔 파라미터의 2 이상의 세트를 포함한다.
마스크 기판 내에 서로 다른 효과를 유도하는 2 이상의 서로 다른 세트의 레이저 빔 파라미터 또는 기록 모드를 가진 포토리소그래피 마스크의 기판 상으로 레이저 빔을 국부적으로 지향시킴으로써, 광 투과 분포 에러 또는 CDU 에러는 어떤 새로운 레지스트레이션 에러를 유도하지 않고 정정될 수 있다.
다른 양태에 따르면, 제 2 레이저 빔 파라미터의 제 1 세트는 제 1 레이저 빔을 포함하고, 제 2 레이저 빔 파라미터의 제 2 세트는 제 2 레이저 빔을 포함하는데, 제 2 레이저 빔은 빔 방향 주변에서 제 1 레이저 빔에 대해 회전된다. 다른 양태에서, 포토리소그래피 마스크는 레이저 빔과 평행한 축 주변에서 회전된다. 또 다른 양태에서, 레이저 빔 및/또는 포토리소그래피 마스크는 90°만큼 회전된다. 다른 양태에 따르면, 포토리소그래피 마스크에 걸친 제 1 및 2 레이저 빔의 지향의 시간 및/또는 공간 분포는, 포토리소그래피 마스크에 걸친 광 투과의 변화를 제거하고, 포토리소그래피 마스크로의 새로운 에러의 유도를 최소화한다. 제 1 회전되지 않은 레이저 빔에 대한 기록 밀도 진폭
Figure 112011053373377-pat00050
및 제 2 회전된 레이저 빔에 대한 기록 밀도 진폭
Figure 112011053373377-pat00051
간의 분할을 최적화하기 위한 본 발명의 방법의 다른 양태에서, 타깃 범함수는 식
Figure 112011053373377-pat00052
이며, 포토리소그래피 마스크의 활성 영역 내의 셀 j에 대한 부가적인 조건은
Figure 112011053373377-pat00053
이다.
다른 양태에 따르면, 제 2 레이저 빔 파라미터의 제 1 세트는, 제 2 레이저 빔 파라미터의 제 2 세트 보다 수배 높은 에너지를 가진 레이저 펄스를 포함하며, 및/또는 제 2 레이저 빔 파라미터의 제 1 세트는, 제 2 레이저 빔 파라미터의 제 2 세트 보다 수배 낮은 포토리소그래피 마스크 상의 펄스 밀도를 갖는다.
마스크 기판의 서로 다른 부분에서 서로 다른 펄스 에너지를 가진 레이저 빔의 응용은 포토리소그래피 마스크의 활성 영역 내의 새로운 에러, 특히 레지스트레이션 에러의 생성없이 광 투과 에러를 정정한다.
다른 양태에서, 제 2 레이저 빔 파라미터의 제 1 세트 및 제 2 세트의 에너지 간의 비율은 1.5 - 10, 바람직하게는 1.8 - 7 및 가장 바람직하게는 2 - 4의 범위를 포함한다. 또 다른 양태에서, 레이저 빔 파라미터의 제 1 세트 또는 표준 에너지 모드 (std) 및 제 2 세트 또는 저 에너지 모드 (le) 간의 최적의 분할은 식
Figure 112011053373377-pat00054
을 가진 타깃 범함수로부터 결정되고, 포토리소그래피 마스크의 활성 영역 내에서 제 2 레이저 빔 파라미터의 제 1 세트의 표준 에너지 밀도 기록 진폭
Figure 112011053373377-pat00055
및 셀 j에 대한 제 2 레이저 빔 파라미터의 저 에너지 밀도 기록 진폭
Figure 112011053373377-pat00056
에 대한 부가적인 조건은
Figure 112011053373377-pat00057
이며, 제 2 레이저 빔 파라미터의 제 1 세트의 저 에너지 밀도 기록 진폭은 고 펄스 밀도에 의해 보상된다.
다른 양태에서, 펄스 에너지는 0.05 μJ 내지 5 μJ이고, 펄스 길이는 0.05 ps 내지 100 ps이며, 반복율은 1kHz 내지 10 MHz이며, 펄스 밀도는 ㎟ 당 1 000 펄스 내지 ㎟ 당 10 000 000 펄스이며, 대물 렌즈의 NA는 0.1 내지 0.9이며, 대물 렌즈의 배율은 5× 내지 40×이다. 다른 양태에 따르면, 제 2 레이저 빔 파라미터의 제 1 세트는, 0.45 μJ - 0.55 μJ의 펄스 에너지, 5 ps - 10 ps의 펄스 지속 기간, 10 kHz - 100 kHz의 반복율, 0.3 - 0.4의 대물 렌즈의 NA, 10× - 20×의 대물 렌즈의 배율 및 ㎟ 당 1 000 - 100 000 펄스의 펄스 밀도를 포함하며, 제 2 레이저 빔 파라미터의 제 1 세트는 0.27 μJ - 0.37 μJ의 펄스 에너지 및 ㎟ 당 5 000 - 500 000 펄스의 펄스 밀도를 갖는다.
또 다른 양태에서, 포토리소그래피 마스크 상의 레이저 빔의 지향은, 제 2 레이저 빔 파라미터의 3 이상의 세트, 레이저 빔을 서로 다른 펄스 에너지를 가진 활성 영역 상으로 지향시키기 위한 제 1 세트 및 제 2 세트 및, 레이저 빔을 포토리소그래피 마스크의 비활성 영역 상으로 지향시키기 위한 제 3 세트를 포함한다.
3개의 서로 다른 세트의 레이저 빔 파라미터 또는 기록 모드를 가진 포토리소그래피 마스크의 기판 상으로 레이저 빔을 국부적으로 지향시킴으로써, 서로 다른 타입의 에러의 정정 또는 기본 또는 경계 조건의 고려를 위한 유연성은 더 증대된다. 따라서, 본 발명의 방법의 이런 양태는 레지스트레이션 에러 및 광 투과 에러의 동시 정정을 용이하게 하는데, 그 이유는, 제 2 레이저 빔 파라미터의 서로 다른 세트를 가진 레이저 빔을 포토리소그래피 마스크 상으로 지향시킴으로써, 포토리소그래피 마스크의 기판을 국부적으로 수정시키기 위한 유연성이 증대되기 때문이다.
다른 양태에 따르면, 레이저 빔 파라미터의 제 1 세트 또는 표준 에너지 모드 (std) 및 제 2 세트 또는 저 에너지 모드 (le) 및 제 3 세트 (apo) 간의 최적의 분할은 타깃 범함수
Figure 112011053373377-pat00058
로부터 결정된다.
다른 양태에서, 타깃 범함수는 하나 이상의 제 1 포토리소그래피 마스크 및 하나 이상의 제 2 포토리소그래피 마스크 간의 오버레이 에러를 최소화하기 위해 이용된다.
반도체 장치의 제조의 경우에, 보통 일련의 포토리소그래피 마스크는 웨이퍼 상에 복잡한 구조를 생성하기 위해 제조 프로세스 동안에 동일한 웨이퍼 상에 연속적으로 사용된다. 많은 응용에서, 광 축에 대한 마스크 패턴의 절대 위치는, 오버레이 임계 구조가 웨이퍼 상으로 프린트될 수 있도록 서로에 대해 2 이상의 마스크의 방향만큼 중요하지는 않다. 그래서, 타깃은 제 1 포토리소그래피 마스크를 미리 정해진 디자인과의 최상의 정합이 되지 않지만, 제 2 마스크와는 최상의 정합이 된다. 본 발명의 방법은 또한 2 이상의 포토리소그래피 마스크의 그런 오버레이 에러를 정정하는데 이용될 수 있다. 특히, 양방의 마스크의 제 1 및 2 파라미터가 동시에 변화될 수 있으면, 2 마스크의 상대 방향은 저 잔여 레지스트레이션 에러로 최적화될 수 있다.
다른 양태에서, 타깃 범함수는 적어도 제 1 및 적어도 제 2 포토리소그래피 마스크 간의 다수의 레지스트레이션 차를 포함하고, 오버레이 에러는 타깃 범함수를 최소화함으로써 최대화된다. 또 다른 양태에서, 제 1 포토리소그래피 마스크의 레지스트레이션은 고정되고, 제 2 포토리소그래피 마스크의 레지스트레이션은 타깃 범함수에 의해 변화된다. 다른 양태에 따르면, 제 1 및 제 2 포토리소그래피 마스크의 레지스트레이션은 타깃 범함수에 의해 변화된다. 더욱이, 다른 양태에서, 페이지 10에 주어진 타깃 범함수의 파라미터
Figure 112011053373377-pat00059
는 제 1 포토리소그래피 마스크의 레지스트레이션을 나타내고, 상술한 타깃 범함수의 파라미터
Figure 112011053373377-pat00060
는 제 2 포토리소그래피 마스크의 레지스트레이션을 나타내며, 상술한 타깃 범함수는 조건
Figure 112011053373377-pat00061
으로 이용되는데, 여기서, 기록 밀도 진폭
Figure 112011053373377-pat00062
의 양의 부분은 제 1 포토리소그래피 마스크 상으로 정정되고, 음의 부분은 제 2 포토리소그래피 마스크 상으로 정정된다.
최종으로, 다른 양태에서, 포토리소그래피 마스크의 다수의 에러를 정정하는 장치는, 포토리소그래피 마스크의 이미지 변환의 제 1 파라미터 및, 포토리소그래피 마스크 상으로 국부적으로 지향되는 레이저 빔의 제 2 파라미터를 동시에 최적화하기 위해 동작 가능한 하나 이상의 계산 수단, 최적화된 제 2 레이저 빔 파라미터에 따라 광 펄스의 레이저 빔을 생성시키는 하나 이상의 레이저 소스, 및 최적화된 제 1 선형 이미지 파라미터에 따라 이미지 변환을 실행하는 하나 이상의 스캐닝 수단을 포함한다.
본 발명의 추가적 양태들은 추가적 종속항에 기재되어 있다.
본 발명을 더욱더 이해하고, 실제적인 응용을 알기 위해, 이하, 다음의 도면이 제공되고 참조된다. 이들 도면은 예시적으로만 주어지고, 본 발명의 범주를 결코 제한하지 않음에 주목되어야 한다.
도 1은 투과성 포토리소그래피 마스크의 개략도를 단면도로 도시한 것이다.
도 2a는 반사성 포토리소그래피 마스크의 개략도를 단면도로 도시한 것이다.
도 2b는 나노임프린트 리소그래피에 이용되는 템플릿의 단면도를 개략적으로 도시한 것이다.
도 3은 도 1 및 2의 포토리소그래피 마스크의 기판의 수정을 위한 장치의 블록도를 개략적으로 나타낸 것이다.
도 4는 포토리소그래피 마스크의 초기 레지스트레이션 문제를 그래프로 나타낸 것이다.
도 5는 선형 이미지 변환을 실행한 후에 도 3의 레지스트레이션 문제를 개략적으로 도시한 것이다.
도 6은 도 5에 나타낸 레지스트레이션 문제에 대해 계산된 정정 맵을 도시한 것이다.
도 7은 각각의 타깃 범함수를 최적화한 후에 도 4의 마스크의 잔여 레지스트레이션 에러의 예측(forecast)을 도시한 것이다.
도 8은 각각의 타깃 범함수의 최소화로부터 획득되는 레이저 빔 파라미터를 이용하여 에러 정정을 실행한 후에 도 4의 포토리소그래피 마스크의 측정된 잔여 레지스트레이션 에러를 나타낸 것이다.
도 9는 도 4의 레지스트레이션 에러를 정정하여, 선형 이미지 변환을 실행한 후에 측정된 에러 정정 및 시뮬레이트된 에러 정정의 비교를 도시한 것이다.
도 10은 포토리소그래피 마스크의 활성 영역 내의 레이저 빔의 지향없이 레지스트레이션 에러를 정정하는 방법의 흐름도를 나타낸 것이다.
도 11a는 포토리소그래피 마스크의 활성 영역 내의 픽셀의 기록없이 정정될 레지스트레이션 문제를 개략적으로 도시한 것이다.
도 11b는 선형 이미지 변환을 실행한 후에 도 11a의 레지스트레이션 문제를 개략적으로 도시한 것이다.
도 12는 최적화 루프를 통해 한번 통과한 후에 도 10의 최적화 루프로 획득된 정정 기록 맵을 나타낸 것이다.
도 13은 도 12의 정정 기록 맵을 이용하고, 선형 이미지 변환을 실행한 후에 도 11의 시뮬레이트된 잔여 레지스트레이션 문제를 도시한 것이다.
도 14는 도 10의 최적화 흐름도를 통해 수번 통과한 후에 정정 기록 맵을 나타낸 것이다.
도 15는 도 14의 정정 기록 맵을 이용하고, 선형 이미지 변환을 실행한 후에 도 11의 시뮬레이트된 잔여 레지스트레이션 문제를 도시한 것이다.
도 16은 도 14의 정정 기록 맵을 도 11의 포토리소그래피 마스크의 기판에 적용하고, 선형 이미지 변환을 실행한 후에 도 11의 측정된 잔여 레지스트레이션 문제를 나타낸 것이다.
도 17은 도 3의 레이저 빔의 0° 기록 모드 시그너처(signature) (좌측) 및 90° 기록 모드 시그너처(우측)를 개략적으로 도시한 것이다.
도 18a는 도 3의 레이저 빔의 2개의 서로 다른 기록 모드를 이용하여 정정되는 레지스트레이션 문제를 개략적으로 도시한 것이다.
도 18b는 선형 이미지 변환을 실행한 후에 도 18a의 레지스트레이션 문제를 개략적으로 도시한 것이다.
도 19a는 0° 기록 모드 시그너처에 대한 정정 기록 맵을 나타낸 것이다.
도 19b는 90° 기록 모드 시그너처에 대한 정정 기록 맵을 나타낸 것이다.
도 20은 도 19a 및 19b의 조합된 정정 기록 맵을 도시한 것이다.
도 21a는 도 19a의 정정 기록 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 21b는 도 19b의 정정 기록 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 21c는 도 19a 및 19b의 정정 기록 맵에 의해 유도되는 레지스트레이션 변화의 합을 개략적으로 도시한 것이다.
도 22a는 도 20의 기록 정정 맵을 이용하여 도 18a의 레지스트레이션 정정의 예측된 결과를 개략적으로 나타낸 것이다.
도 22b는 선형 이미지 변환을 실행한 후에 도 22a의 레지스트레이션 정정을 도시한 것이다.
도 23은 도 20의 기록 정정 맵을 이용하여 측정된 레지스트레이션 정정을 개략적으로 예시한 것이다.
도 24는 도 3의 레이저 빔의 정규 기록 모드 (좌측) 및 저 레지스트레이션 (LowReg) 기록 모드 (우측)의 시그너처를 개략적으로 도시한 것이다.
도 25a는 제 1 기록 모드에 대한 기록 정정 맵을 나타낸 것이다.
도 25b는 제 2 기록 모드에 대한 기록 정정 맵을 나타낸 것이다.
도 26은 CD 정정을 실행한 후에 도 4의 잔여 레지스트레이션 문제를 개략적으로 도시한 것이다.
도 27a는 측정된 CD 균일도 맵을 도시한 것이다.
도 27b는 도 27a의 CD 균일도 문제를 정정하는데 필요한 정정 기록 맵을 도시한 것이다.
도 28a는 도 27a의 레지스트레이션 및 CD 균일도 문제에 대한 제 1 기록 모드에 대한 최적화된 정정 기록 맵을 나타낸 것이다.
도 28b는 도 27a의 레지스트레이션 및 CD 균일도 문제에 대한 제 2 기록 모드에 대한 최적화된 정정 기록 맵을 도시한 것이다.
도 28c는 도 27a의 레지스트레이션 및 CD 균일도 문제에 대한 조합된 제 1 (도 28a) 및 제 2 기록 모드 (도 28b)에 대한 최적화된 정정 기록 맵을 나타낸 것이다.
도 29는 도 28c의 정정 기록 맵에 따른 픽셀의 기록을 실행하고, 선형 이미지 변환을 실행한 후에 잔여 레지스트레이션 문제를 개략적으로 도시한 것이다.
도 30은 2%의 일정한 기록 밀도에 대한 표준 CDC 테스트 기록 맵을 나타낸 것이다.
도 31a는 도 30의 기록 맵에 의해 유도되는 측정된 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 31b는 선형 이미지 변환을 실행한 후에 도 30의 기록 맵에 의해 유도되는 측정된 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 32a는 도 30의 기록 맵에 의해 유도되는 시뮬레이트된 레지스트레이션 변화를 개략적으로 나타낸 것이다.
도 32b는 도 30의 CDC (임계 선폭 정정) 테스트 기록을 위한 시뮬레이트된 레지스트레이션 변화 및 측정된 레지스트레이션 변화 간의 차를 개략적으로 도시한 것이다.
도 33a는 도 30의 기록 맵에 의해 유도되는 시뮬레이트된 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 33b는 선형 이미지 변환을 실행한 후에 도 33a의 레지스트레이션 변화를 개략적으로 나타낸 것이다.
도 34a는 도 30의 CDC 테스트 기록에 의해 유도되는 레지스트레이션 에러를 정정할 계산된 무족화(apodization) 기록 맵을 도시한 것이다.
도 34b는 도 33a의 무족화 기록 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 35a는 도 30 및 도 34a의 CDC의 기록 및 APO (무족화) 정정 후의 레지스트레이션 변화를 도시한 것이다.
도 35b는 선형 이미지 변환을 실행한 후에 도 35a의 레지스트레이션 변화를 나타낸 것이다.
도 36a는 페이딩(fading) 무족화 기록 맵을 나타낸 것이다.
도 36b는 도 36a의 페이딩 무족화 기록 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 37a는 도 30의 테스트 CD 정정 및 도 36a의 페이딩 무족화를 조합한 기록 맵을 나타낸 것이다.
도 37b는 테스트 CD 정정 및 도 37a의 페이딩 무족화 기록 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 37c는 선형 이미지 변환을 실행한 후에 도 37b의 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 38a는 CD 정정을 위한 기록 맵을 나타낸 것이다.
도 38b는 테스트 CD 정정 및 도 38a의 페이딩 무족화 기록 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 38c는 선형 이미지 변환을 실행한 후에 도 38b의 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 39a는 무족화 기록 맵을 나타낸 것이다.
도 39b는 도 39a의 무족화 기록 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 나타낸 것이다.
도 39c는 선형 이미지 변환을 실행한 후에 도 38b의 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 40a는 CD 정정을 위한 다른 기록 맵을 나타낸 것이다.
도 40b는 도 40a의 CD 정정 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 40c는 선형 이미지 변환을 실행한 후에 도 40b의 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 41a는 도 40a의 CDC 태스크에 대해 계산된 무족화 기록 맵을 나타낸 것이다.
도 41b는 포토리소그래피 마스크의 활성 영역에서 도 41a의 무족화 기록 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 나타낸 것이다.
도 42a는 도 40a의 CD 정정 및 도 41a의 계산된 무족화를 조합한 기록 맵을 나타낸 것이다.
도 42b는 도 42a의 CDC 및 APO 기록 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 42c는 선형 이미지 변환을 실행한 후에 도 42b의 잔여 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 43a는 도 40a의 포토리소그래피 마스크에서 측정된 도 42a의 정정 기록 맵을 나타낸 것이다.
도 43b는 도 43a의 정정 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 43c는 선형 이미지 변환을 실행한 후에 도 42b의 잔여 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 44a는 픽셀의 제 1 절반이 0° 모드 시그너처를 이용하여 기록되는 도 30의 테스트 CD 정정 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 44b는 픽셀의 제 2 절반이 90° 모드 시그너처를 이용하여 기록되는 도 30의 테스트 CD 정정 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 45a는 픽셀의 제 1 절반이 0° 모드 시그너처를 이용하여 기록되고, 픽셀의 제 2 절반이 90° 모드 시그너처를 이용하여 기록되는 도 30의 테스트 CD 정정 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 45b는 선형 이미지 변환을 실행한 후에 도 45a의 테스트 CD 정정 맵의 잔여 레지스트레이션 변화를 개략적으로 나타낸 것이다.
도 46a는 0° 모드 시그너처를 가진 기록 모드에 대한 도 30의 테스트 CDC 기록 맵에 대한 CD 정정 기록 맵을 도시한 것이다.
도 46b는 90° 모드 시그너처를 가진 기록 모드에 대한 도 30의 테스트 CDC 기록 맵에 대한 CD 정정 기록 맵을 나타낸 것이다.
도 47a는 도 46a의 CD 정정 기록 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 47b는 도 46b의 CD 정정 기록 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 48a는 도 46a 및 도 46b의 조합된 CD 정정 기록 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 48b는 선형 이미지 변환을 실행한 후에 도 48a의 잔여 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 49a는 0° 모드 시그너처를 가진 기록 모드에 대한 도 38a의 CDC 문제에 대한 CD 정정 기록 맵을 나타낸 것이다.
도 49b는 90° 모드 시그너처를 가진 기록 모드에 대한 도 38a의 CDC 문제에 대한 CD 정정 기록 맵을 나타낸 것이다.
도 50a는 도 49a 및 도 49b의 조합된 CD 정정 기록 맵에 의해 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 50b는 선형 이미지 변환을 실행한 후에 도 50a의 잔여 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 51a는 LowReg (저 레지스트레이션) 기록 모드를 이용함으로써 유도되는 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 51b는 선형 이미지 변환을 실행한 후에 도 51a의 잔여 레지스트레이션 변화를 개략적으로 도시한 것이다.
도 52a는 표준 또는 정규 기록 모드에 대한 도 38a의 CDC 문제에 대한 CD 정정 기록 맵을 나타낸 것이다.
도 52b는 LowReg 기록 모드에 대한 도 38a의 CDC 문제에 대한 CD 정정 기록 맵을 나타낸 것이다.
도 53a는 표준 또는 정규 기록 모드에 대한 도 38a의 CDC 문제에 대한 CD 정정 기록 맵을 나타낸 것이다.
도 53b는 LowReg 기록 모드에 대한 도 38a의 CDC 문제에 대한 CD 정정 기록 맵을 나타낸 것이다.
도 54a는 마스크 B의 초기 레지스트레이션 문제를 개략적으로 나타낸 것이다.
도 54b는 선형 이미지 변환을 실행한 후에 도 54a의 마스크 B의 잔여 레지스트레이션 문제를 개략적으로 도시한 것이다.
도 55a는 마스크 C의 초기 레지스트레이션 문제를 개략적으로 나타낸 것이다.
도 55b는 선형 이미지 변환을 실행한 후에 도 55a의 마스크 C의 잔여 레지스트레이션 문제를 개략적으로 도시한 것이다.
도 56은 도 54a 및 도 55a의 마스크 B 및 C의 초기 오버레이 문제를 개략적으로 도시한 것이다.
도 57a는 마스크 B에 대한 계산된 정정 기록 맵을 도시한 것이다.
도 57b는 마스크 C에 대한 계산된 정정 기록 맵을 도시한 것이다.
도 58은 선형 이미지 변환을 실행한 후에 마스크 B 및 마스크 C의 생성된 오버레이 에러를 개략적으로 도시한 것이다.
도 59는 마스크 B 및 마스크 C 간의 오버레이를 개선하기 위한 계산된 정정 기록 맵을 나타낸 것이다.
도 60은 마스크 B가 마스크 C에 대해 최적화된 후에 잔여 오버레이 에러를 개략적으로 도시한 것이다.
도 61a는 마스크 B에 대한 계산된 오버레이 정정 기록 맵을 도시한 것이다.
도 61b는 마스크 C에 대한 계산된 오버레이 정정 기록 맵을 도시한 것이다.
도 62는 선형 이미지 변환을 실행한 후에 마스크 B 및 마스크 C의 시뮬레이트된 잔여 오버레이 에러를 개략적으로 나타낸 것이다.
본 명세서의 부분은 다음과 같이 구성된다. 그것은, 포토리소그래피 마스크에 대한 일부 기술적 항목 및 일부 문제의 소개로 시작하여, 이들 문제를 정정하는데 이용되는 장치 ("포토리소그래피 마스크 및 레이저 시스템")를 기술한다. "레지스트레이션 문제"인 제 2 부분에서, 본 발명의 방법은 이때 포토리소그래피 마스크의 레지스트레이션 에러를 주로 정정하기 위해 적용된다. "CDC 문제"인 제 3 부분은 주로 임계 선폭 균일도 (CDU) 문제를 정정하기 위해 본 발명의 원리의 응용을 논의한다. 또한, "오버레이 문제"인 제 4 부분에서, 본 발명의 방법은 많은 마스크에서 서로 다른 포토리소그래피 마스크 간의 오버레이 문제를 최소화하기 위해 이용된다. 최종으로, 이 섹션에서 여러 예의 논의를 위해 필요한 본 발명의 방법의 이론적 및/또는 수학적 배경은 "이론적 배경"인 자신의 섹션에 제공된다.
5-1 포토리소그래피 마스크 및 레이저 시스템
다음에서, 본 발명은 본 발명의 예시적인 실시예가 도시되는 첨부한 도면을 참조로 아래에 더욱 상세히 기술될 것이다. 그러나, 본 발명은 여러 형식으로 실시될 수 있고, 여기에 설명되는 실시예로 제한되는 것으로 구성되지 않아야 한다. 오히려, 이들 실시예는 본 명세서가 철저하고, 당업자에 본 발명의 범주를 전달하도록 제공된다.
도 1은 투과성 포토리소그래피 마스크(100)의 개략적인 단면도를 도시한 것이다. 마스크(100)는 제 1 또는 전면 표면(130) 및 제 2 후면 표면(140)을 가진 기판(110)을 포함한다. 기판(110)은 웨이퍼 상의 포토레지스트의 조명을 위해 이용되는 파장에 투명해야 한다. 노광 파장은 전자기 스펙트럼의 심자외선(DUV, deep ultraviolet) 스펙트럼 범위, 특히, 약 193 nm 일 수 있다. 기판 재료는 전형적으로 석영을 포함한다. 기판은 전형적으로 152 mm × 152 mm의 측면 치수(lateral dimension) 및 본질적으로 6.35 mm의 두께 또는 높이를 갖는다. 포토리소그래피 마스크(100)의 기판(110)은, 그의 전면 표면(130) 상에, 반도체 장치를 제조하는 미리 정해진 구조 요소를 포토레지스트 상에 형성하는 크롬으로부터 보통 제조되는 패턴 요소(120)를 갖는다. 패턴 요소(120)를 운반하는 포토리소그래피 마스크(100)의 기판(110)의 부분은 마스크의 활성 영역(150)이라 부르는 반면에, 패턴 요소(120)를 갖지 않는 경계 부분은 비활성 영역(160)이라 부른다. 노광 파장에서의 레이저 빔은 기판(110)의 제 2 또는 후면 영역(140)을 통해 마스크(100)의 기판(110)을 조명한다.
도 2a는, 전자기 스펙트럼의 극자외선 (EUV) 파장 범위, 특히 약 13.5 nm의 노광 파장에 장래 사용을 위한 반사성 포토리소그래피 마스크(200)의 개략적인 단면도를 도시한 것이다. 도 1의 포토리소그래피 마스크(100)과는 달리, 마스크(200)는 다층 미러 구조에 기반으로 하는 반사성 광 요소이다. 포토리소그래피 마스크(200)의 다층 미러 시스템은 용융 실리카 기판과 같은 적절한 기판(210)의 전면 기판 표면(230) 상에 증착된다. 다른 투명한 유전체, 유리 재료 또는 반도체 재료는 또한 예컨대 ZERODUR®, ULE® 또는 CLEARCERAM®으로서 EUV 포토리소그래피 마스크에 대한 기판으로서 사용될 수 있다.
다층 미러 시스템은 40 쌍의 교대 몰리브덴 (Mo) 층(250) 및 실리콘 (Si) 층(260)을 포함한다. 각 Mo 층(250)의 두께는 4.15 nm이고, Si 층(260)의 두께는 2.80 nm에 이른다. 다층 구조를 보호하기 위해, 7 nm 깊이의 자연 산화막(native oxide)을 가진 실리콘의 캡핑 층(270)은 이 구조의 최상부에 배치된다. 다층 미러 시스템에서, Mo 층(250)은 산란층으로서 작용하는 반면에, 실리콘 층(260)은 분리 층으로서 기능을 한다. 포토리소그래피 마스크(200)은, 다층 시스템의 최상부에, 패턴 요소로서 실리콘의 캡핑 층(270), 버퍼 구조(280) 및 흡수 구조(290)를 가지고 있다.
도 2b는 웨이퍼 상에 패턴 요소를 전달하기 위해 나노임프린트 리소그래피에 이용되는 템플릿(295)을 개략적으로 도시한 것이다. 템플릿(295)은 UV 및 DUV 스펙트럼 범위 내에서 투명한 재료를 포함하고, 종종 용융 실리카는 템플릿 재료로서 이용된다. 전면 템플릿측(296) 상의 패턴 요소는 도 1의 포토리소그래피 마스크(100)의 패턴 요소(120)의 제조와 매우 유사한 프로세스로 제조된다. 따라서, 본 발명의 원리는 또한 나노임프린트 리소그래피에 이용되는 템플릿의 여러 종류의 에러를 정정하기 위해 적용될 수 있다. 템플릿(295)은 템플릿 후면측(297)을 통해 전자기 방사(298)에 의해 조명된다.
도 3은 도 1 및 도 2a의 포토리소그래피 마스크(100) 및 (200)의 에러 뿐만 아니라 도 2b의 템플릿(295)의 에러를 정정하는데 이용될 수 있는 장치(300)의 개략적인 블록도를 도시한 것이다. 장치(300)는 3차원(three dimensions)으로 이동할 수 있는 척(320)을 포함한다. 포토리소그래피 마스크(310)는 예컨대 클램핑으로서 여러 기술을 이용함으로써 척(320)에 고정될 수 있다. 포토리소그래피 마스크(310)는 뒤집어서 설치되는 포토리소그래피 마스크(100) 및 (200)일 수 있음으로써, 이의 후면 기판 표면 (140 또는 240)이 대물 렌즈(340)로 지향되거나 도 2b의 템플릿(295)일 수 있도록 한다.
장치(300)는 펄스 또는 광 펄스의 빔 또는 광 빔(335)을 생성시키는 펄스 레이저 소스(330)를 포함한다. 레이저 소스(330)는 가변 지속 기간의 광 펄스를 생성시킨다. 펄스 지속 기간은 10 fs만큼 낮을 수 있지만, 또한 연속적으로 100 ps까지 증가될 수 있다. 펄스 레이저 소스(330)에 의해 생성되는 광 펄스의 펄스 에너지는 또한 펄스당 0.01 μJ에서 펄스당 10 mJ까지 도달하는 광대한 범위에 걸쳐 조정될 수 있다. 또한, 광 펄스의 반복율은 1 Hz 내지 100 MHz의 범위를 포함한다. 바람직한 실시예에서, 광 펄스는 800 nm의 파장에서 동작하는 Ti:Sapphire 레이저에 의해 생성될 수 있다. 그러나, 다음에 기술되는 방법은 이런 레이저 타입으로 제한되지 않으며, 원칙적으로, 광자 에너지를 가진 모든 레이저 타입이 이용될 수 있으며, 이 광자 에너지는, 포토리소그래피 마스크(310)의 기판에 대한 대역 갭보다 작고, 펨토초 범위 내의 지속 기간을 가진 펄스를 생성시킬 수 있다. 그래서, 예컨대 Nd-YAG 레이저 또는 색소 레이저(dye laser) 시스템이 또한 사용될 수 있다.
장치(300)는 또한 (도 3에 도시되지 않은) 하나 이상의 펄스 레이저 소스(330)를 포함할 수 있다.
다음의 표은 본 발명의 방법의 실시예에 이용되는 주파수 배가된(frequency-doubled) Nd-YAG 레이저 시스템의 레이저 빔 파라미터의 개요를 나타낸 것이다.
Nd-YAG 레이저 시스템에 대해 선택된 레이저 빔 파라미터의 수치값
개요
파라미터 수치값 단위
펄스 에너지 0.05 - 5 μJ
펄스 길이 0.05 - 100 ps
반복율 1 - 10 000 kHz
펄스 밀도 1 000 - 10 000 000 mm-2
NA 0.1 - 0.9
파장 532 nm
다음의 표은 포토리소그래피 마스크(100)의 기판(110)의 밀도 및/또는 광 투과 분포에 서로 다르게 영향을 미치는 파라미터를 나타낸 것이다. 표 2는 표준 프로세스 윈도우 (std PW)라 부르는 픽셀을 유도 또는 기록하는 모드에 대한 주파수 배가된 Nd-YAG 레이저 시스템을 이용한 실시예의 파라미터를 나타낸 것이다.
표준 프로세스 윈도우에 대한 Nd-YAG 레이저 시스템에 대해 선택된 레이저 빔 파라미터의 수치값
Std PW (표준 프로세스 윈도우)
파라미터 수치값 단위
펄스 에너지 0.45 μJ
펄스 길이 8 ps
반복율 50 000 kHz
펄스 밀도 1 000 - 10 000 000 mm-2
NA 0.3
파장 532 nm
표 3은 주파수 배가된 Nd-YAG 레이저 시스템을 이용한 실시예의 저 레지스트레이션 프로세스 윈도우 (LowReg PW)라 하는 모드의 파라미터를 요약한 것이다. 레이저 시스템(330)의 이런 동작 모드는 std PW보다 저 에너지를 가진 광 펄스를 이용하지만, 고 픽셀 밀도를 유도한다.
저 레지스트레이션 프로세스 윈도우 (LowReg PW)에 대한 Nd-YAG 레이저 시스템에 대해 선택된 레이저 빔 파라미터의 수치값
Low Reg PW (저 레지스트레이션 프로세스 윈도우)
파라미터 수치값 단위
펄스 에너지 0.32 μJ
펄스 길이 8 ps
반복율 50 000 kHz
펄스 밀도 5 000 - 500 000 mm-2
NA 0.3
파장 532 nm
다음의 표은 레이저 시스템(330)의 광 펄스의 에너지를 더 감소시키는 무(no) 레지스트레이션 프로세스 윈도우 (NoReg PW)라 하는 모드에 대한 파라미터를 리스트한 것이다.
무 레지스트레이션 프로세스 윈도우 (NoReg PW)에 대한 Nd-YAG 레이저 시스템에 대해 선택된 레이저 빔 파라미터의 수치값
NoReg PW (무 레지스트레이션 프로세스 윈도우)
파라미터 수치값 단위
펄스 에너지 0.19 μJ
펄스 길이 8 ps
반복율 80 000 kHz
펄스 밀도 1 000 - 100 000 mm-2
NA 0.4
파장 532 nm
표 5는 픽셀리스 프로세스 윈도우 (pixelless PW)라 하는 모드의 파라미터를 나타낸 것이다. 이 경우에, Ti:Sapphire 레이저 시스템은 밀도 및/또는 광 투과 분포의 수정을 위해 이용된다. 이런 레이저 시스템은 약 800 nm의 방출 파장에서 펨토초 레이저 펄스를 생성시킨다. 픽셀리스 PW의 펄스 밀도는 매우 높다.
픽셀리스 프로세스 윈도우 (Pixelless PW)에 대한 Ti:Sapphire 레이저 시스템에 대해 선택된 레이저 빔 파라미터의 수치값
Pixelless PW (픽셀리스 프로세스 윈도우)
파라미터 수치값 단위
펄스 에너지 0.1 - 0.5 μJ
펄스 길이 0.15 ps
반복율 10 000 kHz
펄스 밀도 1 000 000 - 100 000 000 mm-2
NA 0.33
파장 800 nm
스티어링 미러(steering mirror)(390)는 펄스형 레이저 빔(335)을 포커스 대물 렌즈(340)로 지향시킨다. 대물 렌즈(340)는 펄스형 레이저 빔(335)을 후면 기판 표면을 통해 포토리소그래피 마스크(310)의 기판으로 포커싱한다. 적용된 대물 렌즈의 NA (개구수)은 후면 기판 표면에 대해 포토리소그래피 마스크(310)의 기판 내에서 미리 정해진 초점 사이즈 및 초점의 위치에 의존한다. 표 1에 나타낸 바와 같이, 대물 렌즈(340)의 NA은 0.9까지일 수 있으며, 이는 본질적으로 1 μm의 초점 직경 및 본질적으로 1020 W/㎠의 최대 강도를 생성시킨다.
장치(300)는 또한 (x 및 y 방향)의 평면에서 샘플 홀더(320)의 2 축 위치 결정 스테이지의 병진(translations)을 관리하는 제어기(380) 및 컴퓨터(360)를 포함한다. 제어기(380) 및 컴퓨터(360)는 또한, 대물 렌즈(340)가 고정되는 1 축 위치 결정 스테이지(350)를 통해 척(320)의 평면(z 방향)에 수직인 대물 렌즈(340)의 병진을 제어한다. 장치(300)의 다른 실시예에서, 척(320)에는, 포토리소그래피 마스크(310)를 타깃 위치로 이동하기 위해 3 축 위치 결정 시스템이 장착될 수 있으며, 대물 렌즈(340)는 고정될 수 있으며, 또는 척(320)이 고정될 수 있고, 대물 렌즈(340)가 3차원으로 이동할 수 있다. 비경제적이지만, 또한 양방의 대물 렌즈(340) 및 척(320)에 3 축 위치 결정 시스템을 장착할 수 있다. 수동 위치 결정 스테이지는 또한 x, y 및 z 방향으로 펄스형 레이저 빔(335)의 타깃 위치로 포토리소그래피 마스크(310)를 이동하기 위해 이용될 수 있으며, 및/또는 대물 렌즈(340)가 3차원으로 이동하기 위한 수동 위치 결정 스테이지를 가질 수 있음에 주목되어야 한다.
컴퓨터(360)는, 마이크로프로세서, 범용 프로세서, 특수 목적 프로세서, CPU (중앙 처리 유닛), GPU (그래픽 처리 유닛) 등일 수 있다. 그것은 제어기(380) 내에 배치될 수 있거나, PC (개인용 컴퓨터), 워크스테이션, 메인프레임 등과 같은 분리 유닛일 수 있다. 컴퓨터(360)는, 키보드, 터치패드, 마우스, 비디오/그래픽 디스플레이, 프린터 등과 같은 I/O (입력/출력) 유닛을 더 포함할 수 있다. 게다가, 컴퓨터(360)는 또한 휘발성 및/또는 비휘발성 메모리를 포함할 수 있다. 컴퓨터(360)는 하드웨어, 소프트웨어, 펌웨어, 또는 이의 어떤 조합으로 실현될 수 있다. 더욱이, 컴퓨터(360)는 (도 3에 도시되지 않은) 레이저 소스(330)를 제어할 수 있다.
더욱이, 장치(300)는 또한, 색선별 미러(dichroic mirror)를 통해 척(320)에 배치되는 조명 소스로부터 광을 수신하는 CCD (전하 결합 소자) 카메라를 포함하는 뷰잉 시스템(viewing system)을 제공할 수 있다. 뷰잉 시스템은 타깃 위치에 대한 포토리소그래피 마스크(310)의 내비게이션을 용이하게 한다. 더욱이, 뷰잉 시스템은 또한 광 소스(330)의 펄스 레이저 빔(335)에 의해 포토리소그래피 마스크(310)의 후면 기판 표면 상에 수정된 영역의 형성을 관찰하기 위해 이용될 수 있다.
다음에는, 도 1의 투과성 포토리소그래피 마스크(100)를 기반으로 여러 에러 또는 결점이 논의된다. 당업자는, 이런 논의가 도 2의 반사성 포토리소그래피 마스크(200) 및 도 2b의 템플릿에 적합할 수 있는 것으로 이해한다. 웨이퍼 상의 포토레지스트에서 포토리소그래피 마스크(100)로부터 미리 정해진 구조 요소를 변환하는데 이용되는 짧은 노광 파장 및 작은 패턴 요소(120)로 인해, 포토리소그래피 프로세스는 에러가 발생하기 쉽다. 여러 개의 에러의 소스가 존재한다. 포토리소그래피 마스크(100)의 기판(110)은 결점을 가질 수 있으며, 예컨대, 이 기판은 약간 만곡될 수 있거나, DUV 방사에 대해 기판(110)의 투명성이 마스크(100)의 영역 또는 이의 이미지 필드에 걸쳐 약간 변화할 수 있다. 이들 결점은 결과적으로 포토리소그래피 마스크(100)의 이미지 필드에 걸쳐 포토레지스트 상으로 입사하는 광 강도를 변화시킨다. 포토레지스에 국부적으로 적용되는 광자의 수의 변화는 결과적으로 이미지 필드에 걸쳐 현상된 포토레지스트의 패턴 요소(120)의 이미지를 변화시킨다. 상술한 바와 같이, 이와 같은 변화는 임계 선폭 균일도(CDU)라 부른다.
본질적으로, 2개의 수량(quantities)은 CDU를 증가시키는 포토리소그래피 마스크(100)의 활성 영역(150)에 걸친 광 강도의 변화의 효과를 수량화하기 위해 이용된다. 미리 정해진 공칭 치수에 대한 패턴 요소(120)의 최대 편차는 나타낼 수 있다. 이런 최대 편차는, 적절한 통계적 기초를 생성시키기 위해 많은 측정 (보통 수백)으로부터 결정된다. 선택적으로, 패턴 요소의 치수의 생성된 편차 또는 임계 선폭(CD) 변화에는 가우스 분포가 따르는 것으로 추정된다. 그 후, 가우스 분포의 표준 편차 σ, 특히, 이의 3σ 값이 CDU를 수량화하기 위해 이용된다.
포토리소그래피 마스크(100)의 에러는 또한 패턴 요소(120)의 일부가 이들의 미리 정해진 위치에 정확히 있지 않을 시에 생성할 수 있다. 웨이퍼 상의 포트레지스트 내에서 이들 패턴 요소에 의해 생성되는 구조는 미리 정해진 구조에 정확히 대응하지 않을 수 있고, 및/또는 포토레지스트 내의 미리 정해진 위치에 정확히 생성되지 않을 수 있다. 이런 타입의 에러는 레지스트레이션 에러라 부른다. 이전의 단락에서 기술된 수량 (분포의 최대 편차 또는 3σ 값)은 레지스트레이션 에러의 량을 나타내는데 이용될 수 있다. 포토리소그래피 마스크(100)의 활성 영역(150)에 걸친 레지스트레이션 에러의 분포는 보통 화살표의 2차원 (2D) 맵으로 예시되는데, 여기서, 화살표 방향은 패턴 요소가 이의 공칭 위치에 대해 시프트되는 방향을 나타내고, 화살표의 길이는 시프트의 량을 나타낸다.
포토리소그래피 마스크(100)를 포토레지스트 상으로 이미지화하는 광 시스템이 이의 분해능 한계(resolution limit)에 근접하여 동작할 시에, 그것은 또한 왜곡, 완전한 포토리소그래피 마스크(100)에 걸친 강도 변화 및 추가적 이미지 에러를 생성시킬 수 있다. 포토리소그래피 시스템의 광 요소의 결함은 포토리소그래피 마스크(100)의 에러에 추가한다. 더욱이, 웨이퍼 상의 포토레지스트는 또한 완전하지 않을 수 있어, 포토리소그래피 마스크(100) 및, 포토리소그래피 조명 시스템의 구성 요소의 문제를 증대시킬 수 있다.
다음에는, 포토리소그래피 마스크(100) 및, 포토리소그래피 조명 시스템의 광 요소의 이미지 에러를 최소화하는 본 발명의 방법의 응용을 위한 수많은 예들이 기술된다. 그러나, 당업자는 본 발명의 방법이 또한 반사성 포토리소그래피 마스크(200) 및 각각의 포토리소그래피 조명 시스템의 에러를 정정하기 위해 적용될 수 있음을 알 것이다. 더욱이, 당업자는 본 발명의 방법이 또한 도 2b의 템플릿(295)의 에러를 정정하기 위해 이용될 수 있음을 알 것이다.
본 발명 방법의 이론적이고 및/또는 수학적인 배경이 다음의 섹션 "이론적인 배경"에서 제시된다. 다양한 예를 논의하는 동안, 이 섹션에서 정의된 식을 참조한다.
5.2 레지스트레이션 문제
도 4는 화살표의 2D 맵의 형태로, 미리 정해진 위치로부터 패턴 요소(120)의 변위의 세트로서 최초의 마스크 레지스트레이션 문제를 제시한다. 변위 문제는 포토리소그래피 마스크(100)의 활성 영역(150), 즉, 웨이퍼 상에 배열된 포토레지스트에 인쇄되는 패턴 요소(120)를 함유하는 영역에 오직 정의된다. 수학적인 문제의 일반적인 형성은 목표 함수에 임의의 위치를 포함하는 것을 허용한다. 목표 함수는 다음의 섹션 "이론적인 배경"의 식 38에 정의된 일반적인 형태이다. 이 섹션에서 논의되는 것같이, 목표 함수는 최적화 프로세스에서 선형 이미징 변환을 포함하도록 6개의 파라미터를 함유한다.
도 4의 최초 레지스트레이션 문제는 그 목표 위치에 대해서 패턴 요소(120)의 결정된 위치의 편차(3σ 가우스 분포의 수치)에 의해 특징이 주어질 수 있다. 도 4의 포토리소그래피 마스크에 대해서, 그 소정의 위치로부터 편차의 3σ 수치는 15.8 nm이다. 이것은 포토리소그래피 프로세스에서 마스크의 적용을 심각하게 위협할 수 있는 큰 수치이다. 다음의 섹션 "이론적인 배경"에서 이미 논의된 것같이, 스캐너는 포토리소그래피 마스크(100)의 조명 전에 선형 이미징 변환을 행함으로써 레지스트레이션 문제를 부분적으로 해결할 수 있다. 최상의 스캐너 정정의 적용 후 나머지의 레지스트레이션 문제를 특징짓기 위해, 선형 이미징 변환을 가상으로 행한 후 레지스트레이션 문제의 크기가 계산되어야 한다. 선형 이미징 변환은 다음에서 S/O(scaling and orthogonality)라고 또한 칭해진다. 도 4의 예에서, 편차의 3σ 수치로서 다시 표시된, S/O 정정 후의 나머지의 레지스트레이션 에러는 10.9nm 이다.
도 5는 선형 이미징 변환 또는 S/O 정정에 의해 정정된 레지스트레이션 에러를 제거한 뒤 남아 있는 레지스트레이션 에러를 나타낸다. 11 nm 정도의 레지스트레이션 문제를 갖는 포토리소그래피 마스크는 임계의 3x 노드 층에 대해서 일반적인 사양을 충족하지 않고, 잠재적으로 거절되며, 여기서, 3x는 30 ~ 39 nm 노드에 대한, 특히, 32 nm 노드에 대한 일반적인 표기이다.
본 발명의 방법에 의해 얻어지는 개선을 설명하기 위해, 식 38의 목표 함수(
Figure 112011053373377-pat00063
에 대해서 식 31을 이용하여)가 단일 세트의 레이저 빔 파라미터 또는 단일 기록 모드
Figure 112011053373377-pat00064
에 대해서 해석된다. 이 경우에, 식 38은
Figure 112011053373377-pat00065
(40)
또는
Figure 112011053373377-pat00066
(41)
로서 다시 쓰여질 수 있다.
포토리소그래피 마스크의 기판에 레이저 빔에 의해 도입된 모든 변형 성질은 매트릭스
Figure 112011053373377-pat00067
에서 요약된다.
식 41의 수학적인 문제는 이차 형태의 최적화 문제이다. 켤레 경사도의 방법을 이용하여 최적의 수렴이 얻어진다. 기록 밀도 진폭
Figure 112011053373377-pat00068
에 대한 식 39의 제약은 입방체 도메인에서 최적의 해법을 발견하도록 한다. 식 41의 최적화 과정 동안에 식 39의 제약을 고려하기 위해 수정이 추가되어야 한다. 하강하는 방향으로 계산하고, 반복하는 것이 외측 도메인 영역으로 향할 때, 도메인의 경계에 수직인 방향으로 구성 요소를 커트하는 것이 필요하다. 그러나, 이것은 계산 시간에 영향을 주지 않는 급속 동작이다.
식 41의 최적화를 실행함으로써, 그 결과 포토리소그래피 마스크의 기판으로 레이저 빔(335)을 국부적으로 향하게 하는 제안된 정정 맵을 가져 온다. 레이저 빔(335)이 픽셀을 마스크 기판에 "기록"때문에, 레이저 빔(335)에 대한 정정 맵은 다음에서 정정 기록 맵으로 또한 불려진다.
포토리소그래피 마스크의 기판에 쓰여진 픽셀의 밀도는 포토리소그래피 마스크를 조명하기 위해 사용되는 광 세기의 광 투과 변동의 퍼센트로 표현되고, 또는 보다 상세하게 마스크 기판에 도입된 또는 쓰여진 픽셀에 의해 생긴 조명의 감쇠의 퍼센트로 표현된다. 레지스트레이션 에러의 정정을 위해 쓰여진 픽셀에 의해 허용된 최대 감쇠는 3%이다. 도 6의 정정 기록 맵에 필요한 조명 파장에서 광 세기의 평균 감쇠는 1.3%이다. 도 6으로부터 알 수 있는 것같이, 포토리소그래피 마스크의 활성 영역 및 비활성 영역 모두는 도 4의 레지스트레이션 문제의 정정을 위해 사용된다.
시뮬레이션을 행함으로써, 선형 이미징 변환에 의해 정정될 수 있는, 레지스트레이션 에러를 제거한 후의 편차의 결과의 3σ 수치는, 도 5에 비해서 대략 41% 개선된 6.4 nm가 될 것으로 예상될 수 있다. 도 5에서, 도 4의 레지스트레이션 에러의 일부는 선형 이미징 변환을 행함으로써 정정된다.
최적화된 선형 이미징 변환용 파라미터가 식 41의 최적화로부터 동시에 얻어진다. 6개의 선형 이미징 변환 파라미터에 대한 수치가 다음 표에 주어진다.
식 41의 최적화로부터 얻어진 선형 이미징 변환 파라미터
파라미터 mx my sx sy rx ry
단위 nm nm ppm ppm μR μR
수치 0.14 0.08 90.0 -0.06 0.014 0.026
(여기서, μR는 마이크로 라디안에 대한 축약이다.)
도 7은 표 6에 표시된 파라미터를 사용하여 선형 이미징 변환을 행함으로써 정정가능한 레지스트레이션 에러를 제거한 뒤의 결과의 시뮬레이션된 레지스트레이션 에러를 나타낸다.
다음 단계에서, 포토리소그래피 마스크의 기판으로 픽셀을 국부적으로 기록를 행하도록 식 41의 최적화 과정으로부터 결정된 레이저 빔 파라미터가 레이저 소스(30)에 의해 사용되므로, 도 4의 포토리소그래피 마스크의 레지스트레이션 에러가 최소화된다. 이미 표시된 것같이, 최적화된 레이저 빔 파라미터가 정정 기록 맵에 배열될 수 있다.
도 8은 도 6의 정정 기록 맵의 최적화된 레이저 빔 파라미터를 사용함으로써 도 4의 마스크의 에러 문제의 정정 후 측정된 레지스트레이션 에러를 나타낸다. 분포의 3σ 수치에 의해 표시된 측정된 나머지 레지스트레이션 에러는, 선형 이미징 변환만을 행하는 것(도 5)에 비해 대략 35% 개선된 7.1 nm이다.
도 9는 예상된 레지스트레이션 에러 정정과 측정된 레지스트레이션 에러 정정 사이의 차이를 나타낸다. 이 비교는 식 41의 최적화로부터 얻어진 에러 정정의 개선이 실제로 실현될 수 있는 것을 설명한다. 도 4의 레지스트레이션 문제가 개선되므로 임계의 3x 노드 층에 대해 일반적인 사양 내에 포토리소그래피 마스크가 오게 된다.
본 발명 방법의 적용을 위한 제2 예에서, 포토리소그래피 마스크(100)의 기판(110)의 활성 영역(150)에 레이저 빔(335)을 향하게 하지 않고 포토리소그래피 마스크에서의 레지스트레이션 에러가 정정된다. 제약은, 레지스트레이션 에러의 정정이 마스크(100)의 활성 영역(150)에 새로운 CDU 에러를 가져오는 것을 방지한다. 레이저 빔(335)을 향하게 하지 않고, 또는 포토리소그래피 마스크(100)의 활성 영역(150)에 픽셀을 쓰지 않고, 레지스트레이션 문제의 최적의 가능한 개선을 얻고자 목표를 세울수 있다. 식 41에 정의된 최적화 문제를 고려하면, 몇몇의 최적화 파라미터
Figure 112011053373377-pat00069
에 0 값을 할당하고 최적화 알고리즘으로부터 그것들을 배제하는 것은 문제가 안된다. 에러 정정 과정을 위해 마스크 기판(110)의 제한된 영역에 레이저 빔(335)을 향하게 하는 것만은, 제약을 갖지 않는 것보다 덜 효과적인 것이 분명하다. 또한, 픽셀이 쓰여질 수 있는 영역이 결함이 있는 영역으로부터 멀리 있을 수 있기 때문에, 에러 정정이 덜 효과적이다. 한편, 포토리소그래피 마스크(100)의 비활성 영역(160)으로 레이저 빔(335)을 향하게 함으로써 도입된 광 투과 변동에 대한 제한이 없다. 이 장점은 상기 언급된 단점을 부분적으로 보상할 수 있다.
이전 예에서, 3σ 수치 전체 변위가 최적화된다. 포토리소그래피 마스크의 제조자들은 선형 이미징 변환이 행해진 뒤 x 또는 y 방향으로 최대 허용된 편차(3σ 수치)를 나타냄으로써 그 마스크의 성질을 자주 특정한다. 다음에서, 이 사양은 X/Y 사양으로 불린다. X/Y 사양 성질의 최적화는 최적화 문제의 해법을 더 복잡하게 만드는 목표 함수에 비연속 조건을 포함할 것을 요구한다. 그러므로, 포토리소그래피 마스크(100)의 활성 영역(150)에 픽섹을 쓰지 않는 조건을 만족하도록 여기에 또 다른 방법이 제시된다. 정의된 방법은 식 41의 목표 함수에서
Figure 112011053373377-pat00070
변위의 상이한 구성요소에 상이한 가중 파라미터
Figure 112011053373377-pat00071
를 할당한다. 짝수의 인덱스
Figure 112011053373377-pat00072
를 갖는 가중 파라미터는 x방향으로 패턴 요소의 변위를 제어하는 것을 허용하고, 홀수의 인덱스
Figure 112011053373377-pat00073
를 갖는 가중 파라미터는 y방향으로 패턴 요소의 변위를 제어한다. 가중 파라미터
Figure 112011053373377-pat00074
Figure 112011053373377-pat00075
(42)
에 도입한 뒤 식 41의 목표 함수가 변환된다.
Figure 112011053373377-pat00076
를 결정하는 필요한 최적화 과정을 행하는 방법이 도 10에 제시된다. 이 방법은 블록 1000에서 시작한다. 블록 1000에서, 가중 파라미터
Figure 112011053373377-pat00077
이고,
Figure 112011053373377-pat00078
Figure 112011053373377-pat00079
뿐 아니라 그 변동 한계
Figure 112011053373377-pat00080
Figure 112011053373377-pat00081
가 정의된다. 블록 1020에서 반복 인덱스 x를 정의한 뒤, x 및 y 방향에 대한 가중 파라미터의 일반적인 형태가 블록 1030에서 정의된다. 블록 1040에서, 식 42에서 정의된 목표 함수가 최소화된다. 결정 블록 1050에서, 도입된 변위
Figure 112011053373377-pat00082
가 소정의 사양 한계
Figure 112011053373377-pat00083
를 초과하는지가 결정된다. 이 조건이 만족되면, 블록 1060에서, 제시된 가중 파라미터
Figure 112011053373377-pat00084
Figure 112011053373377-pat00085
가 가중 파라미터
Figure 112011053373377-pat00086
Figure 112011053373377-pat00087
에 대한 해법으로서 취해지고, 방법은 블록 1070에서 끝난다. 이 조건이 만족되지 않으면, 선형 이미징 변환에 의해 정정가능한 에러의 정정 후 결과의 변위 변동의 가장 큰 편차(3σ 수치)를 갖는 방향이 결정된다. 판정 블록 1090에서, 이 방향이 판정 블록 1050의 변위 조건을 또한 만족하는지가 결정된다. 이것이 참이면, 블록 1100에서, 제시된 가중 파라미터
Figure 112011053373377-pat00088
Figure 112011053373377-pat00089
가 가중 파라미터
Figure 112011053373377-pat00090
Figure 112011053373377-pat00091
에 대한 해법으로서 취해지고, 방법은 블록 1070에서 끝난다. 이것이 거짓이면, 판정 블록 1110에서 가장 큰 3σ 값을 갖는 방향(최악의 방향)이 x 방향인지 또는 y방향인지가 결정된다. 블록 1120에서, 최악의 방향이 x방향일 때 반복 인덱스 k+1에 대한 가중 파라미터가 정의되고, 평행 블록 1130에서, 최악의 경우의 방향이 y방향일 때, 반복 인덱스 k+1에 대한 가중 파라미터가 정의된다. 그 다음, 방법은 블록 1030으로 되돌아가고, 블록 1040에서 식 42의 목표 함수는 수정된 가중 파라미터로 다시 해석된다.
도 11a는 마스크(100)의 활성 영역(150)에 레지스트레이션 에러의 정정을 위해 사용된 레이저빔(335)을 지향하게 하지 않고 레지스트레이션 에러를 정정하기 위해 이 제2 예의 아래에 존재하는 레지스트레이션 에러를 나타낸다. 도 11b는 선형 이미징 변환을 행한 뒤의 나머지 레지스트레이션 문제를 나타낸다. 도 11a의 레지스트레이션 문제의 측정된 3σ 수치는 x방향에 대해서 9.73 nm이고, y방향에 대해서 8.52 nm이고, 절대 xy 변위에 대해서 12.9nm에 달한다. 선형 이미징 변환을 행함으로써 레지스트레이션 에러의 일부를 정정한 후, 나머지 레지스트레이션 문제의 3σ 수치는 x방향에 대해서 6.9nm이고, y방향에 대해서 8.1nm이며, xy 변위에 대해서 10.6 nm가 된다. 레지스트레이션 에러의 이 맵이 도 11b에 도시되어 있다.
레이저 빔(335)은 포토리소그래피 마스크의 기판의 비활성 부분에만 픽셀을 기록하기 때문에, 기판에 픽셀에 의해 도입된 광 세기의 더 높은 감쇠가 허용될 수 있다. 도 12에 표시된 정정 기록 맵에 대해서, 광 투과의 변동은 10%로 제한된다. 도 12는 기록 밀도 맵의 형태로 제2 예의 문제의 해법을 나타낸다. 도 12의 기록 밀도 맵이 단지 도 10의 최적화 루프를 통해서 일시에 통과함으로써 얻어진다.
도 13은 정정 픽셀을 기록하기 위해 포토리소그래피 마스크의 비활성 부분에 레이저 빔(335)을 향하게 한 후 및 선형 이미징 변환의 적용 후 도 11a의 시뮬레이션된 나머지 레지스트레이션 문제를 나타낸다. 결과의 3σ 수치에 의해 특징이 주어지는, 추정된 나머지 레지스트레이션 문제는 x방향에 대해서 5.9 nm이고, y방향에 대해서 7.3 nm이고, 절대 xy 변위에 대해서 9.37 nm이다. 이것은 x방향에 대해서 14.5%의 개선, y방향에 대해서 9.9%의 레지스트레이션 에러의 개선의 결과를 가져오므로, X/Y 사양에 대해서 9.9%의 개선, 절대 변위에 대해서 11.8%의 개선을 가져다 준다. 이러한 정정은 X/Y 사양의 개선을 결정하는 x방향에 대해서 더 나은 결과를 제공하는 것을 알 수 있다.
도 14는 최적화 루프를 몇 차례 통과함으로써 기록 밀도에 대한 해법을 나타낸다. 도 14는 도 12에 대해, 특히, y방향에 대해서, 기록 밀도 맵의 현저한 변동을 나타낸다.
도 15는 선형 이미징 변환을 행한 뒤 도 10에 따른 반복된 최적화 처리에 대한 나머지 레지스트레이션 에러를 나타낸다. 최적화 정정 프로세스를 행한 후 남아 있는, 3σ 수치에 의해 다시 특징이 주어지는, 추정된 나머지 레지스트레이션 에러는, x방향에 대해서 6.8 nm이고, y방향에 대해서 6.8 nm이고, 절대 변위에 대해서 9.7 nm이다. 이 결과는 x방향에 대해서 1%의 개선, y방향에 대해서 15.5%의 변위 에러의 개선을 가져다 주므로, X/Y 사양에 대해서 15.0%의 개선, 절대 XY 변위에 대해서 9%의 개선을 가져다 준다. 결과의 나머지 레지스트레이션 에러에 대한 3σ 수치는 x 및 y 방향 모두에 대해서 동일한 수치를 갖는다. 이것은 x방향에 대해서 레지스트레이션 에러를 왜곡시키지 않고, 도 11의 예에서, y 방향인 최악의 방향을 현저하게 개선시키는 것을 나타낸다.
포토리소그래피 마스크의 비활성 영역에 픽셀을 단지 기록함으로써만 15%의 X/Y 사양의 포텐셜 개선이 얻어진다. 이 결과는 기록 레이저 빔에 의해 도입된, 높은 레벨의 허용된 감쇠의 결과이다. 10% 까지의 광 투과의 변동은, 이 변동이 마스크의 기판에 몇몇 국부적인 크랙을 가져오기 때문에 보다 공격적이다. 마스크의 손상의 위험을 피하기 위해서, 픽셀이 마스크 기판으로 2개의 상이한 층에 쓰여지며, 각각의 층은 필요한 수의 절반의 픽셀을 포함한다.
도 16은 선형 이미징 변환 및 마스크 기판의 비활성 영역에서 2개의 층에 픽셀의 기록 모두를 적용한 후 도 11의 측정된 나머지 레지스트레이션 문제를 나타낸다. 측정된 결과는, 도 15의 문맥에서 논의된 것같이, 15%인 시뮬레이션에 의해 예상되는 값보다 적은, X/Y 사양에 대해서 10.1%의 개선을 나타낸다. 측정된 결과의 분석은 마스크 기판에 픽셀을 쓰는데 적용된 레이저빔 파라미터들과 시뮬레이션에 사용된 레이저빔 파라미터들이 현저하게 상이한 것을 나타낸다. 레이저 빔(335)에 대한 기록 조건과 환경적인 조건이 완전하게 유지되지 않는 실험실 조건 하에서 실험이 행해진다. 레이저 빔(335)의 캘리브레이션으로부터 레지스트레이션 에러의 정정을 위한 픽셀의 기록까지 현저한 기간이 진행된다. 이것은 캘리브레이션된 레이저 빔 파라미터와 실제로 적용된 파라미터 사이의 편차를 가져온다. 산업 환경에서, 레이저 빔 파라미터가 더 잘 제어된다. 산업 환경에서, 정정 픽셀 기록의 모든 결과는 레이저 빔 파라미터 또는 기록 모드 시그너쳐를 갱신하기 위해 사용될 수 있다. 다음에서, 레이저 빔 파라미터들이 마스크 기판에 레이저 빔(335)으로 쓰여진 픽셀의 유형에 대한 특징을 나타내기 때문에, 레이저 빔 파라미터들은 기록 모드 시그너쳐 또는 모드 시그너쳐에 대해 MS로 또한 칭해진다. MS는 식 29의 노멀 방향에서 변형 요소
Figure 112011053373377-pat00092
에 의해 서술된다.
X/Y 사양을 최적화하는 방법은 어느 특정 목표에 대해, 예를 들면, 오직 하나의 레지스트레이션 임계 방향에 대해서 또는 최대의 결과의 변위에 대해서, 최적화하는 방법을 나타낸다. 이 최적화 프로세스는 식 42의 형태의 목표 함수의 반복 해법에 의해 그리고 가중 파라미터들에 대한 최적화 프로세스의 추가적인 제어에 의해 실행될 수 있다.
오직 하나의 세트의 레이저 빔 파라미터들 또는 오직 하나의 기록 모드를 사용하는 레지스트레이션 에러의 정정은 제한된 효율을 갖는다. 하나의 기록 모드는 오직 그 진폭을 변화시키며 마스크 기판의 모든 점에서 오직 하나의 종류의 또는 오직 하나의 유형의 변형을 가져올 수 있다. 몇몇 세트의 기록 모드 또는 상이한 세트의 레이저 빔 파라미터들을 사용함으로써 상이한 기록 모드를 결합하여 마스크 기판의 모든 점에서 상이한 종류 또는 유형의 변형을 얻을 수 있다.
예를 들면, 레이저 소스(330)의 노화, 레이저 빔(335)의 고유의 시간적인 변동으로 인해, 그리고, 온도, 압력 및 습도와 같이 환경적인 파라미터들의 변동의 종속성으로 인해, 레이저 빔(335)의 파라미터 값이 꾸준히 변화하기 때문에, 레이저 빔 파라미터들 또는 기록 모드는 정학히 제어가능하지 않다. 이들 변동은 에러 정정의 정확성과 픽셀 기록 프로세스의 반복성을 제한한다. 수학적인 관점에서, 이것은 최적화 공간에서 자유도를 제한한다.
상이한 기록 모드의 세트가 이용가능하고, 모든 기록 모드가 마스크 기판에 도입된 픽셀의 변형에서 다르면, 개별 픽셀에 의해 도입된 전체 변형을 제어하기 위한 결과의 효율이 현저하게 더 높을 수 있다. 이 효과는 매우 간단한 예를 사용하여 설명된다.
개별 픽셀의 도입된 변형의 원래의 비대칭이 바람직하게 사용될 수 있다. 이 목적을 위해, 동일한 기록 조건이 사용되지만, 개별 픽셀의 변형의 상이한 배향을 효과적으로 얻도록 포토리소그래피 마스크는 특정 각도로 회전한다. 포토리소그래피 마스크를 90°회전시키는 것은 매우 간단하고, 이것은 레이저 빔 또는 레이저 빔 파라미터들의 임의의 수정없이 레이저 빔의 하나의 추가의 기록 모드를 얻는 결과를 가져온다. 2개의 기록 모드가 잘 정의되므로, 마스크 기판 위에 잘 정의된 기록 모드 시그너쳐를 갖는다.
도 17은 비회전된 레이저 빔(335)과 90° 회전된 레이저 빔이 0°모드 시그너쳐 또는 90°모드 시그너쳐를 각각 갖고 사용되는 이 예에 대해서 모드 시그너쳐를 도시한다. 이 다이어그램은 레이저 빔(335)의 MS(mode signature)를 나타낸다. 상기 이미 논의된 것같이, 2D 모델에서, 변형 요소
Figure 112011053373377-pat00093
는 5개의 독립적인 구성 요소를 갖는 8개의 구성 요소를 갖는다. 5개의 독립적인 구성 요소 중 오직 3개만이 벌크 포텐셜 에너지에 영향을 미치고, 그래서 레이저 빔(335)에 의해 도입된 변형의 설명을 위해 중요하다.
Figure 112011053373377-pat00094
의 관련된 독립적인 구성 요소를 나타내도록, 이들 구성 요소는, 요소 영역
Figure 112011053373377-pat00095
의 효과적인 변형을 실제로 나타내는
Figure 112011053373377-pat00096
을 사용하여 변형된다. 도 17은 레이저 빔을 요소 영역
Figure 112011053373377-pat00097
에 향하게 하기 전의 요소 영역
Figure 112011053373377-pat00098
을 나타낸다(검은색 사각형).
Figure 112011053373377-pat00099
의 3개의 구성 요소만이 0과 다른 수치를 가지기 때문에, 적색 평행사변형은 정규화된 결과의 요소 영역을 나타낸다. 회색 평행사변형은 독립적인 파라미터세트중 모든 5개의 파라미터를 갖는 정규화된 결과의 요소 영역이다.
도 18a는 에러 정정 프로세스에 대해서 레이저 빔(335)의 2개의 상이한 기록 모드를 사용하는 효과를 설명하도록 적용된 측정된 최초의 마스크 레지스트레이션 문제를 나타낸다. 도 18a에 도시된 레지스트레이션 문제는 매우 혼돈스러운 특성을 갖고, 오직 하나의 모드의 기록 픽셀을 사용하는 정정은 혼돈스러운 특성을 22% 이상 개선하는 것을 허용하지 않는다. 이것은 임계의 3x 층에 대한 일반적인 사양 내에 포토리소그래피 마스크를 가져오기에 충분하지 않다. 도 18b는 선형 이미징 변환을 행한 후 도 18a의 마스크 레지스트레이션 문제를 나타낸다.
식 38을 풀음으로써, 0° 기록 모드 시그너쳐와 90°기록 모드 시그너쳐를 갖는 기록 모드에 대해 2개의 맵이 얻어진다. 도 19a는 0° 기록 모드 시그너쳐에 대한 제안된 정정 기록 맵을 나타내고, 도 19b는 90° 기록 모드 시그너쳐에 대한 제안된 정정 기록 맵을 나타낸다.
조건이 양 기록 모드에 대해서 기록 픽셀 밀도의 합 또는 광 세기의 감쇠를 5%로 제한하는 식 38에 추가된다. 도 20은 도 19a와 19b의 양 모드가 더해질 때 정정 기록 맵을 나타낸다. 양 모드에 대해서 픽셀이 마스크 기판의 비활성 영역뿐 아니라 활성 영역에도 쓰여지는 것에 주목한다.
도 21a는 도 19a에 도시된 0° 기록 모드 시그너쳐를 적용함으로써 레지스트레이션 정정에 의해 도입된 변화를 도시한다. 또한, 도 21b는 도 19b의 90° 기록 모드 시그너쳐를 사용할 때 에러 정정에 의해 도입된 변화를 도시한다. 최종적으로, 도 21c는 도 20의 결합된 정정 맵으로 시뮬레이션된 레지스트레이션 정정 변화를 도시한다.
도 21a는 0° 기록 정정 맵이 y방향으로 더 큰 시프트를 도입하는 것을 나타내는 반면, 도 21b는 90° 기록 정정 맵이 x방향으로 더 큰 시프트를 도입하는 것을 나타낸다. 도 17에서 이들 모드에 대한 기록 모드 시그너쳐의 도면에 따라 발견된다.
도 22a에서 도 18a의 레지스트레이션 문제에 양 레이저 기록 모드의 결합된 동작의 예상이 표시되고, 도 22b는 선형 이미징 변환을 행한 후 도 18a의 나머지 레지스트레이션 에러를 표시한다.
시뮬레이션 결과는 광 세기의 최대 허용된 감쇠가 5%로 제한될 때 레지스트레이션 에러의 43% 감소가 얻어질 수 있는 것을 나타낸다. 이것은 1개 대신에 2개의 기록 모드의 레이저 빔을 사용할 때 레지스트레이션 에러 정정의 양이 대략 2배가 될 수 있음을 의미한다. 이 개선은 X/Y 사양 내에 포토리소그래피 마스크를 확실하게 가져온다.
도 18b의 레지스트레이션 문제의 측정된 개선이 도 23에 도시되어 있다. 도 18b의 레지스트레이션 에러는, 앞의 섹션에서 논의된 시뮬레이션된 개선보다 약간 더 작게 35% 감소되었다.
이 예는 레지스트레이션 에러의 정정에 대한 기록 픽셀일 때 멀티-모드 접근의 높은 효율성을 입증한다. 포토리소그래피 마스크 및/또는 레이저 빔을 90°회전하는 것은, 통상의 CDC(critical dimension correction) 툴로 실행될 수 있는 멀티-모드 정정의 유익한 간단화인, 또 다른 유용한 접근을 정의하는 것을 허용한다. 몇몇의 기록 모드를 도입하는 것은 에러 정정 능력의 현저한 확장을 가져올 수 있지만, 이 확장은 레이저 빔(335)에 대해서 진보된 제어 시스템을 갖는 전용의 RegC(registration control) 툴의 개발을 요구한다.
다음에서, 레지스트레이션 정정을 위해서 마스크 기판에 픽셀을 도입할 때 CD 또는 광 감쇠를 제어할 수 있는 것으로 설명된다. 제1 예에서, 현저한 CD 문제가 없거나 또는 CD 정보가 유용하지 않으면, 유용하다. CD 제어의 이론으로부터 픽셀의 기록가 포토리소그래피 마스크의 기판에 일정한 셰이딩 밀도를 도입하면, CD 분포가 변화하지 않는 것으로 알려져 있다. 그러므로, 이것은 모든 기록 모드에 대한 모든 기록 밀도의 합이 포토리소그래피의 활성 영역에 걸쳐 확실히 일정하도록 하는 하나의 추가 조건 또는 제약을 갖고 멀티 레이저빔 파라미터 또는 멀티 기록 모드로 픽셀을 기록 위해 레이저 빔(335)을 사용하는 계획이다. 포커싱 조건을 변화시키지 않고 상이한 펄스 에너지를 갖는 레이저 빔을 적용함으로써, 그 결과 상이한 기록 밀도를 갖지만 동시에 동일한 기록 모드 시그너쳐를 갖는 레이저 빔(335)의 기록 모드를 가져온다.
이 효과를 설명하기 위해, 오직 2개의 기록 모드가 간단화를 이유로 다음의 예에서 사용된다. 2개의 상이한 기록 모드를 도입할 때 식 41의 목표 함수는 식 43으로 바뀐다.
Figure 112011053373377-pat00100
(43)
일정한 기록 밀도의 조건은 다음 식으로 표현된다.
Figure 112011053373377-pat00101
(44)
여기서, c는 임의의 상수이다. 다시 간단화를 이유로, CDC 비율은 양 기록 모드에서 동일한 것으로 가정한다: 그렇지 않으면 CD 기록의 중립을 확실하게 하기 위해 예를 들면,
Figure 112011053373377-pat00102
와 같이 식 44에서 기록 밀도에 대해서 비례 팩터를 도입하는 것이 필요하다. 상수 c의 수치는 열화가 포토리소그래피 마스크의 기판에 생기지 않는 것을 확실히 하는 조명 레벨에 의해 정의된다. 파라미터 c가 더 크면, 레지스트레이션 정정 및 CD 정정 모두에 대해서 더 나은 개선이 얻어질 수 있지만, 한편으론, 조명 파장에서 광 세기의 감쇠가 더 현저하다.
식 44를 사용하여, 식 43의 목표 함수는 하나의 기록 모드의 문제로 변환될 수 있다.
Figure 112011053373377-pat00103
(45)
식 45는 단일 기록 모드에 대해서 식 41의 목표 함수와 동일한 방식으로 풀 수 있다.
다음의 예에서, 도 4의 레지스트레이션 문제가 다시 사용된다. 식 45의 최적화 프로세스에 대해서, 픽셀이 포토리소그래피 마스크의 기판에 도입되거나 쓰여지며, 레이저 빔(335)은 2개의 상이한 펄스 에너지를 가지거나, 2개의 상이한 세트의 레이저 빔 파라미터가 적용된다. 0.52μJ의 펄스 에너지를 갖는 레이저 빔(335)은 통상적 또는 표준 기록 모드로 불리며, 0.36μJ의 펄스 에너지를 갖는 레이저 빔(335)은 LowReg(low registration) 기록 모드로 불린다(표 2 및 표 3 참조). 양 기록 모드에 대한 펄스 길이는 8ps이다. 펄스 반복률은 50kHz이다. 초점은 양 기록 모드에 대해서 920 ~ 950 μm이다. 이들 전력 밀도에서 현저한 양의 자기 포커싱이 있기 때문에, 초점 크기의 수치는 통상적인 값이다. 단일 픽셀을 기록 위해 인가된 펄스의 수는 1이다.
x 및 y방향으로 인접하는 픽셀 사이의 거리는 110μm에 달한다. 본 명세서에서 제시된 또 다른 예 뿐만 아니라 이전에 논의된 예에 대해서, 대략 800 nm의 파장을 갖는 티탄:사파이어 층 시스템 또는 주파수 2배의 레이저 펄스를 갖는 Nd-YAG 층 시스템이, 픽셀을 포토리소그래피 마스크의 기판에 기록 위해 사용될 수 있다.
LowReg 기록 모드의 레지스트레이션 변화가 통상의 기록 모드의 하나보다 대략 4배 더 작다. 이전의 문단에서 이미 표시된 것같이, LowReg 기록 모드는 통상의 기록 모드에 비해 픽셀 당 더 작은 펄스 에너지를 갖는 레이저 빔(335)으로 픽셀의 기록를 정의한다. 그래서, LowReg 기록 모드는 통상의 기록 모드의 적용 보다 동일한 기록 밀도에서 마스크 기판의 상당히 더 작은 확장을 가져온다. 통상의 기록 모드와 LowReg 기록 모드 사이의 확장의 이러한 큰 차이는 LowReg 펄스 에너지가 광학 브레이크 다운의 스레시홀드에 근접한 반면, 통상 모드 펄스 에너지가 이 스레시홀드를 현저하게 초과하기 때문이다.
도 24는 정규화된 표시로 통상의 기록 모드의 시그너쳐(좌측)와 LowReg 기록 모드의 시그너쳐(우측)를 나타낸다.
레이저 빔의 2개의 기록 모드에 대해 명백한 책임을 갖도록 통상의 기록 모드와 LowReg 기록 모드의 시그너쳐에 대한 이들 상이한 펄스 에너지가 선택된다. 더 강한 변형을 가져오는 통상의 기록 모드는 레지스트레이션 정정에 대해 책임이 있는 반면, LowReg 기록 모드는 포토리소그래피 마스크의 이미지 필드에 걸쳐 일정한 조명 파장으로 광학 밀도의 셰이딩 또는 감쇠를 유지하도록 통상의 기록 모드에 의해 마스크 기판의 셰이딩을 보완한다.
정규 기록 모드의 계산된 정정 기록 맵이 도 25a에 제시되어 있고, 도 25b는 LowReg 기록 모드에 대한 정정 기록 맵을 도시한다. 도 25a 및 도 25b로부터 기록 밀도 맵의 합이 포토리소그래피 마스크의 기판의 활성 영역의 일정한 셰이딩을 초래하는 것을 용이하게 알 수 있다. 마스크의 조명 파장에서의 광학 빔에 대한 감쇠 레벨은 도 25a 및 25b에서 3%로 선택된다. 3%의 광학 감쇠를 유도하는 픽셀의 기록이 선택되는 것은 종합적인 조사에서 이 수치가 포토리소그래피 마스크에 대한 인쇄에 영향을 미치지 않기 때문이다. 3%의 상수 감쇠의 영향은 포토리소그래피 마스크의 조명에 이용되는 광학 강도의 도즈(dose)의 대응하는 증가에 의해 보상되어야 한다.
도 4의 레지스트레이션 에러의 정정에 대한 계산된 개선은 39%이다. 이 비율은 41%인 단일 모드 정정 공정에 대한 계산된 개선에 비해 약간 작다.
도 25a의 정정 기록 맵은 도 6의 정정 기록 맵과 유사하다. 이러한 유사성은 2개의 기록 모드의 응답성에 대해 선택된 인위적 분리를 하는 사실을 반영한다. 정규 기록 모드는 도 4의 레지스트레이션 문제의 정정에 대해 전용으로서, 여기서 LowReg 기록 모드는 포토리소그래피 마스크에 걸친 쉐이딩 균일성을 보존하는 역할을 한다. 도 4에서의 레지스트레이션 문제의 정정을 위해 이용되는 유사한 또는 예측된 변화가 도 26에 제시되어 있다. 도 6 및 25a의 기록 정정 맵으로부터 기대되는 것처럼, 포토리소그래피 마스크의 기판내의 픽셀의 기록에 의해 유도되는 패턴 소자의 시프트는 도 7 및 26에 대해 유사하다.
이하의 예에서, 본 발명에 따른 방법에 대한 적용이 나타난다. 포토리소그래피 마스크는 도 4의 레지스트레이션 문제를 가지며, 추가적으로 CD 균일성(CDU) 문제를 가지는 것으로 가정한다. CD 균일성 문제는 도 27a에 제시되어 있다. 도 27b는 도 27a의 CD 균일성 문제를 정정하는데 필요한 CD 정정 기록 맵을 도시한다. CD 정정 맵은 기지의 임계 치수 정정(CDC: critical dimension correction) 비율 인자 및 선정 타깃 CD 값에 의해 결정된다. 이하에서, 타깃 CD는 최소 CD 이고, CDC 비율은 광학 감쇠의 %당 1.2nm 이다. 최종 CD 정정 맵 MCDC(x, y)는 도 27b에 제시된다.
상술한 것처럼, 도 27a의 마스크는 도 4의 레지스트레이션 문제를 추가로 갖는 것으로 가정한다. 간략화를 위하여, 상이한 기록 모드는 동일한 CDC 비율을 갖는 것으로 또한 가정한다. 이러한 가정은 수식 44에서는 단순히 상수였던 타깃 밀도에 대한 값의 명세가 소자 영역 α에서의 CD 정정의 기록에 대해 이용되는 기록 모드의 평균 값이 되도록 한다. 포토리소그래피 마스크의 액티브 영역 외부에서, 기록 밀도의 어떠한 양태도 선택될 수 있다. 간략화를 위해서, 5%인 타깃 감쇠에 대해 선택된 것과 같은 누적 기록 밀도에 대해 동일한 조건이 선택될 수 있다.
액티브 영역 내에서:
Figure 112011053373377-pat00104
비액티브 영역내에서:
Figure 112011053373377-pat00105
Figure 112011053373377-pat00106
수식 45의 타깃 기능은 수식 46의 제약(constraint)으로 풀린다. 이러한 최적화의 결과로 제1 기록 모드에 대해서는 도 28a에 제시되고, 레이저 빔(335)의 제2 기록 모드에 대해서는 도 28b에 제시되고, 도 28c는 도 28a 및 28b의 결합된 정정 기록 모드를 도시한다.
이러한 도면으로부터, CD 정정에 대해 필요한 액티브 영역의 픽셀의 기록을 위해서는 제1 기록 모드 및 제2 기록 모드의 기록 밀도의 합이 엄밀히 요구된다. 수식 45 및 46의 최적화 공정의 결과인 레지스트레이션 에러의 개선의 추정은 36%로서, 이는 다시 단일 기록 모드(도 7에 대한 설명 참조)를 이용하는 경우의 가능한 최고의 개선과 매우 유사하다. 이러한 결론은 최적화 공간내의 자유도가 레이저 빔(335)에 대한 제2 기록 모드를 이용함에 의해 증가되는 것으로 이해 가능하다. 이 예에서, 이러한 능력은 CD 균일성을 개선하기 위하여 전용된다. 최종 CDU는 입력 CDU 데이터의 정확성과 픽셀 기록 공정의 안정성을 갖는 완벽한 것이어야 한다.
시뮬레이션으로 인한 도 4의 잔여 레지스트레이션 문제가 도 29에 도시된다. 예상대로, 도 28a의 잔여 레지스트레이션 문제는 도 7의 것과 동일한 지문(fingerprint)을 갖는다. 그러나, 도 27b의 CD 균일성과 도 28a 및 28b의 기록 정정 맵을 동시에 정정하기 위한 추가적 문제로 인하여, 한편으로는 도 28a 및 28b의 정정의 기록 및 다른 한편으로는 도 6의 정정의 기록은 보다 현저히 상이하다.
멀티-모드 접근법을 이용하고, 레지스트레이션 에러의 잠재적으로 80% 제거하는 것이 가능하다. 이용된 기록 모드의 가능한 선택은 0°모드 시그너쳐(signature)를 갖는 정규 기록 모드와 90°모드 시그너쳐를 갖는 정규 기록 모드의 적용 및 제3 기록 모드로서의 LowReg 기록 모드의 적용일 수 있다. 정규 및 LowReg 기록 모드에 대한 파라미터 값은 표 2 및 3에서 요약된다. 도 4의 매우 체계적인 초기 레지스트레이션 문제의 결과로 레지스트레이션 에러 및 CD 균일성 에러 모두의 개선에 대한 높은 잠재성이 있다.
당업자라면 포토리소그래피 마스크의 레지스트레이션 문제에 대한 본 발명에 따른 방법의 적용은 상술한 예에 국한되지 않는다는 것을 알 것이다. 이들 예는 포토리소그래피 마스크의 레지스트레이션 문제의 해결을 위한 창의적 원리의 일부 유익한 측면을 도식화하기 위해 제공된다.
5.3 CDU 문제
이하의 예에서, 본 발명에 따른 방법은 관련된 임계 치수 균일성(CDU) 문제에 적용될 것이다. "이론적 배경" 부분의 수식 29는 기록 밀도 진폭 a α m 및 법선 방향 l에서의 변형 요소 t m l 을 갖는 레이저 범(335)의 인가에 의해 유도되는 노드 변위 ζ i 를 기술한다. 레지스트레이션 문제의 상술한 정정과 유사하게, 픽셀은 CD 정정을 위한 포토리소그래피 마스크의 기판내에 또한 기록된다. 그러므로, 소정의 CDC 문제에 대해, 수식 29가 픽셀의 기록에 기인한 변위를 계산하기 위해 이용될 수 있다. CDC 공정에 기인한 변위는 포토리소그래피 마스크의 패턴 소자의 위치를 변경할 수 있고, 마스크의 성능을 잠재적으로 저하시킬 수 있다. 이하에서, 패턴 소자의 위치에 악영향을 주지 않고, 다시 말하면 CD 균일성 문제를 정정하는 동안 레지스트레이션 에러를 초래하지 않고 CDC가 수행될 수 있는 방법에 대해 설명된다.
전형적인 CDC 공정은 레이저 빔(335)에 대한 다중 기록 모드의 적용을 필요로 하지 않는다. 오히려, CDC 공정은 PW(Process Window)라 칭하기도 하는 단일 기록 모드만을 이용함에 의해 수행된다. 수식 29 및 32를 이용함에 의해, 중요한 위치에서의 패턴 소자의 위치에 대한 CD 정정에 대한 기록 모드에 의해 유도되는 변화를 예측하는 것이 가능하다. 단일 CDC 기록 모드에 대해, 변위는 아래와 같다:
Figure 112011053373377-pat00107
여기서 매트릭스 Φij는 수식 40 및 41에서 정의된다.
전형적인 CDC 태스크는 포토리소그래피 마스크(100)의 액티브 영역(150)인 포토리소그래피 마스크의 이미지 필드에 걸친 레이저 비(335)에 의한 픽셀의 기록을 포함한다. 일반적으로, 포토리소그래피 마스크(100)의 기판(110)의 비-액티브 영역(160)에는 픽셀이 기록되지 않는다. CD 정정에 있어서, 픽셀은 일반적으로 패턴 소자로부터 약 3.175mm의 거리를 갖는 포토리소그래피 마스크의 기판의 깊이 또는 높이의 중간에 일반적으로 기록된다. 이 예에서, 마스크 기판의 깊이 또는 높이는 6.35mm로 가정한다. 조명을 위해 이용되는 대물 렌즈는 공기 중에서 0.25이고 포토리소그래피 마스크의 기판(110)내에서 약 0.17의 NA를 가진다. 단순 기하학적 고려로, 생성된 쉐이딩 소자는 포토리소그래피 마스크의 조명 전체를 균일하게 쉐이드하기 위하여 패턴 소자 영역 보다 약 0.5mm 넓어야 함을 알 수 있다. 조명 CD 정정의 균일한 쉐이딩을 보장하기 위하여, 픽셀은 일반적으로 패턴 소자 영역을 1mm 만큼 초과하는 영역내에 기록된다.
이하에서, 픽셀은, 수식 47 및 포토리소그래피 조명 시스템의 스캐너 또는 스텝퍼에 의해 수행되는 선형 이미징 변환의 조합으로, 유도된 레지스트레이션 변화의 소량을 남기는 변형을 생성하기 위하여 비-액티브 영역내에 기록된다. 다시 말하면, 유도된 레지스트레이션 변화의 아포디제이션(apodization)을 생성하는 것이 목적이다. 이러한 방법은 스마트 아포디제이션이라 칭한다. 단순 페이딩 주위에 따른 픽셀의 기록이 아포디제이션으로서 이용될 수 있으므로 이 이름이 선택된다. 등식 47과 유사하게, 아포디제이션 픽셀의 기록에 의해 야기되는 레지스트레이션 변경은:
Figure 112011053373377-pat00108
CDC 공정에 이용되는 것과는 상이한 아포디제이션 태스크를 위해 레이저 빔(335)의 기록 모드를 선택하는 것이 가능하다고 가정한다. 이 기록 모드는 이하에서 아포디제이션 기록 모드로 칭한다. 상이한 기록 모드를 사용하는 이유는 예를 들면 아포디제이션 기록 처리량의 증가 또는 아포디제이션 보상을 위한 최적화 수단 때문이다. 그러므로, 아포디제이션 진폭 a apo j 및 이하의 타깃 범함수를 최소화하는 선형 이미징 변환 파라미터 또는 스캐너 보상 파라미터를 발견하는 것이 목적이다.
Figure 112011053373377-pat00109
수식 49는 단순 최적화 문제이다. 아포디제이션 진폭 a apo j 은 포토리소그래피 마스크(100)의 기판(110)의 비-액티브 영역(160)의 셀내로만 한정된다. 스마트 아포디제이션 절차의 효율은 수개의 예를 기초로 설명된다.
제1 예에서, 아포디제이션은 표준 시험 기록으로 인한 것이다. 표준 시험 기록은 기록 균일성 및 지정된 PW(process window)에 대한 유도된 변형을 제어하도록 설계된다. 도 30은 광학 강도의 2% 감쇠를 유도하는 직사각형의 그레이 영역내의 상수 기록 밀도를 도시한다.
도 31a는 시험 CDC 기록에 의해 유도되는 측정된 레지스트레이션 변화를 도시하고, 도 31b는 선형 이미징 변환을 수행하는 나머지 레지스트레이션 변화를 도시한다. 도 31a에서, 레지스트레이션 에러의 3σ 수치값은 x 방향에 대해서는 41.5nm이고, y 방향에 대해서는 69.2nm 이다. 선형 이미징 변환(S/O 정정)을 수행한 이후에, 잔여 에러는 x 방향으로 5.4nm이고 y 방향으로 14.9nm 남는다. 설명을 목적으로, 선택된 시험 기록은 매우 공격적으로 선택되며, 명백히 현저한 레지스트레이션 변화를 유도한다. 시험 픽셀이 포토리소그래피 마스크의 액티브 영역에 걸쳐 균일하게 기록되므로, 최종 레지스트레이션 변화의 많은 부분은 포토리소그래피 조명 시스템의 스캐너(또는 스테퍼)에 의해 수행되는 선형 이미징 변환에 의해 보상될 수 있다.
CDC 기록 모드 시그너쳐가 수식 47로부터 공지되므로, 도 30의 표준 시험 기록 맵으로 인한 레지스트레이션 변화가 시뮬레이트될 수 있다. 이 시뮬레이션의 결과가 도 32a 및 32b에 나타난다. 시뮬레이트된 결과는 도 31a 및 31b의 측정된 레지스트레이션 변화와 매우 우수한 일치를 보인다. 이 일치는 각 측정을 수행하지 않고 유도된 레지스트레이션 변화를 예측할 수 있다는 것을 명확하게 보여준다.
이러한 결과로, 시뮬레이트된 레지스트레이션 변화 대신에 CDC 공정에 의해 유도되는 측정되지 않은 레지스트레이션 변화인 잔여 레지스트레이션 에러를 최소화하는데 필요한 아포디제이션의 합을 계산하는 것이 가능하며, 취득된 결과는 최적 아포디제이션 파라미터를 계산하는데 이용된다. 이를 목적으로, 포토리소그래피 마스크의 액티브 영역의 레지스트레이션 변화만을 고려할 필요가 있다.
도 33a는 포토리소그래피 마스크의 액티브 영역내의 시뮬레이트된 레지스트레이션 변화를 도시한다. 마스크 액티브 영역내의 시뮬레이트된 레지스트레이션 변화는 3σ 수치값이 x 방향에 대해서는 22.5nm이고, y 방향에 대해서는 47.1nm 인 것을 특징으로 한다. 도 33b는 포토리소그래피 조명 시스템의 스캐너에 의해 수행된 선형 이미징 변환 이후의 잔여 레지스트레이션 에러를 나타낸다. 나머지 레지스트레이션 에러는 x 방향에 대해서는 2.1nm 이고, y 방향에 대해서는 6.2nm 이다.
이러한 데이터를 이용하여, 아포디제이션 기록 맵이 계산될 수 있으며, 이는 CD 정정에 의해 유도되는 레지스트레이션 변화를 감소시킬 것이다. 도 34a는 계산된 아포디제이션 기록 맵을 도시한다. 5%의 포토리소그래피 마스크의 비-액티브 영역의 광학 강도의 변이가 이 아포디제이션 기록 맵의 계산시 허용된다.
도 34b는 비-액티브 마스크 영역내의 픽셀의 아포디제이션 기록에 의해 유도되는 포토리소그래피 마스크내의 액티브 영역내의 레지스트레이션 변화를 나타낸다.
도 35a는 CD 정정(도 33a) 및 아포디제이션 기록(도 34)의 레지스트레이션 변화로 인한 레지스트레이션 변화를 나타내고, 도 35b는 선형 이미징 변환을 수행한 이후의 도 35a의 잔여 레지스트레이션 변화를 나타낸다.
CDC 및 APO 기록 맵이 마스크 기판내에 기록된 이후에, 액티브 영역(3σ 수치값)내의 시뮬레이트된 레지스트레이션 변화는 x 방향에 대해 27.3nm, y 방향에 대해 54.3nm까지 가산된다. 선형 이미징 변환이 수행된 이후에(S/O 정정), 잔여 레지스트레이션 에러는 x 방향에 대해 1.72nm, y 방향에 대해 1.78nm가 남는다. 이는 도 31의 상황에 대해 71% 개선된 것이다. 그러므로, 포토리소그래피의 영역내의 잔여 레지스트레이션 에러는 3 내지 4배만큼 감소된다. 그러므로, 아포디제이션 공정은 CD 정정 공정에 의해 도입된 레지스트레이션 에러를 상당 부분 감소시킬 수 있다.
다른 예에서, 표준 아포디제이션 절차의 효과를 보여준다. 표준 아포디제이션 절차는 CDC 레벨에서부터 0 기록 밀도를 갖는 레벨까지 페이드 아웃하는 포토리소그래피 마스크의 비-액티브 영역내의 픽셀의 기록을 포함한다.
도 36a에서, 이러한 페이드 아웃 아포디제이션의 기록이 도시된다. 도 36b는 도 36a의 페이딩 아포디제이션 기록 맵에 의해 유도되는 레지스트레이션 변화의 시뮬레이션 결과를 도시한다. 도 36b는 도 34b와 같은 액티브 영역의 코너에서의 레지스트레이션 변화의 유사한 보상을 도시한다.
이하에서, 시험 CDC 기록에 의해 유도되는 레지스트레이션 에러에 대한 페이드 아웃 아포디제이션의 효과가 분석된다. 도 37a는 도 30의 시험 CDC 기록과 도 36a의 페이딩 아포디제이션의 결합하는 기록 맵을 나타낸다. 도 37b는 도 37a의 기록 맵의 최종 레지스트레이션 변화를 나타낸다. 대응하는 시뮬레이션을 실행함에 의해 얻어진 최종 레지스트레이션 변화는 포토리소그래피 마스크의 액티브 영역에 대해서 (3σ 수치값으로) x 방향에 대해서는 26.3nm, y 방향에 대해서는 52.3nm이다. 도 37c에 나타낸 것처럼, 선형 이미징 변환을 수행한 이후에(S/O 정정), 최종 레지스트레이션 에러는 x 방향에 대해 2.1nm, y 방향에 대해 3.8nm에 이른다. 이 결과는 도 33a에 도시된 포토리소그래피 마스크의 액티브 영역내의 시뮬레이트된 레지스트레이션 변화와 비교할 때 38% 개선된 것이다.
그러므로, 단지 페이딩 아포디제이션을 적용함에 의해, 포토리소그래피 마스크의 액티브 영역내의 레지스트레이션 에러를 1.5배 감소시키는 것이 가능하다. 이 결과는 매우 대칭적이고 균일한 시험 CDC 기록이 사용되었다는 사실로 인해 특별히 우수하다. 일반적인 경우에, 성취된 개선은 5% 내지 30%로 가변하지만, 이러한 개선도 페이딩 아포디제이션을 실행하기 위한 노력을 정당화하기 위해서는 충분히 중요하다. 페이딩 아포디제이션에 대한 기록 모드 시그너쳐의 정확한 값을 알 필요는 없으며, 추가 계산은 불필요하다. 이러한 아이템은 제시된 방법에서의 주요 장점이다. 포토리소그래피 마스크의 비-액티브 영역에서의 균일 페이딩 아포디제이션의 기록만이 필요하다. 반면에, 스마트 아포디제이션 방법은 또한 적은 기록 시간을 필요로 하는 보다 효과적인 보상 방법을 명백히 제안한다.
제2 예에서, 스마트 아포디제이션이 실제 CDC 태스크에 적용될 수 있는 방법이 설명된다. 도 38a는 포토리소그래피 마스크의 액티브 영역에 대한 CD 정정 기록 맵을 도시한다. 도 38b는 CD 정정 픽셀의 기록에 의해 유도되는 레지스트레이션 변화를 나타내고, 도 38c는 선형 이미징 변환이 수행된 이후(S/O 정정) 도 38b의 잔여 유도된 레지스트레이션 변화를 도시한다.
CD 정정 기록 밀도는 상대적으로 낮으며, 단지 2.1%인 광학 강도의 최대 감쇠를 가지며, 평균 감쇠는 1% 미만이다. CDC 태스크는 포토리소그래피 마스크의 액티브 영역내에서 x 방향으로 15.2nm, y 방향으로 35.7nm의 레지스트레이션 변화를 유도한다. 도 40a의 CDC 태스크에 비해 이러한 작은 기록 밀도로도, 도 38a의 CDC 태스크는 상대적으로 주요한 레지스트레이션 변화를 유도한다. 선형 이미징 변환(S/O 정정)의 수행 이후에, 잔여 레지스트레이션 변화는 x 방향에 대해서는 4.6nm, y 방향에 대해서는 8.4nm가 추가된다.
도 39a는 도 38a의 CDC 태스크에 대응하는 아포디제이션 맵을 도시한다. 도 39b는 포토리소그래피 마스크의 액티브 영역내의 도 39a의 아포디제이션 맵에 의해 유도되는 레지스트레이션 변화를 도시하고, 도 39c는 선형 이미징 변환 수행 이후에 잔여 레지스트레이션 변화를 도시한다. 도 38a의 CDC 태스크와 도 39a의 아포디제이션 태스크의 결합의 최종 잔여 레지스트레이션 변화는 x 방향에 대해 3.15nm, y 방향에 대해 3.54nm인 (3σ 수치값의) 잔여 레지스트레이션 변화가 된다. 도 38b에 나타낸 CD 정정에 의해 유도되는 레지스트레이션 변화의 거의 절반인 58% 개선된다.
제3 예에 의해, 스마트 아포디제이션 방법의 효과가 다른 일반적 CDC 태스크에 대해 설명된다. 도 40a는 일반적 CDC 문제에 대한 포토리소그래피 마스크의 액티브 영역에 대한 CD 정정 기록 맵을 도시한다. 도 40b는 CD 정정 픽셀의 기록에 의해 유도되는 레지스트레이션 변화를 나타내고, 도 40c는 선형 이미징 변환이 수행된 이후(S/O 정정) 도 40b의 잔여 유도된 레지스트레이션 변화를 도시한다.
CDC 기록 밀도에 의해 유도되는 최대 감쇠는 2.8%이며, 평균적으로 CDC 기록 밀도는 1.7%의 노광 파장에서의 광학 강도의 감쇠를 도입한다. 감쇠에서의 이러한 변화는 도 38a에서의 CDC 태스크의 경우보다 훨씬 크다. 액티브 영역에서의 시뮬레이트된 레지스트레이션 변화(3σ 수치값)는 x 방향에 대해 12.2nm, y 방향에 대해 53.4nm이다. CD 정정의 기록에 의해 유도되는 레지스트레이션 변화는 또한 도 38a의 CDC 태스크의 경우보다 훨씬 크다. 선형 이미징 변환(S/O 정정)의 수행 이후에, x 방향으로 2.3nm, y 방향으로 7.0nm의 레지스트레이션 변화가 남는다. 도 40a의 CDC 태스크에 대한 잔여 레지스트레이션 변화는 도 40a의 태스크에 대한 것 보다 작은 것을 알 수 있다. 이는 보다 균일한 CDC 기록 맵으로 인한 것이다.
도 41a는 도 40a의 CDC 태스크에 대해 계산된 아포디제이션 맵을 도시한다. 도 41b는 도 41a의 아포디제이션 맵에 의한 포토리소그래피 마스크의 액티브 영역에서 유도되는 레지스트레이션 변화를 나타낸다.
도 42a는 도 40a의 CDC 태스크 및 도 41a의 계산된 아포디제이션 태스크를 결합하는 기록 맵을 나타낸다. 도 42b는 도 42a의 기록 맵의 최종 레지스트레이션 변화를 도시하고, 도 42c는 선형 이미징 변환(S/O 정정)을 수행한 이후의 잔여 레지스트레이션 변화를 도시한다. 액티브 영역에 대한 CDC 및 APO 기록을 적용한 이후의 시뮬레이트된 레지스트레이션 변화가 x 방향에 대해서는 15.6nm, y 방향에 대해서는 67.0nm이다(3σ 수치값). S/O 정정에 대한 선형 이미징 변환의 수행 이후에, 나머지 레지스트레이션 변화는 x 방향에 대해 1.5nm 및 y 방향에 대해 1.95nm에 이른다. 이 데이터는 도 40a의 CDC 태스크에 의해 유도되는 레지스트레이션 변화의 69% 개선을 나타낸다. 도 40a의 CDC 태스크에 대한 개선은 도 38a의 CDC 태스크에 대한 것보다 더 높고, 따라서 최종 표준 편차(3σ) 또한 현저히 작다. 그러므로 3의 인수만큼 도 40a의 CDC 태스크에 의해 유도되는 잔여 레지스트레이션 변화를 감소시키는 것이 가능하다.
도 43에서, 시뮬레이션에 의해 얻어지는 도 42의 결과가 실험적으로 체크된다. 도 43a는 도 41a의 포토리소그래피 마스크에 실제로 적용되는 도 40a의 CDC 태스크 모두를 조합한 기록 맵을 나타낸다. 도 43b는 도 43a의 기록 맵으로부터 발생하는 측정된 레지스트레이션 변화를 도시하며, 도 43c는 도 43b의 레지스트레이션 문제에 선형 이미징 변환(S/O 정정)을 수행한 이후의 잔여 레지스트레이션 변화를 도시한다.
CDC 및 APO 정정 기록 맵 이후의 레지스트레이션 변화의 측정이 마스크 기판내에 기록되어, x 방향에 대해 15.1nm 및 y 방향에 대해 65.0nm(도 43b)의 (3σ 수치값의)레지스트레이션 변화를 초래한다. 선형 이미징 변환(S/O 정정)의 수행 이후에, 나머지 레지스트레이션 변화는 x 방향에 대해 1.45nm, y 방향에 대해 2.31nm(도 43c)이다. 이 데이터는 도 40a의 CDC 태스크에 의해 유도되는 레지스트레이션 변화의 67% 개선을 도시한다.
다음 예는 필요한 크기의 광학 감쇠를 제공하고, 동시에 CD 정정에 의해 유도되는 레지스트레이션 저하를 최소화하는 멀티-모드 CDC 기록의 적용을 설명한다. 이하의 추정을 간략하게 하기 위하여, 레지스트레이션 변화의 최적화를 위하여 단지 2개의 기록 모드만이 적용된다.
도 30의 시험 CDC 태스크에 대한 예가 다시 이용된다. 포토리소그래피 마스크의 액티브 영역내의 최종 레지스트레이션 변화가 도 33a에 도시된다. 이 도면으로부터, S/O 정정에 대한 선형 이미징 변환을 수행한 이후의 잔여 레지스트레이션 변화가 대칭적이지 않음에 주목한다. x 방향에서, 레지스트레이션 에러(3σ 수치값)는 2.1nm이며, y 방향에서는 6.2nm이다. 이 극단적 경우에, 선택된 기록 모드는 x 방향에 비해 y 방향으로 더 높은 확장을 생성한다. 5.2 부분("레지스트레이션 문제")와 유사하게, 최종 저하는 표준 마스크 배향을 이용한 픽셀의 절반을 기록함에 의해 최소화되고, 다음으로 이 마스크 배향으로 픽셀의 나머지 제2 절반을 기록하기 위하여 포토리소그래피 마스크를 90° 회전한다. 상기 제시된 기술을 이용함에 의해, 이 상황은 픽셀의 제1 절반은 0° 모드 시그너쳐로 기록되고, 픽셀의 제2 절반은 90°모드 시그너쳐로 기록되는 것으로 설명될 수 있다.
도 44a는 시험 CDC 기록 맵의 픽셀의 절반이 레이저 빔(335)을 이용하여 0° 모드 시그너쳐로 기록되는 경우 유도되는 레지스트레이션 변화를 도시하고, 도 44b는 시험 CDC 기록 맵의 픽셀의 절반이 레이저 빔(335)을 이용하여 90° 모드 시그너쳐로 기록되는 경우에 유도되는 레지스트레이션 변화를 도시한다. 도 44a 및 44b는 예측 가능한 결과를 도시한다. 자신의 모드 시그너쳐를 갖는 모든 모드는 동일한 변위를 생성하지만, 도 44b는 도 44a에 대해 90° 회전된다. 도 44a 및 도 44b의 도면은 선택된 그리드가 x 방향 및 y 방향에 대해 비대칭이라는 사실로 인하여 완벽히 동일하지는 않다. 전체 CDC 태스크의 기록이 3개의 기록 모드로 분할된 이후에 더 우수한 결과가 예상된다.
도 45a는 도 30의 시험 CDC 기록에 의해 유도되는 레지스트레이션 변화를 도시하는데, 여기서 픽셀의 제1 절반은 0° 모드 시그너쳐를 이용하여 기록되고, 픽셀의 제2 절반은 90° 모드 시그너쳐를 이용하여 기록된다. 도 45b는 선형 이미징 변환이 S/O 정정에 대해 수행된 이후의 나머지 레지스트레이션 변화를 도시한다.
도 45b의 나머지 레지스트레이션 변화는 도 34b 의 것보다 작다. 잔여 변위(3σ 수치값)는 x 방향에 대해 2.9nm, y 방향에 대해 3.0nm이다. 예측되는 것처럼, 이전 페이지에서 논의한 것처럼 단일 기록 모드를 이용한 CD 정정과 비교하여 2의 인자만큼 개선된다. 비대칭형으로 선택된 그리드로 인하여 작은 나머지 비대칭이 된다.
도 44의 예에서, 2 기록 모드 사이의 분할은 50: 50이다. 이하에서, 유도된 레지스트레이션 변화에 대한 CD 정정의 최소 효과를 얻기 위하여 0° 모드와 90° 모드 시그너쳐 사이의 최적 분할이 계산된다. 2개의 기록 모드의 적용을 위한 수식 47의 확장은:
Figure 112011053373377-pat00110
전체 소자 영역 α에서 요청된 CDC 기록 밀도를 얻기 위한 제약이 수식으로 표현된다.
Figure 112011053373377-pat00111
수식 50의 최종 변위 및 수식 51의 밀도 조건으로 이러한 형태의 타깃 범함수가 된다.
Figure 112011053373377-pat00112
수식 52의 타깃 범함수의 최적화는 매우 흥미로운 해법을 제시한다. 0° 모드 시그너쳐를 갖는 기록 모드에 대한 CD 정정 기록 맵이 도 46a에 도시된다. 도 46b는 90° 모드 시그너쳐를 갖는 기록 모드에 대한 CD 정정 기록 맵이 도시된다. 도 46a의 CD 정정 기록 맵에 의해 유도되는 최종 레지스트레이션 변화는 도 47a에 도시되며, 도 47b는 도 46b의 CD 정정 기록 맵에 대한 이러한 결과를 나타낸다.
계산된 결합된 레지스트레이션 변화가 도 48a에 나타나고, 도 48b는 S/O 정정에 대한 선형 이미징 변환의 수행 이후의 나머지 레지스트레이션 변화를 나타낸다. 도 48b에서의 잔여 변위(3σ 수치값)은 x 방향에 대해 2.3nm 및 y 방향에 대해 2.3nm 이다. 이 결과는 2개의 기록 모드의 단순 50:50 분할 보다 33% 우수하다.
0° 및 90°모드 시그너쳐를 갖는 2개의 모드로의 최적 분할은 정규 CDC 기록에 비해 3의 인자만큼 레지스트레이션의 저하를 감소시킬 수 있도록 하는 것으로 요약될 수 있다. 반면에, 이 방법은 정규 CDC 기록과 거의 동일한 처리량을 갖는다.
또한, 전체 개선이 실제로 기록 모드 시그너쳐의 비대칭성으로 인한 것임에 주목한다. 그러므로, 개선은 기록 모드 시그너쳐가 대칭인 경우에 훨씬 적을 수 있다. 일반적으로 보통 CD 정정은 비대칭 모드 시그너쳐를 가지고, 따라서 0° 및 90°모드 시그너쳐를 갖는 2개의 기록 모드로의 상술한 분할의 적용을 가능하게 한다. 실제로, 결과는 상술한 것보다 실질적으로 더 넓다. CDC 공정은 상이한 기록 모드 쌍으로 분할 될 수 있다. 제시된 예는 이하 원리를 도식화하기 위해 선택되었다.
다음 예에서, 이전 예를 이용한 접근법이 도 38a의 CDC 문제에 적용된다. 0° 및 90°모드 시그너쳐를 갖는 2개의 기록 모드로의 최적 분할이 조사된다.
도 49a는 도 38a의 CDC 문제에 대한 0°모드 시그너쳐를 갖는 기록 모드에 대한 CD 정정 기록 맵을 도시하고, 도 49b는 다시 도 38a의 CDC 문제에 대한 90°모드 시그너쳐를 갖는 기록 모드에 대한 CD 정정 기록 맵을 나타낸다. 두 도면에 대한 정정 기록 맵은 수식 52의 타깃 범함수를 최소화함에 의해 계산되었다.
도 50a는 2개의 기록 모드 사이의 최적 분할에 의해 유도되는 레지스트레이션 변화를 도시하며, 도 50b는 선형 이미징 변환 수행 이후의 잔여 레지스트레이션 변화를 도시한다. 나머지 유도된 레지스트레이션 변화(3σ 수치값)는 x 방향에 대해 18.2nm, y 방향에 대해 17.3nm이다. 선형 이미징 변환 이후에, 잔여 레지스트레이션 변화는 x 방향에 대해 4.1nm, y 방향에 대해 3.8m에 이른다. 그러므로, CDC 기록 태스크를 2개의 상이한 기록 모드로 분할함에 의해, 나머지 레지스트레이션 변화는 단일 기록 모드를 갖는 CD 정정을 수행하는 것에 대해 거의 4의 인수만큼 감소될 수 있다.
이하에서, 도 38a의 CDC 문제는 다시 기록 모드의 상이한 세트를 이용하여 해결된다. 5.2 부분 "레지스트레이션 문제"에서 이미 논의한 것처럼, 상이한 펄스 에너지가 레이저 빔(335)의 상이한 모드 시그너쳐를 초래한다. 상이한 모드 시그너쳐는 주로 그들의 유도된 변형 크기면에서 상이하다. 최소한의 레지스트레이션 변화를 갖는 CDC 작업을 실행할 때, 통상적으로 소위 LowReg 기록 모드를 사용한다. LowReg 기록 모드는 통상, 정상적인 기록 모드를 사용할 때보다 2 내지 4의 인자만큼 더 작은 마스크 기판의 확장을 초래하지만, 동일한 CDC 쉐이딩(shading)을 초래한다. LowReg 기록 모드의 처리량은 훨씬 더 낮아, 한편으론 필요한 생산성과, 다른 한편으론 승인된 레지스트레이션 변화 레벨 사이의 절충을 항상 찾아야 한다. 다음의 상세한 설명에서, 이중 모드 조합이 훨씬 더 우수한 결과를 제공할 수 있음을 알게 될 것이다.
도 51a는, 전체 CD 정정이 LowReg 기록 모드를 사용하여 실행될 때 유도되는 레지스트레이션 변화를 도시한다. 도 51b는, 선형 이미징 변환을 실행한 후 남은 레지스트레이션 변화를 도시한다. 이 결과적인 레지스트레이션 변화는, 표준 PW(Process Window)로부터 얻은 변화보다 작다. 이 레지스트레이션 변화는 x차원의 경우 9.6nm이고, y차원의 경우 11.1nm인 것으로 판정되며, 선형 이미징 변환(S/O 정정)을 실행한 후, 잔류 레지스트레이션 에러는 x차원의 경우 3.7nm이고 y차원의 경우 4.9nm이 남아 있다. 이것은, 표준 PW 경우보다 대략 2배 작은 것이다. 수학식 52와 유사한 최적 분할(split)은 다음의 타깃 범함수에 의해 정의된다:
Figure 112011053373377-pat00113
연산자(
Figure 112011053373377-pat00114
) 및 크기(
Figure 112011053373377-pat00115
)는 표준 또는 정상적인 및 LowReg PW 각각에 대한 수학식 성분을 표시한다. 표준 및 LowReg PW의 레이저 빔의 파라미터를 표 2 및 3에 기재한다.
도 52는 표준 또는 정상적인 기록 모드 및 LowReg 기록 모드로 분할된 도 38a의 CDC 문제에 대한 수학식 53의 해를 나타낸다. 도 52a는 표준 또는 정상적인 기록 모드에 대한 CD 정정 기록 맵을 도시하며, 도 52b는, LowReg 기록 모드에 대한 CD 정정 기록 맵을 도시한다.
선형 이미징 변환을 실행한 후, 두 기록 모드 사이의 최적화된 분할은 x차원의 경우 1.4nm 그리고 y차원의 경우 1.6nm의 잔여 레지스트레이션 에러를 야기한다. 이 에러는, LowReg 기록 모드를 단순히 사용할 때의 잔여 레지스트레이션 에러보다 60% 더 작다. 표준 기록 모드에 대해 더 높은 처리량이라는 사실을 고려할 때, 표준 및 LowReg 기록 모드의 조합을 사용할 경우 CD 정정 처리의 전체 생산성을 일부 증가시킬 수 있는 것도 가능하다. 이 예를 통해, 표준 기록 모드와 LowReg 기록 모드의 적용이 유도한 레지스트레이션 변화를 제어하기 위한 강력한 수단이 또한 됨을 명백하게 증명한다. 이것은, CDC 작업이 매우 낮은 레지스트레이션 변화를 필요로 할 때에 사용될 수 있다.
제 3 접근법으로, 스마트 아포디제이션(apodization) 및 안전한 CDC의 조합의 예를 이제 제공할 것이다. 스마트 아포디제이션 절차의 안전한 CDC 절차 위에서의 간단한 구현은 일부 개선을 제공할 수 있다. 그러나 비활성 영역에서의 픽셀의 기록과 CDC 기록 작업의 분할의 최적화로 인해, 더 우수한 결과를 얻을 수 있다. 표준 기록 모드와 LowReg 기록 모드로의 분할은 비활성 영역에서의 기록에 최적이지는 않음이 명백하다. 그러나 이러한 선택은, 계산 수고를 낮게 유지하고, 또한 얻은 결과를 이전 결과와 비교할 수 있기 위해, 이루어진다. 가장 일반적인 관점에서, 포토리소그래피 마스크의 비활성 영역에 기록하기 위한 크기를 찾아야 하고, 이들 크기를 마스크의 활성 영역에 비례하여 분할하여, 잔류 레지스트레이션 변화를 최소화해야 한다.
이 접근법에 대한 수학식 53의 연장은 다음의 타깃 범함수를 초래한다:
Figure 112011053373377-pat00116
이 접근법의 예로서, 도 38a의 CDC 문제가 다시 수학식 54의 최적화에 사용된다. 도 53은, 얻어진 기록 모드의 분할을 나타낸다. 도 53a는 표준 또는 정상적인 기록 모드에 대한 CD 정정 기록 맵을 도시하는 반면, 도 53b는 LowReg 기록 모드에 대한 CD 정정 기록 맵을 나타낸다. 기록 모드의 이러한 분할로 인해 이론적으로 작게는 x차원의 경우 0.36nm 그리고 y차원의 경우 0.38nm의 결과적인 레지스트레이션 변화(3σ수치)를 얻을 수 있다.
이것은 완벽한 성과이며, 실제로 어떠한 레지스트레이션 변화를 야기하지 않는다. 전술한 서로 다른 모든 접근법으로부터 얻은 도 38a의 CDC 문제에 대한 결과를 다음의 표에서 요약한다.
서로 다른 정정 접근법에서의 도 38a의 CDC 문제에 대한 잔류 레지스트레이션 변화
X[nm] Y[nm] 개선율
표준 PW(표준 처리 윈도우) 4.6 8.4
스마트 아포디제이션 3.2 3.5 55%
0°내지 90°모드 시그너쳐에서의 표준 PW 분할 4.1 3.8 45%
LowReg PW(낮은 레지스트레이션) 3.7 4.9 40%
분할 LowReg PW 및 표준 PW 1.4 1.6 80%
스마트 CDC(임계 치수 정정) 0.36 0.38 95%
표 7은, 스마트 아포디제이션, 두 기록 모드로의 작업 분할, LowReg PW가 대략 동일한 장점을 야기함을 보여준다. 두 회전 기록 모드로의 분할은 더 시간 효율적이다. 스마트 CDC 처리는 가장 높은 개선율을 제공하며, 실제로 새로운 또는 추가적인 레지스트레이션 변화를 야기하지 않는다.
당업자는, 본 발명 방법의 적용이 전술한 CDU 문제로 제한되지 않음을 이해할 것이다. 오히려, 제공된 예는 단지, 포토리소그래피 마스크의 CDU 문제에 비춰 본 발명 원리의 여러 가지 양상 중 일부를 증명하고자 한다. 원칙적으로, 레지스트레이션 문제 및 CDU 문제에서의 상기 분리는 임의적이며, 본 발명 방법은, 포토리소그래피 마스크의 에러의 두 타입을 동시에 정정하기 위해 적용될 수 있다는 점을 강조해야 한다.
5.4 오버레이 문제
끝으로, 본 발명 방법은 또한, 오버레이 문제의 정정에 적용될 수 있다. 본 명세서의 제 2 절에서 이미 언급한 바와 같이, 포토리소그래피 마스크의 패턴 요소의 절대적인 설계 위치(absolute design location)는, 층의 스택에 걸쳐 인접한 층이나 동일한 층 상의 패턴 요소의 상대 위치만큼 중요하기보다는, 둘 이상의 서로 다른 포토리소그래피 마스크로부터 인쇄된다. 이점은 또한, 이중 패터닝 접근을 사용하여 인쇄된 패턴 요소에 관련된다.
오버레이 정정 접근은, 다음의 설명에서 마스크 B 및 마스크 C로 불리는 두 개의 서로 다른 포토리소그래피 마스크를 단지 사용하여 예시한다. 논의한 접근법은 또한 둘 이상의 포토리소그래피 마스크의 오버레이 에러의 정정에 적용될 수 있음을 이해해야 할 것이다.
도 54a는, 마스크 B의 초기 레지스트레이션 문제를 나타내며, 도 54b는, 선형 이미징 변환을 실행한 후, 도 54a의 잔여 레지스트레이션 문제를 나타낸다. 도 54a의 마스크 B의 초기 X/Y 사양은 6.59nm이다.
도 55a는, 마스크 C의 초기 레지스트레이션 문제를 도시하며, 도 55b는, 선형 이미징 변환을 실행한 후, 도 55a의 잔여 레지스트레이션 문제를 예시한다. 도 55a의 마스크 C의 초기 X/Y 사양은 8.52nm이다.
도 54 및 도 55로부터, 마스크 B(도 54) 및 C(도 55)의 초기 레지스트레이션 문제가 매우 유사하게 보임을 알 수 있다. S/O 정정을 위한 선형 이미징 변환의 실행은 기저의 레지스트레이션 문제의 이러한 유사성을 감소시킨다. 그러나 도 54b 및 도 55b는 여전히 유사한 거동을 도시한다.
도 56은, 마스크 B 및 C의 초기 오버레이 문제를 나타낸다. 마스크 B 및 C의 오버레이 에러에 대한 초기 X/Y 사양은 14.03nm이다. 오버레이 문제의 이러한 큰 값은, 마스크 B와 마스크 C 사이에 심각한 불일치가 있다는 점을 나타낸다. 잔여의 상당한 차이를 정정해야 한다.
두 마스크의 가능한 최상의 정정을 얻기 위해, 하나의 기록 모드에 대한 모드 타깃 범함수(수학식 40)를 최소화할 것이다. 도 57a는, 마스크 B에 대한 계산된 정정 기록 맵을 도시하고, 도 57b는, 마스크 C에 대한 계산된 정정 기록 맵을 나타낸다. 도 57a에 제공된 정정 기록 맵의 광 세기의 평균 감쇠나 평균 기록 밀도는 마스크 B의 경우 2.35%이고, 도 57b에 도시한 마스크 C의 경우 2.65%이다. 마스크 B에 대한 결과적인 잔여 X/Y 사양은 4.33nm에 이르고, 마스크 C에 대한 경우는 5.28nm에 이른다.
그러나 이것은, 마스크 B와 마스크 C 사이의 오버레이 문제를 판정하는 파라미터는 아니다. 이를 위해, 마스크 B 및 마스크 C의 오버레이의 X/Y 사양이나 이들의 레지스트레이션 차이를 알아야 한다.
마스크 B 및 C의 결과적인 오버레이 X/Y 사양은 8.83nm이고, 이것은 개별 마스크의 XY 사양보다 더 우수하다. 그러나 이 수치는 여전히 너무 높고 개선되어야 한다.
마스크 B와 마스크 C 사이의 오버레이를 구체적으로 개선하게 될 정정 기록 맵을 찾는 것이 이제 목적이다. 이것이 의미하는 점은, 마스크 B가 미리 결정된 설계와 가장 잘 일치하게 하는 것이 타깃이기 보다는 마스크 C와 가장 잘 일치하게 하는 것이 타깃이라는 점이다.
도 59는, 마스크 B와 마스크 C 사이의 오버레이를 개선하기 위한 계산된 정정 기록 맵을 나타낸다. 기록 밀도에 의한 감쇠의 평균값은, 마스크 C(도 57b) 뿐만 아니라 마스크 B(도 57a)의 레지스트레이션 에러를 정정하는데 필요한 기록 밀도의 대략 절반인 2.34%이다. 마스크 B가 마스크 C에 대해 최적화될 때의 남은 오버레이 에러의 결과적인 2D 맵은 도 60에 도시한다. 도 60의 결과적인 잔여 오버레이 에러는 6.53nm의 X/Y 사양을 갖는다. 이 결과는 마스크 B(도 57a) 및 마스크 C(도 57b)에서 레지스트레이션 에러를 개별적으로 정정할 때보다 26% 더 우수하다.
상기 접근법에서, 마스크 C의 정정 성능은 현재까지 사용되고 있지 않다. 수학적으로 오버레이는 마스크 B 및 C의 레지스트레이션의 차이이다. 따라서 마스크 B와 마스크 C가 어떠한 변형을 유도하고 있든지 간에, 두 마스크 사이의 변형의 차이만이 관련된다. 처음에, 두 포토리소그래피 마스크의 기판으로 픽셀을 기록할 수 있는 성능으로부터 이익을 얻을 수 없을 것처럼 보인다. 그러나 이 문제의 공식화 시 고려해야 할 물리적인 제약이 있다. 픽셀을 기판에 기록할 때 포토리소그래피 마스크의 광 투과도를 개선하거나 네거티브 기록 밀도로 픽셀을 기록할 수 없다. 이러한 기재가 의미하는 점은, 수학식 40에 의해 표현된 제약 대신에 다음의 제약을 사용하여 수학식 41의 타깃 범함수를 풀 수 있다는 점이다:
Figure 112011053373377-pat00117
최적 정정 기록 맵의 해법의 결과를 두 서로 다른 맵으로 분할해야 한다. 첫 번째 해법은, 최적 정정 기록 맵의 포지티브 부분이며, 마스크 B에 대한 정정 기록 맵을 형성하며, 두 번째 해법은, 이 맵의 네거티브 부분이며, 마스크 C에 대한 정정 기록 맵을 형성한다.
61a는, 기록 밀도 크기(
Figure 112011053373377-pat00118
)의 포지티브 부분을 실현하는 마스크 B에 대한 계산된 오버레이 정정 기록 맵이고, 도 61b는, 기록 밀도 크기(
Figure 112011053373377-pat00119
)의 포지티브 부분을 실현하는 마스크 C에 대한 계산된 오버레이 정정 기록 맵이다.
선형 이미징 변환의 실행 이후 시뮬레이트된 결과적인 잔여 오버레이 에러를 도 62에 나타낸다. 오버레이 X/Y 사양(3σ수치)에 대한 결과적인 에러의 추정치는, 도 60에 나타낸 정정 처리의 결과보다 16% 더 우수한 5.5nm이다.
다음의 기재가 이 절을 요약한다: 초기 오버레이 문제는 14.03nm이며, 마스크 B 및 마스크 C를 설계 위치에 대해 개별적으로 정정한 후, 잔여 오버레이 문제는 8.83nm가 남는다(접근법 1). 마스크 B와의 가장 우수한 오버레이를 타깃으로 한 마스크 C의 정정은 결국 오버레이 에러 6.53nm를 야기한다(접근법 2). 끝으로, 두 마스크의 정정 이후, 나머지 오버레이 에러는 5.5nm로 감소한다(접근법 3).
접근법 2가 접근법 1보다 우수함이 명백하며, 그 이유는, 서로 다른 포토리소그래피 마스크가, 예컨대 전자-빔 기록자 지문과 같이, 레지스트레이션 문제의 유사한 정정 불가능한 부분을 가질 수 있게 될 수 있기 때문이다. 접근법 3은, 훨씬 더 효율적이며, 그 이유는 정정이 두 마이크로리소그라피 마스크에 대해 실행되어 공통 오버레이 타깃을 실행하기 때문이다.
본 절에서, 단지 하나의 간단한 오버레이 문제에 대해 본 발명의 방법을 적용하여 일반 원리를 예시하는 것이 기재되어 있다. 당업자는, 본 발명의 방법이 논의한 예로 제한되지 않음을 이해할 것이다. 오히려, 기재된 예는 단지, 이러한 종류의 포토리소그래피 마스크 문제의 해법에 대한 본 발명 원리의 적용 가능성을 증명하기 위한 것이다.
6. 이론적 배경
다음의 설명에서, 이론적 배경 중 일부를, 선행하는 절에서 기재한 본 발명의 방법의 서로 다른 양상의 논의를 기저에 두고, 개괄적으로 설명한다.
포토리소그래피 마스크의 레지스트레이션 에러를 정정하기 위해, 서로 다른 종류의 픽셀을 사용한다. 서로 다른 종류의 픽셀의 적용으로 인해, 특정한 종류의 픽셀을 기록함으로써, 포토리소그래피 마스크의 기판상에서 패턴 요소의 시프트와 광 투과도 분포의 감쇠나 변동 사이의 관계를 조정할 수 있다. 예컨대, 광 투과도 분포의 동일한 감쇠나 변동을 도입하지만, 제 1 기판 표면상에서 패턴 요소의 서로 다른 시프트를 갖거나 서로 다른 레지스트레이션 변화를 가짐으로써, 마스크 기판에서 서로 다른 종류의 픽셀을 도입할 수 있거나, 서로 다른 종류의 픽셀을 기록하도록 단순히 표현된다.
일반적으로, 선행하는 절에서 이미 개괄적으로 설명한 바와 같이, 픽셀은, 기판 소재의 밀도를 국부적으로 변화시킴으로써, 포토리소그래피 마스크의, 기판 소재, 보통 용융 실리카에 응력을 도입한다. 펄스 지속기간 및/또는 펄스 에너지 및/또는 반복율과 같이, 펨토초(femtosecond) 레이저 원의 레이저 빔의 몇몇 파라미터를 변경함으로써, 확장 픽셀 및 수축 픽셀이 기판에 도입될 수 있거나 기판에 기록될 수 있다. 확장 픽셀을 기록함으로써, 기판 소재의 밀도가 감소하는 반면, 수축 픽셀을 기록함으로써, 기판 소재의 밀도가 증가한다.
서로 다른 기록 모드는 서로 다른 물리적인 조건으로 포토리소그래피 마스크의 기판에 픽셀을 기록하여 서로 다른 속성 및/또는 서로 다른 형상의 서로 다른 종류의 픽셀을 야기하는 것으로 정의된다. 서로 다른 기록 모드는 다음의 파라미터 중 하나 이상을 변화시켜 실행될 수 있다:
(a) 레이저 빔 펄스 파워: 레이저 원의 레이저 빔의 펄스 파워가 픽셀의 크기를 변화시킨다. 레이저 펄스 에너지를 감소시키면, 픽셀은 더 작아진다. 더 작은 픽셀의 효과는 픽셀 밀도를 증가시켜 보상될 수 있다. 픽셀 밀도를 변화시키지 않고 픽셀 크기를 감소시키면, 포토리소그래피 마스크의 표면에 패턴 요소의 시프트는 더 작아진다. 실제로, 최소 펄스 에너지는 포토리소그래피 마스크의 기판 소재의 브레이크다운 임계치에 의해 제한된다. 펄스 지속기간, 광 빔의 NA(Numerical Aperture) 및/또는 빔 다이버전스 및 한 위치에 입사한 펄스 수를 변경시킴으로써, 기판 소재의 브레이크다운 임계치를 제어할 수 있어서, 펨토초 레이저 시스템의 광 펄스의 펄스 에너지를 감소시킬 수 있다.
(b) 레이저 빔 픽셀 밀도: 포토리소그래피 마스크의 기판의 표면에 평행한 서로 다른 방향에서 서로 다른 선형 픽셀 밀도를 기록하여, 마스크 기판의 비대칭 연장을 야기할 수 있다. 이것이 의미하는 점은, 예컨대 x 및 y 방향에서와 같이 마스크 표면에 평행한 두 서로 다른 수직 방향에서 픽셀이 서로 다른 선형 픽셀 밀도로 기록된다는 점이다. 이러한 효과는, 마스크 기판상의 패턴 요소의 시프트를 제어하거나 레지스트레이션을 제어하는 유용한 수단을 제공한다.
(c) 레이저 빔 균일 밀도: 픽셀은 레이저 빔으로 기록될 수 있고, 이웃한 픽셀 사이의 거리는 개별 픽셀 크기보다 훨씬 더 작다. 따라서, 의사(quasi) 연속 구조가 마스크 기판에 기록된다. 이러한 종류의 픽셀의 경우, 바람직하게도, 매우 낮은 레이저 펄스 파워를 사용한다. 그러한 종류의 픽셀을 사용하는 것의 장점은, 그러한 픽셀이 레지스트레이션 변화를 초래하지 않을 뿐만 아니라, 포토리소그래피 마스크의 기판의 광 투과도를 변화시킨다는 점이다. 가시 스펙트럼 범위에서 가시 픽셀을 갖지 않는 이러한 구조는 서로 다른 물리 속성의 대형 소재에서 층과 더 유사하다. 만약 이 층이 충분히 균일하다면, 이것은 UV 또는 DUV 빔 속성에 덜 영향을 미치며, 산란이 일어나지 않으며, 이 층은 어떤 인공적 주기성을 도입하지 않아, 회절 효과를 생성하지 않는다. 레이저 빔을 그러한 레이저 빔 파라미터를 갖는 포토리소그래피 마스크에 보내는 것을 무-픽셀(pixel-less) 기록이라고 한다.
레지스트레이션 에러를 신뢰할 만하게 정정하기 위해, 포토리소그래피 마스크의 기판 상으로의 서로 다른 파라미터를 갖는 펨토초 광 펄스의 효과가 알려져야 한다. 이를 위해, 서로 다른 파라미터나 기록 모드를 갖는 펨토초 광 펄스와 레이저 빔의 픽셀 밀도를, 포토리소그래피 마스크의 전체 기판에서 판정해야 한다. 추가 계산을 실행하기 위해, 마스크 기판의 표면은 임의의 요소로 분할된다. 한 요소나 한 기본 영역 내에서, 하나의 기록 모드와 하나의 밀도를 갖는 픽셀이 기록된다.
직접적인 문제의 해법은 레이저 빔의 작용으로 인한 변형을 계산할 것이다, 즉 레지스트레이션 변화를 기록 모드와 픽셀 밀도나 레이저 빔 파라미터의 함수로서 계산할 것이다. 적용될 레지스트레이션 정정을 판정하기 위해, 반대의 문제(inverse problem)를 해결해야 한다. 이것이 의미하는 점은, 레지스트레이션의 원하는 변화 및/또는 광 투과도 분포나 마스크 기판의 감쇠의 원하는 변동을 제공하는 레이저 빔 파라미터나 기록 모드와 기록 밀도의 맵을 계산하는 것이 문제라는 점이다.
포토리소그래피 마스크의 기판은 보통 석영과 같이 매우 단단한 소재로 이루어져 있다(또한 2절과 비교). 레지스트레이션 에러 및/또는 광 투과도 에러의 정정에 필요한 변형의 크기는 매우 작으며, 기판 소재의 탄성 제한치를 초과하지 않는다. 세 개의 서로 다른 그룹의 수학식이 다음의 접근법에서 중요하다: 정적 수학식, 기하학적 수학식 및 물리적 수학식. 정적 수학식에서, 표면 힘, 부피 힘(bulk force) 및 응력의 평형 상태가 예컨대 정지 뉴턴의 법칙에서와 같이 마스크의 기본 요소에 작용한다. 기하학 수학식인 코시 공식(Cauchy formulas)을 활용하여 이동 필드로부터 변형 텐서(strain tensor)를 유도할 수 있다. 모든 변형 필드가 이동 필드로부터 유래할 수는 없으므로, 호환 조건을 고려해야 한다. 열적 평형과 단열 근사에서, 일반 훅의 법칙(물리 수학식)은, 탄성체의 경우, 변형 텐서와 응력 텐서 사이에 선형 연결이 있음을 나타낸다.
일반성의 손실 없이, 포토리소그래피 마스크 바디의 전체 기판은 직사각형 영역이나 기본 영역의 시스템으로 기술할 수 있으며, 여기서 모든 기본 영역은 일정한 기록 모드와 균일한 픽셀 밀도를 갖는다. 모든 요소나 기본 영역은, 영률, 프와송의 비 등과 같은 마스크 기판의 새로운 또는 변형된 물리 파라미터를 갖는 새로운 또는 변형된 평형 형상을 특징으로 할 수 있다. 유도된 변화는 기록 부하, 즉 마스크 기판의 물리 파라미터에 비례한 우수한 근사이다.
결과적인 이동 필드를, 픽셀 밀도(즉, 직접적인 문제)와 레이저 빔 파라미터나 기록 모드의 함수로서 계산하기 위해, 다음의 두 접근법 중 하나를 사용한다(여기서 오일러의 접근법과 몇몇 접근법이 더 있다):
1. 기본 영역의 경계에 작용하는 모든 내부 힘/응력을 동등하게 하는 변형 필드 계산, 또는
2. 포토리소그래피 마스크의 전체 기판에 대한 최소 포텐셜 에너지를 찾음을 통한 변형 필드 계산.
두 접근법은 기록 모드의 주어진 분포 시에 기록 크기에 대한 이동의 선형의존성을 제공한다. 두 접근법으로 인해, 기록 밀도나 픽셀 밀도와 기록 모드의 인식을 기반으로 해서 레이저 빔에 의해 유도된 이동을 계산할 수 있다.
반대의 문제가 일반적인 경우에 공식화할 될 수 있다. 타깃 이동 필드를 야기하는 기록 모드와 픽셀 밀도의 맵을 찾아야 한다. 일반적으로, 기록 모드는 레이저 빔의 이산 파라미터 세트이고, 이 문제는 최적화 문제로 공식화해야 한다. 원하는 이동 필드에서 최소치(또는 상한)를 갖는 타깃 범함수를 구성해야 한다. 최적화된 기록 및 픽셀 밀도 맵이나 최적화된 레이저 빔 파라미터는, 타깃 범함수를 최소화하는 이동 필드를 생성한다.
반대의 문제를 해결하는, 즉 레지스트레이션 및/또는 감쇠의 원하는 변화(또는 광 투과도 변동)를 제공하는 기록 모드 및 기록 밀도나 픽셀 밀도의 맵을 계산하는 가능한 방법은:
1. 기록 밀도의 최적화는 MLS(Moving Least Squares: 이동 최소 제곱) 접근법을 사용하여 실행될 수 있지만, 이산 기록 모드 맵의 완벽한 셈은 작은 기본 영역인 경우에 매우 어려울 수 있다.
2. 모드 맵의 이산 파라미터는, 하나의 기본 영역이 서로 다른 기록 모드의 중첩을 가질 수 있다는 가정 하에서 연속 아날로그로 변환될 수 있다. 기본 요소의 모든 물리적 및 형상 속성 변화가 대응하는 기록 모드의 기여에 비례하는 경우에, 타깃 범함수는 잔여 결핍의 제곱이다. 이때, 변동 공식이 선형 문제를 야기할 것이다.
다음에서, 제 2 접근법을 사용할 것이다. 대부분의 경우, 마스크 구부러짐 현상과 기판 두께의 변경을 무시할 수 있을 때, 3차원(3D) 문제는 2차원(2D) 문제로 재공식화할 수 있다. 모든 직사각형 요소나 모든 직사각형 기본 영역은 직사각형 평행육면체인 포토리소그래피 마스크의 기판을 나타낼 것이다.
선형 탄성을 가진 마스크 기판에 대한 일반 훅의 법칙은 다음과 같이 2D 형태로 나타낼 수 있다:
Figure 112011053373377-pat00120
여기서 2D 미소변형 텐서(
Figure 112011053373377-pat00121
)는 성분(
Figure 112011053373377-pat00122
)을 갖고 응력 텐서(
Figure 112011053373377-pat00123
)는 성분(
Figure 112011053373377-pat00124
)을 갖는다.
2D 근사에서, 마스크 기판 표면에 접선 방향 및 수직인 마스크 기판 표면에 작용하는 외부 힘은 없다고 가정한다. 그러한 가정의 결과는 다음과 같다:
Figure 112011053373377-pat00125
등방 탄성(isotropic elasticity)을 갖는 마스크 기판의 경우, 변형 텐서의 전단 변형(shear) 성분(
Figure 112011053373377-pat00126
)이 또한 0이어야 한다. 성분(
Figure 112011053373377-pat00127
)의 값은 무시할 수 있으며, 그 이유는, 이것이 (
Figure 112011053373377-pat00128
)의 가정으로 인해 변형 에너지에 기여하지 못하기 때문이다(수학식 2 참조).
공식을 간략화하기 위해, 변형 텐서(
Figure 112011053373377-pat00129
)를 성분(
Figure 112011053373377-pat00130
)을 갖는 변형 벡터(
Figure 112011053373377-pat00131
)로 감소시키는 (
Figure 112011053373377-pat00132
)를 정의함으로써, 공학적 표기법을 변형 텐서(
Figure 112011053373377-pat00133
)에 대해 사용할 것이다. 이 절차를 응력 텐서(
Figure 112011053373377-pat00134
)에 적용함으로써, 즉 (
Figure 112011053373377-pat00135
)를 도입함으로써, 변형 센서(
Figure 112011053373377-pat00136
)는 또한 다시 성분(
Figure 112011053373377-pat00137
)을 갖는 변형 벡터(
Figure 112011053373377-pat00138
)로 감소한다. (변환 관점에서 볼 때,
Figure 112011053373377-pat00139
Figure 112011053373377-pat00140
는 벡터가 아니다.) 정의한 표기법을 사용하여, 수학식 1에 대한 훅의 법칙은 다음의 형태로 재기록할 수 있다:
Figure 112011053373377-pat00141
4차 탄성 텐서(
Figure 112011053373377-pat00142
)는 그에 따라 2차 텐서(
Figure 112011053373377-pat00143
)로 감소한다.
포토리소그래피 마스크의 석영 기판에서와 같이 등방성 소재의 경우, 탄성 텐서는 두 개의 독립 성분(E 및 μ)만을 가지며 다음의 형태를 갖는다:
Figure 112011053373377-pat00144
여기서 영률은 E(x,y)로 표기하며, 프와송의 비는
Figure 112011053373377-pat00145
로 표기한다.
포토리소그래피 마스크의 기판에서 이동 필드는 입사 레이저 빔에 수직한 평면에서 벡터 함수(
Figure 112011053373377-pat00146
)로 나타낼 수 있다. 일반적인 경우에, 미소변형 텐서 필드(
Figure 112011053373377-pat00147
)와 2D 근사에서 미소변형 벡터 필드(
Figure 112011053373377-pat00148
)는 코시 공식을 사용하여 이동 필드(
Figure 112011053373377-pat00149
)로부터 유도할 수 있다. 미소변형 벡터 필드는 그리하여 형태를 갖는다:
Figure 112011053373377-pat00150
여기서, 행렬 연산자(A)는 부분 미분 요소로 구성된다:
Figure 112011053373377-pat00151
변형 분포나 변형 밀도(P(x,y,z))의 전체 포텐셜 에너지(P)는 적분을 통해 얻는다:
Figure 112011053373377-pat00152
2D 근사에서, 변형 분포는 z에 의존하지 않는다. 이때, 변형 벡터(
Figure 112011053373377-pat00153
)에 의해 야기된 응력 벡터(
Figure 112011053373377-pat00154
)로부터 얻은 2D 포텐셜 에너지 밀도는 두 벡터의 스칼라 곱의 적분에 비례하며, 그 이유는 변형 벡터로부터 얻은 힘이 변형 벡터의 방향에 평행하기 때문이다. 변형 벡터 필드(
Figure 112011053373377-pat00155
)로부터 얻은 응력 벡터 필드(
Figure 112011053373377-pat00156
)에 의해 야기된 포텐셜 에너지 밀도(P(x,y))는 그에 따라 다음과 같이 주어진다:
Figure 112011053373377-pat00157
수학식 3의 형태인 훅의 법칙을 사용하여 변형 벡터 필드(
Figure 112011053373377-pat00158
)를 대체하고, 변형 벡터 필드(
Figure 112011053373377-pat00159
)를 수학식 5의 이동 필드로 교체함으로써, 2D에서의 포텐셜 에너지 분포나 포텐셜 에너지 밀도는 다음의 형태를 갖는다:
Figure 112011053373377-pat00160
포텐셜 에너지의 최소치의 기준을 만족하는 이동 필드(
Figure 112011053373377-pat00161
)를 정의하는 이상적인( 그러나 유일한 것은 아닌) 방법으로 유한 요소형 접근법이 있다. 일반성 손실 없이, 포토리소그래피 마스크의 전체 기판은, 동일한 크기를 갖는 M*M 직사각형 요소(α) 세트나 M 행 및 M 열을 갖는 정방 행렬로 표현할 수 있다.
포텐셜 에너지(P)의 다음의 계산을 위해, 마스크 기판은 동일한 크기의 M*M개의 작은 직사각형 요소로 분할된다. 총 포텐셜 에너지(P)는 개별적인 작은 직사각형 요소(α)의 포텐셜 에너지(Pα)의 합이다.
Figure 112011053373377-pat00162
직사각형 요소(α)의 포텐셜 에너지(Pα)는 이 요소(α)의 영역(Vα)에 걸쳐 2D 포텐셜 에너지 밀도를 적분함으로써 얻는다:
Figure 112011053373377-pat00163
(11)
2D 포텐셜 에너지 밀도
Figure 112011053373377-pat00164
는 식 (9)로부터 얻어진다:
Figure 112011053373377-pat00165
(12)
지수
Figure 112011053373377-pat00166
는 포토리소그래피 마스크의 기판을 덮는 모든 직사각형 요소
Figure 112011053373377-pat00167
를 카운트한다. 각 직사각형 요소
Figure 112011053373377-pat00168
는 너무 작아서 탄성 텐서의 2차 텐서장 H(x,y)가 직사각형 요소
Figure 112011053373377-pat00169
내에서 상수 텐서
Figure 112011053373377-pat00170
로 치환될 수 있다고 가정한다.
직사각형 요소
Figure 112011053373377-pat00171
가 작다는 가정을 다시 활용함으로써, 벡터장 u(x,y)가 그 선형 근사로 치환될 수 있다. 이러한 목적을 위해, 코너의 수에 따르는 지수들 0, 1, 2, 3을 갖는 코너 좌표 Ni의 1차 형식 함수가 도입된다.
Figure 112011053373377-pat00172
(13)
지수 g는 섭동이 일어나지 않은 그리드 위치, 즉, 포토리소그래피 마스크의 기판으로의 모드의 기록 또는 기판상으로의 광 펄스의 인가 이전의 위치를 나타낸다. 코너 좌표의 변위는 아래에 의해 정의된다:
Figure 112011053373377-pat00173
(14)
이하에서, 직사각형 요소
Figure 112011053373377-pat00174
마다, 벡터장 u(x,y)가 코너 좌표(노드)의 변위의 선형 보간에 의해 표현된다.
Figure 112011053373377-pat00175
(15)
이 근사는 실제 변위장(real displacement field) u(x,y)의 양호한 연속 근사를 제공한다. 요소
Figure 112011053373377-pat00176
의 포텐셜 에너지
Figure 112011053373377-pat00177
는 직사각형 요소
Figure 112011053373377-pat00178
의 코너 변위의 함수로서 표현된다.
직사각형 요소
Figure 112011053373377-pat00179
내에서 변위 벡터장 u(x,y)를 통해 포텐셜 에너지
Figure 112011053373377-pat00180
를 나타냄으로써, 수학식 12는 아래와 같이 재기록될 수 있다:
Figure 112011053373377-pat00181
(16)
직교 좌표 x,y에 대한 형상 함수(form functions) N의 1차 도함수는 아래로 제공된다:
Figure 112011053373377-pat00182
(17)
N = 1/m2, m은 요소 또는 직사각형 영역 크기이고, 따라서 m = MaskSize/M이다.
요소 체적 또는 요소 면적에 대해 적분을 실행하면, 변형된 요소
Figure 112011053373377-pat00183
의 포텐셜 에너지
Figure 112011053373377-pat00184
는 요소 코너
Figure 112011053373377-pat00185
의 변위값
Figure 112011053373377-pat00186
의 스퀘어(square) 형태로 표현될 수 있다.
Figure 112011053373377-pat00187
(18)
근사로서, 픽셀에 의해 점유되는 체적은 무시할 수 있는 것으로 고려되고, 픽셀은 포토리소그래피 마스크의 기판의 2D 강성에 크게 영향을 주지 않는 것으로 고려된다. 이것은 포텐셜 에너지 밀도
Figure 112011053373377-pat00188
가 근본적으로는 레이저 빔 파라미터의 함수로서 요소
Figure 112011053373377-pat00189
내에서 변화하지 않거나 레이저 빔 기록의 타입 및 량에 의존하지 않는다. 그러므로, 수학식 18은 아래로 변화한다:
Figure 112011053373377-pat00190
(19)
이것이 여기에서 논의되는 간략화된 방법의 핵심 문장이다.
이하, 레이저 빔이 포토리소그래피 마스크의 이 부분 상으로 지향된 후에 모든 직사각형 요소
Figure 112011053373377-pat00191
만이 새로운 평형 상태를 갖는다고 가정한다. 코너들
Figure 112011053373377-pat00192
의 새로운 평형 좌표는
Figure 112011053373377-pat00193
라고 한다. 레이저 빔에 의해 유도되는 변형으로 인해 변형되지 않은 상태에서 새로운 평형 위치로의 포텐셜 에너지의 변화는 역변화와 반대임은 자명하다. 모든 요소
Figure 112011053373377-pat00194
가 레이저 빔을 포토리소그래피 마스크의 이 부분 상으로 지향시킨 후 포텐셜 에너지에 대해 표현상 새로운 평형 형상을 갖는다는 사실로 인해, 변위는 새로운 평형 상태로부터 시작하여 카운트되어야 한다. 수학식 19는 포토리소그래피 마스크의 기판에서의 변형이 플랫 필드(flat field)
Figure 112011053373377-pat00195
로부터 시작되고 있다는 가정하에 실제로 도출되었다. 모든 직사각형 요소
Figure 112011053373377-pat00196
의 평형 위치로부터의 변형에 관하여 포텐셜 에너지를 정의하면, 수학식 19는 아래로 변환된다:
Figure 112011053373377-pat00197
(20)
라그랑주 변형 원리는 이하 포토리소그래피 마스크의 기판의 포텐셜 에너지에 적용된다. 라그랑주 변형 원리는 보디(body)의 평형 위치가 최소의 포텐셜 에너지를 갖는 것과 모든 변위의 어떤 부분적인 변형이 0과 같다는 것을 나타낸다. 본 예에서, 이것은 노드의 모든 좌표에 대해 아래의 선형 수학식으로 유도한다:
Figure 112011053373377-pat00198
(21)
(M+1)x(M+1)개의 노드를 갖는 MxM 셀에 대해
Figure 112011053373377-pat00199
및 모든 노드가 2개의 좌표를 갖는다. 수학식 13 내지 15에 정의된 바와 같이, 좌표
Figure 112011053373377-pat00200
를 갖는 모든 내부 노드가 인접하는 모든 노드에 대한 성분들에서 4배로 표현된다. 모든 내부 노드는 한 행씩 좌측에서 우측으로 및 아래쪽에서 위쪽으로 카운트되고, 모든 노드의 모든 좌표가 아래의 방법으로 카운트된다:
Figure 112011053373377-pat00201
(22)
수학식 (17)에서 모든 도함수를 수집함으로써, 그리고 적절한 부호로 수학식 (22)의 정의를 사용함으로써, 수학식 (21)은 아래로 변환된다:
Figure 112011053373377-pat00202
(23)
수학식 23은 이전 섹션에서 논의된 본 발명의 원리의 모든 상이한 양태의 설명에 대한 개시 포인트이다. 행렬
Figure 112011053373377-pat00203
의 행렬식은 회전 및 병진 운동에 비해 포토리소그래피 마스크의 전체 기판의 포텐셜 에너지의 불변성으로 인해 0이다. 이 조건은 포토리소그래피 마스크의 결과적인 병진 운동 및 회전에 대해 한 조건을 부가함으로써 항상 자동으로 충족된다. 이것은 정규화된 행렬
Figure 112011053373377-pat00204
의 역행렬(2차 텐서)
Figure 112011053373377-pat00205
를 계산하는 것이 항상 가능한 것을 의미한다. 그래서, 알려진 평형에 대한 수학식 23을 사용하면, 직사각형 요소
Figure 112011053373377-pat00206
의 변형으로 인해 마스크 기판의 일부분 상으로의 레이저 빔의 로컬 지향으로부터 기인하는 변형의 계산이 가능하게 된다.
Figure 112011053373377-pat00207
(24)
결과적인 변형을 원인이 되는 레이저 빔과 또는 더욱 상세하게는 레이저 빔 파라미터와 또는 레이저 빔의 기록 모드와 링크하기 위해, 결과적인 변형은 기록 모드에 대한 정확한 전문용어로 표현된다. 직사각형 요소
Figure 112011053373377-pat00208
에서의 균일한 기록의 경우에는, 그 평형 변형이 기록된 픽셀의 크기나 수 또는 광 강도의 유도된 감쇠에 비례한다고 가정한다. 요소
Figure 112011053373377-pat00209
의 기록 밀도는
Figure 112011053373377-pat00210
로 표기되고, 단위 기록 밀도에 대한 코너 좌표의 변화는
Figure 112011053373377-pat00211
로 표기된다. 기록 모드에 있어서, 즉, 모든 요소
Figure 112011053373377-pat00212
에 대해 동일한 레이저 빔 파라미터를 사용하고 기록 밀도나 픽셀 밀도만을 변화하면, 수학식 24는 아래의 형태로 재기록될 수 있다:
Figure 112011053373377-pat00213
(25)
k에 대한 합산을 행하고
Figure 112011053373377-pat00214
를 나타내면, 수학식 25는 아래의 형태로 된다:
Figure 112011053373377-pat00215
(26)
이 수학식은 내부 노드
Figure 112011053373377-pat00216
에서의 변형이 모든 직사각형 요소
Figure 112011053373377-pat00217
의 기록 진폭
Figure 112011053373377-pat00218
의 선형 조합이다.
수학식 26은 레이저 빔의 기록이 고정된 모드로 또는 레이저 빔 파라미터의 고정된 세트로 실행된다. 기록 모드의 변형 속성은 단위 기록을 위한 평형 변형 변위
Figure 112011053373377-pat00219
로 부호화된다. 실제로, 그들 8개의 변위 성분은 요소의 2개의 가상 변위가 고정되고 회전이 또한 포텐셜 에너지에 기여하지 않으므로, 5개의 독립적인 성분들의 함수이다. 그들 5개의 독립적인 성분을 사용하면, 단위 벡터의 직교 세트를 구성하는 것이 가능해진다.
Figure 112011053373377-pat00220
(27)
여기에서
Figure 112011053373377-pat00221
는 기저(basis)
Figure 112011053373377-pat00222
를 기저
Figure 112011053373377-pat00223
로 변환하는 행렬이다.
Figure 112011053373377-pat00224
(28)
이 세트의 단위 벡터로의 투영이 기록 모드의 표현 즉, 세트 레이저 빔 파라미터로서 사용된다. 이하에서, 기록 모드는 TS 또는 툴 기호로서 축약되는 기록 툴 기호로서 표기된다. TS는 기록 툴의 또는 선택된 타입의 기록에 대한 레이저 시스템의 또는 미리 정해진 세트의 물리적인 종단(end) 기하학적 속성을 갖는 레이저 빔 파라미터의 세트에 대한 속성을 나타낸다. 수학식 27 및 28의 표기를 사용하면, 수학식 26은 아래로 재기록될 수 있다:
Figure 112011053373377-pat00225
(29)
여기에서,
Figure 112011053373377-pat00226
는 법선 방향으로의 변형 요소이고,
Figure 112011053373377-pat00227
는 코너 요소의 변위이다.
다수의 기록 프로세스에서 상이한 기록 모드를 사용함으로써 여러 세트의 파라미터를 갖는 레이저 빔의 반복 적용에 의해 유도되는 변형의 선형 조합의 가정을 사용함으로써, 결과적인 변형은 아래와 같이 정의될 수 있다:
Figure 112011053373377-pat00228
(30)
여기에서 m은 모든 상이한 기록 모드 R을 고려한다.
앞서 상기에 나타낸 바와 같이, 변형은 기록 밀도 진폭
Figure 112011053373377-pat00229
의 공간에서 연산하는 선형 연산자 및 유도된 노드 변위
Figure 112011053373377-pat00230
의 공간에서 0이 아닌 값을 갖는 법선 방향
Figure 112011053373377-pat00231
으로의 변형 요소로 표현될 수 있다. 수학식 27 및 28을 사용함으로써 수학식 29는 아래의 형태를 갖는다:
Figure 112011053373377-pat00232
(31)
여기에서, 직사각형 그리드 노드
Figure 112011053373377-pat00233
의 변위는 좌측에서 우측으로 및 아래쪽에서 위쪽으로 쌍 x,y로 카운트되고,
Figure 112011053373377-pat00234
는 직사각형 요소
Figure 112011053373377-pat00235
내의 모드 m에 대한 기록 밀도의 진폭이며,
Figure 112011053373377-pat00236
는 모드 m에 대한 툴 기호(또는 모드 기호)이다.
이하 초기 레지스트레이션 문제
Figure 112011053373377-pat00237
가 한 세트의 위치 Xj, Yj, j=1,...,L에 정의된다고 가정한다. 레지스트레이션 문제
Figure 112011053373377-pat00238
는 포토리소그래피 마스크의 기판 상으로 레이저 빔을 로컬 지향시킴으로써 수정되어야 한다. 상기 논의된 근사에서, 변형이 모든 초기 레지스트레이션 문제에 부가된다. 그러나, 변위는 노드
Figure 112011053373377-pat00239
에서만 알려져 있다. 직사각형 요소
Figure 112011053373377-pat00240
내부의 변형이 선형 동작을 나타낸다는 가정을 사용하면, 행렬이 생성될 수 있어 노드
Figure 112011053373377-pat00241
에서의 변위의 크기를 원하는 위치
Figure 112011053373377-pat00242
에서의 크기로 변환시킨다. 이 변환은 수학식 13 및 14를 사용함으로써 실행될 수 있다.
Figure 112011053373377-pat00243
(32)
여기에서
Figure 112011053373377-pat00244
는 위치 Xi, Yi에서의 계산된 변위
Figure 112011053373377-pat00245
의 선형 조합의 또는 선형 보간의 결과이다. 행렬 Mij
Figure 112011053373377-pat00246
의 차원을 갖는다. 실제로, 이 행렬은 모든 위치가 이미 논의된 바와 같이 요소
Figure 112011053373377-pat00247
의 4개의 코너만을 사용하여 보간되기 때문에 8개의 대각 요소만을 갖는다.
그래서, 포토리소그래피 마스크의 기판 상의 선택된 위치 i에서의 결과적인 레지스트레이션은 아래이다:
Figure 112011053373377-pat00248
(33)
포토리소그래피 마스크가 웨이퍼 상의 포토레지스트를 조명하기 전에, 스캐너 또는 스텝퍼(stepper)가 마스크 상의 패턴 요소의 변위 에러 또는 광학 조명 시스템에 의해 유도되는 이미징 에러를 정정하기 위해 선형 이미징 변환을 실행할 수도 있다. 선형 이미징 변환은 현재 거의 대부분의 스캐너에서 실현된다. 선형 이미징 변환은 6개의 파라미터로 기술될 수 있다. 2개의 파라미터 mx, my는 이미지 시프트를 설명하고, 2개의 파라미터 sx, sy는 이미지 크기 조정(scaling)을 나타내며, 2개의 또 다른 파라미터 rx, ry는 일반적인 회전을 나타낸다. 선형 이미징 변환의 이들 6개의 파라미터를 이용하여, 이미지 x,y의 모든 점이 아래 수학식에 따라 변환된다:
Figure 112011053373377-pat00249
(34)
전형적으로, 크기 조정 및 회전 파라미터는 1ppm(parts per million) 이하의 매우 작은 크기를 가지므로,
Figure 112011053373377-pat00250
Figure 112011053373377-pat00251
같은 고차 성분이 무시될 수 있다. 이것은 이미지 변환이 순수한 설계 위치들을 이용하여 실행될 수 있는 것을 의미한다.
선형 이미징 변환은 행렬 형태로 표현될 수 있다:
Figure 112011053373377-pat00252
(35)
여기에서,
Figure 112011053373377-pat00253
는 모든 스캐너 이미지 변환 계수의 벡터이다:
Figure 112011053373377-pat00254
(36)
몇몇 진보된 스캐너는 더더욱 복잡한 비선형 이미징 변환을 제공할 수 있지만, 이미징 변환은 여전히, 수학식 36에 따르는 변환 파라미터의 더 넓은 세트와 함께 수학식 35의 형태로 표현될 수 있다.
주어진 레지스트레이션 문제
Figure 112011053373377-pat00255
를 해결하기 위해, 직사각형 요소
Figure 112011053373377-pat00256
및 스캐너 변환 계수
Figure 112011053373377-pat00257
에서의 기록 모드 m의 진폭들
Figure 112011053373377-pat00258
은 타깃 범함수 Φ를 최소화하는 것으로 확인되어야 한다, 즉, 해결되어야 한다:
Figure 112011053373377-pat00259
(37)
타깃 범함수 Φ를 최소화하기 위해, 양 파라미터 즉, 변위
Figure 112011053373377-pat00260
및 선형 변환 파라미터
Figure 112011053373377-pat00261
는 레지스트레이션 문제
Figure 112011053373377-pat00262
를 최소화하기 위해 동시에 변화한다. 이것은 논의된 방법에 대한 핵심 설명이다. 수학식 37은 또한, 레지스트레이션 에러를 최소화하기 위해 (변위
Figure 112011053373377-pat00263
로 표현되는) 포토리소그래피 마스크의 기판 내에 몇몇 변형을 생성하는 것이 유리할 수도 있음을 나타낸다. 그러므로, 수학식 37의 해법에 따르는 변위
Figure 112011053373377-pat00264
를 도입하고 수학식 37의 해법에 따르는 선형 이미징 변환
Figure 112011053373377-pat00265
를 실행하면, 포토리소그래피 마스크의 최상의 가능한 성능을 결과로 얻는다.
계산의 관점에서, 수학식 37을 아래로 변환하는 나머지 변위의 평균 제곱으로서 타깃 범함수를 선택하는 것이 편리하다:
Figure 112011053373377-pat00266
(38)
티호노프 정규화(Tikhonov regularization)가 타깃 범함수에 부가되어 그 결과가 물리적으로 타당한 해법을 확실하게 정의하게 만든다. 정규화 계수 λ는 그들이 해법에 상당한 변화를 도입하지 않게 하기에 충분히 작게 선택되어야 한다. 수학식 38의 문제점은 분석적인 해법을 가질 수 있지만, 기록 밀도
Figure 112011053373377-pat00267
의 모든 진폭은 모든 모드 m에 대해 양이라는 제약이 있다. 기록 모드
Figure 112011053373377-pat00268
의 진폭이 기록 모드 특정 관계를 야기하는 포토리소그래피 마스크의 기판의 몇몇 기록 모드 특정 손상 임계값을 초과하지 않을 수 있다는 추가의 제약이 존재한다:
Figure 112011053373377-pat00269
(39)

Claims (97)

  1. 포토리소그래피 마스크의 다수의 에러를 정정하는 방법에 있어서,
    상기 포토리소그래피 마스크의 이미지 변환의 제 1 파라미터 및, 상기 포토리소그래피 마스크 상으로 국부적으로 지향되는 레이저 빔의 제 2 파라미터를 조정하는 단계; 및
    조정된 제 1 파라미터를 이용하여 이미지 변환을 적용하고, 조정된 제 2 파라미터를 이용하여 상기 포토리소그래피 마스크 상으로 상기 레이저 빔을 국부적으로 지향시킴으로써 다수의 에러를 정정하는 단계를 포함하는데;
    상기 제 1 및 제 2 파라미터는 동시에 결합 조정 프로세스에서 조정되고, 상기 결합 조정 프로세스는
    에러 데이터, 제 1 이미지 변환 파라미터 및 제 2 레이저 빔 파라미터를 포함하는 타깃 범함수(target functional)를 설정하는 단계; 및
    상기 제 1 이미지 변환 파라미터 및 상기 제 2 레이저 빔 파라미터를 동시에 변화시킴으로써 상기 타깃 범함수를 최소화하는 단계를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  2. 삭제
  3. 청구항 1에 있어서,
    라그랑지 변분 원리는 상기 타깃 범함수를 설정하는데 이용되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  4. 청구항 3에 있어서,
    상기 타깃 범함수는 상기 제 1 및 제 2 파라미터에 의해 상기 포토리소그래피 마스크 내에 유도되는 포텐셜 에너지 분포를 최소화하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  5. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 이미지 변환은 선형 이미지 변환을 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  6. 청구항 5에 있어서,
    상기 선형 이미지 변환은 포토리소그래피 마스크 시프트를 위한 2개의 파라미터, 포토리소그래피 마스크 스케일링을 위한 2개의 파라미터 및, 상기 포토리소그래피 마스크의 일반화된 회전을 위한 2개의 파라미터를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  7. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 제 2 레이저 빔 파라미터는, 상기 레이저 빔의 에너지, 개구수, 포커스 사이즈, 빔 편광, 비점수차, 펄스 길이, 반복율, 상기 포토리소그래피 마스크의 한 위치 상으로 지향된 펄스의 수, 상기 레이저 빔이 상기 포토리소그래피 마스크 상으로 지향되는 2개의 위치 간의 거리 중 적어도 하나를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  8. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 레이저 빔은 상기 포토리소그래피 마스크의 밀도 및 광 투과 분포 중 적어도 하나를 국부적으로 수정하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  9. 청구항 8에 있어서,
    상기 포토리소그래피 마스크의 국부적으로 수정된 밀도 및 광 투과 중 적어도 하나는 상기 포토리소그래피 마스크의 다수의 작은 볼륨 내에서 불연속적으로 수정되며, 각각의 작은 볼륨은 픽셀이라고 하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  10. 청구항 8에 있어서,
    상기 포토리소그래피 마스크의 국부적으로 수정된 밀도 및 광 투과 중 적어도 하나는 상기 포토리소그래피 마스크에 걸쳐 연속적으로 수정되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  11. 청구항 8에 있어서,
    상기 포토리소그래피 마스크의 밀도 및 투과 중 적어도 하나는 상기 레이저 빔에 의해 생성된 변형률 분포에 의해 유도되는 응력 분포에 의해 수정되며, 상기 응력 분포 및 상기 변형률 분포는 후크의 법칙에 의해 연결되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  12. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 포토리소그래피 마스크에 걸쳐 연장하는 사각형 그리드의 노드의 변위는 상기 제 2 레이저 빔 파라미터의 함수인, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  13. 청구항 12에 있어서,
    상기 제 2 레이저 빔 파라미터는 상기 레이저 빔에 의해 상기 포토리소그래피 마스크에 유도되는 상기 레이저 빔에 수직인 법선 방향에서 하나 이상의 기록 밀도 진폭 및 하나 이상의 변형 요소를 결정하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  14. 청구항 13에 있어서,
    셀 α에서의 모드 m의 상기 하나 이상의 기록 밀도 진폭
    Figure 112011053373377-pat00270
    및, 셀 α에서의 모드 m의 하나 이상의 법선 방향 l의 상기 하나 이상의 변형 요소
    Figure 112011053373377-pat00271
    Figure 112011053373377-pat00272
    로 주어지는 노드 i의 변위 ξi를 유도하며, 텐서
    Figure 112011053373377-pat00273
    는 다수의 에러를 정정하기 전의 포텐셜 에너지 분포의 역 텐서이고,
    Figure 112011053373377-pat00274
    는 상기 레이저 빔을 상기 포토리소그래피 마스크 상으로 지향시킨 후에 상기 포텐셜 에너지 분포를 나타낸 텐서의 요소이며, 양쪽의 텐서는 상기포토리소그래피 마스크의 재료 파라미터를 포함하고, Nkl은 정규화 텐서인, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  15. 청구항 13 에 있어서,
    상기 레이저 빔의 상기 하나 이상의 기록 밀도 진폭은 상기 포토리소그래피 마스크의 손상 임계치 미만인, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  16. 청구항 14에 있어서,
    상기 포토리소그래피 마스크 상의 위치에서의 변위는 모든 그리드 노드의 변위의 선형 조합으로부터 결정되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  17. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 에러 데이터는 상기 다수의 에러의 위치를 나타내는 데이터를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  18. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 에러 데이터는 상기 포토리소그래피 마스크의 하나 이상의 측정 및 상기 포토리소그래피 마스크를 이용하여 조명된 웨이퍼의 하나 이상의 측정중 적어도 하나로부터 결정되거나 상기 포토리소그래피 마스크의 기판의 제조자에 의해 제공되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  19. 청구항 18에 있어서,
    상기 포토리소그래피 마스크를 이용하여 조명된 상기 웨이퍼의 상기 하나 이상의 측정으로부터 상기 이미지 변환에서 생기는 상기 다수의 에러의 일부를 결정하는 단계를 더 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  20. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 포토리소그래피 마스크는 상기 포토리소그래피 마스크 상에 배치된 하나 이상의 흡수 재료의 다수의 패턴 요소를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  21. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 에러 데이터는 상기 포토리소그래피 마스크의 다수의 패턴 요소의 결정된 위치와, 상기 패턴 요소의 미리 정해진 위치 간의 차를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  22. 청구항 18에 있어서,
    상기 에러 데이터는 상기 포토리소그래피 마스크를 이용하여 조명된 상기 웨이퍼 상의 패턴 요소의 결정된 위치와, 상기 웨이퍼 상의 상기 패턴 요소의 미리 정해진 위치 간의 차를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  23. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 에러 데이터는 상기 포토리소그래피 마스크의 결정된 광 투과 분포와, 상기 포토리소그래피 마스크의 미리 정해진 광 투과 분포 간의 차를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  24. 청구항 18에 있어서,
    상기 에러 데이터는 상기 포토리소그래피 마스크의 상기 결정된 광 투과 분포와, 상기 포토리소그래피 마스크의 미리 정해진 광 투과 분포 간의 차 및, 상기 포토리소그래피 마스크 또는 상기 포토리소그래피 마스크를 이용하여 조명된 상기 웨이퍼 상의 패턴 요소의 결정된 위치와, 상기 포토리소그래피 마스크 또는 상기 포토리소그래피 마스크를 이용하여 조명된 상기 웨이퍼 상의 상기 패턴 요소의 미리 정해진 위치 간의 차를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  25. 청구항 18에 있어서,
    상기 에러 데이터는 상기 포토리소그래피 마스크를 이용하여 조명된 상기 웨이퍼 상의 패턴 요소의 계산된 위치와, 상기 웨이퍼 상의 상기 패턴 요소의 미리 정해진 위치 간의 차를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  26. 청구항 25에 있어서,
    상기 패턴 요소의 계산된 위치는 제 1 타입의 상기 다수의 에러를 정정하기 위해 상기 레이저 빔을 상기 포토리소그래피 마스크 상으로 국부적으로 지향시킴으로써 결정되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  27. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 에러 데이터는 상기 포토리소그래피 마스크의 계산된 광 투과 분포와, 상기 포토리소그래피 마스크의 미리 정해진 광 투과 분포 간의 차를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  28. 청구항 27에 있어서,
    상기 계산된 광 투과 분포는 제 2 타입의 상기 다수의 에러를 정정하기 위해 상기 레이저 빔을 상기 포토리소그래피 마스크 상으로 국부적으로 지향시킴으로써 결정되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  29. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 타깃 범함수는 티호노프 정규화(Tikhonov regularization)를 이용하여 잔여 변위의 평균 제곱으로서 최소화되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  30. 청구항 28에 있어서,
    m개의 기록 밀도 진폭
    Figure 112017007963119-pat00275
    의 수 및 셀 α에서의 모드 m의 법선 방향 l에서의 변형 요소
    Figure 112017007963119-pat00276
    를 가진 타깃 범함수는 식
    Figure 112017007963119-pat00277
    이며, 여기서,
    Figure 112017007963119-pat00278
    은 상기 포토리소그래피 마스크의 패턴 요소의 결정된 위치와, 상기 패턴 요소의 미리 정해진 위치 간의 차를 포함하고, 상기 포토리소그래피 마스크의 임의 위치에서의 변위
    Figure 112017007963119-pat00279
    Figure 112017007963119-pat00280
    를 가진 노드
    Figure 112017007963119-pat00281
    에서의 변위로부터 선형 변환에 의해 결정되며, 여기서,
    Figure 112017007963119-pat00282
    Figure 112017007963119-pat00283
    Figure 112017007963119-pat00284
    간의 변환 매트릭스이며, 기록 밀도 진폭
    Figure 112017007963119-pat00285
    및, 상기 레이저 빔에 의해 유도된 법선 방향에서의 변형 요소
    Figure 112017007963119-pat00286
    를 가진 노드 i에서의 변위
    Figure 112017007963119-pat00287
    의 추가적 연결은
    Figure 112017007963119-pat00438
    로 정의되었으며, 부가적으로, 제 3 항목은 선형 이미지 변환을 기술하고 있으며, 여기서, 벡터
    Figure 112017007963119-pat00288
    는 선형 이미지 계수를 포함하고, 텐서 Tik는 노드
    Figure 112017007963119-pat00289
    의 면 내의 변위에 선형 이미지 계수 sk를 옮기며(translate), 최종적으로, 최종 2개의 합은 티호노프 정규화의 항목인, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  31. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 타깃 범함수는 상기 포토리소그래피 마스크의 활성 영역 및 비활성 영역중 적어도 하나에서 제약으로서 하나 이상의 가중 함수를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  32. 청구항 31에 있어서,
    상기 하나 이상의 가중 함수는 상기 포토리소그래피 마스크의 기판의 상기 활성 영역의 높이의 중심에 근접해 상기 레이저 빔을 국부적으로 지향시키는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  33. 청구항 31에 있어서,
    상기 하나 이상의 가중 함수는 상기 레이저 빔을 패턴 요소의 영역으로 지향시키는 것을 제한하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  34. 청구항 31에 있어서,
    상기 하나 이상의 가중 함수는 상기 레이저 빔을 패턴 요소의 영역 주변으로 지향시키는 것을 제한하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  35. 청구항 34에 있어서,
    픽셀의 밀도는 상기 패턴 요소로부터의 거리의 증가에 따라 감소하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  36. 청구항 31에 있어서,
    상기 하나 이상의 가중 함수는 상기 레이저 빔을 상기 포토리소그래피 마스크 상으로 국부적으로 지향시킬 시에 서로 다른 타입의 에러의 정정을 절충하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  37. 청구항 31에 있어서,
    상기 하나 이상의 가중 함수는 상기 레이저 빔을 상기 포토리소그래피 마스크의 제 1 영역 상으로 국부적으로 지향시킴으로써 유도된 에러를 상기 레이저 빔을 상기 포토리소그래피 마스크의 제 2 영역 상으로 국부적으로 지향시킴으로써 균형을 이루게 하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  38. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 다수의 에러는 서로 다른 타입의 상기 다수의 에러를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  39. 청구항 38에 있어서,
    상기 서로 다른 타입의 상기 다수의 에러는 레지스트레이션(registration) 에러, 광 투과 분포 에러, 평면성 에러 중 적어도 하나를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  40. 청구항 9에 있어서,
    상기 픽셀 및 연속적으로 수정된 밀도 중 적어도 하나는 상기 포토리소그래피 마스크의 기판의 높이 내의 하나 이상의 단일 층 내에 배열되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  41. 청구항 9에 있어서,
    상기 픽셀 및 연속적으로 수정된 밀도 중 적어도 하나는 상기 포토리소그래피 마스크의 기판의 높이 내의 2 이상의 층 내에 배열되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  42. 청구항 41에 있어서,
    상기 2 이상의 층 내에 배열된 상기 픽셀은 서로 다른 레이저 빔 파라미터로 생성되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  43. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 레이저 빔을 상기 포토리소그래피 마스크의 기판 상으로 국부적으로 지향시켜, 상기 다수의 에러를 정정하기 위해 상기 포토리소그래피 마스크의 기판의 제 1 층에 픽셀을 생성시킬 시에 정정되지 않거나 유도된 에러는 상기 레이저 빔을 상기 포토리소그래피 마스크의 기판 상으로 다시 지향시켜, 상기 포토리소그래피 마스크의 기판의 제 2 층에 픽셀을 생성시킴으로써 정정되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  44. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 포토리소그래피 마스크는 투과성 포토리소그래피 마스크를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  45. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 포토리소그래피 마스크는 반사성 포토리소그래피 마스크를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  46. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 포토리소그래피 마스크는 나노임프린트 리소그래피에 대한 템플릿을 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  47. 청구항 31에 있어서,
    상기 가중 함수는 상기 다수의 에러의 레지스트레이션 에러 및 평탄도 에러를 동시에 정정하도록 구성되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  48. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 레이저 빔은 상기 포토리소그래피 마스크의 활성 영역 상으로 지향되지 않는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  49. 청구항 48에 있어서,
    2개의 수직 방향으로의 변위를 제어하기 위한 타깃 범함수에서의 2 이상의 가중 파라미터를 유도하는 단계 및, 상기 가중 파라미터를 변화시킴으로써 반복 프로세스에서 상기 타깃 범함수를 풀이하는 단계를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  50. 청구항 49에 있어서,
    상기 가중 파라미터 wi를 가진 단일 기록 밀도 진폭
    Figure 112011053373377-pat00290
    에 대한 상기 타깃 범함수는 식
    Figure 112011053373377-pat00291
    이며, 여기서, 인덱스 k를 가진 상기 반복 프로세스에 대한 상기 가중 파라미터는
    Figure 112011053373377-pat00292
    ,
    Figure 112011053373377-pat00293
    ,
    Figure 112011053373377-pat00294
    ,
    Figure 112011053373377-pat00295
    ,
    Figure 112011053373377-pat00296
    이고, 변화 한계치는
    Figure 112011053373377-pat00297
    Figure 112011053373377-pat00298
    이며, 상기 포토리소그래피 마스크의 상기 활성 영역 내에서
    Figure 112011053373377-pat00299
    인, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  51. 청구항 50에 있어서,
    상기 반복 프로세스는:
    유도된 변위가 미리 정해진 임계치를 초과할 시에 상기 반복을 중지하는 단계;
    상기 미리 정해진 임계치에 대한 최대 차를 가진 방향을 결정하는 단계;
    상기 결정된 방향에서의 상기 유도된 변위가 상기 임계치를 초과하면, 상기 반복을 중지하는 단계;
    제1 수직 방향이 상기 미리 정해진 임계치에 대한 최대 차를 가지면,
    Figure 112017072143795-pat00300
    을 선택하고, 변화 한계치를
    Figure 112017072143795-pat00301
    ,
    Figure 112017072143795-pat00302
    로 수정하는 단계;
    제2 수직 방향이 상기 미리 정해진 임계치에 대한 최대 차를 가지면,
    Figure 112017072143795-pat00303
    을 선택하고, 변화 한계치를
    Figure 112017072143795-pat00304
    ,
    Figure 112017072143795-pat00305
    로 수정하는 단계;
    상기 반복 프로세스를 반복하여, 최종 가중 파라미터를 각각의 방향에 대한 솔루션으로서 취하는 단계를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  52. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 레이저 빔 및 상기 포토리소그래피 마스크 중 적어도 하나는 상기 레이저 빔이 상기 포토리소그래피 마스크 상으로 지향되는 시간 주기의 일부 동안에 상기 레이저 빔과 평행한 각도만큼 회전되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  53. 청구항 52에 있어서,
    상기 레이저 빔 및 상기 포토리소그래피 마스크 중 적어도 하나의 회전각은 90°인, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  54. 청구항 52에 있어서,
    상기 레이저 빔 및 상기 포토리소그래피 마스크 중 적어도 하나의 회전 없이 상기 레이저 빔이 상기 포토리소그래피 마스크 상으로 지향되는 시간 주기는 상기 레이저 빔이 상기 포토리소그래피 마스크 상으로 지향되는 전체 시간 주기의 50%인, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  55. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 레이저 빔을 상기 포토리소그래피 마스크 상으로 지향시킴으로써, 상기 포토리소그래피 마스크의 노광 파장에서 레이저 빔에 대한 광 투과 분포의 변화가 유도되지 않는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  56. 청구항 55에 있어서,
    상기 레이저 빔을 상기 포토리소그래피 마스크 상으로 지향시킴으로써, 상기 노광 파장에서 상기 레이저 빔에 대한 상기 포토리소그래피 마스크에 걸친 상기 광 투과 분포의 일정한 수정이 유도되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  57. 청구항 56에 있어서,
    상기 포토리소그래피 마스크 상으로의 상기 레이저 빔의 지향은, 상기 노광 파장에서 상기 레이저 빔에 대한 상기 포토리소그래피 마스크에 걸친 상기 광 투과 분포의 변화를 유도하지 않기 위해, 제 1 기록 밀도 진폭
    Figure 112011053373377-pat00306
    을 가진 제 2 레이저 빔 파라미터의 하나 이상의 제 1 세트 및, 제 2 기록 밀도 진폭
    Figure 112011053373377-pat00307
    을 가진 제 2 레이저 빔 파라미터의 하나 이상의 제 2 세트로 실행되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  58. 청구항 57에 있어서,
    상기 제 1 및 제 2 기록 밀도 진폭 간의 분할을 조정하기 위한 상기 타깃 범함수는 식
    Figure 112017007963119-pat00308
    의 형태이며, 여기서, 셀 α에서의 일정한 광 투과의 조건은
    Figure 112017007963119-pat00309
    을 필요로 하며, 여기서, c는 임의의 상수인, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  59. 청구항 55에 있어서,
    상기 레이저 빔을 상기 포토리소그래피 마스크 상으로 지향시킴으로써, 부가적으로, 상기 포토리소그래피 마스크의 상기 노광 파장에서 상기 레이저 빔에 대한 상기 포토리소그래피 마스크에 걸친 상기 광 투과 분포의 미리 정해진 변화가 유도되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  60. 청구항 55에 있어서,
    상기 포토리소그래피 마스크 상으로의 상기 레이저 빔의 지향은, 부가적으로 상기 노광 파장에서 상기 레이저 빔에 대한 상기 포토리소그래피 마스크에 걸친 상기 광 투과 분포의 미리 정해진 변화를 유도하기 위해, 제 2 레이저 빔 파라미터의 하나 이상의 제 1 세트 및, 제 2 레이저 빔 파라미터의 하나 이상의 제 2 세트로 실행되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  61. 청구항 59에 있어서,
    Figure 112017007963119-pat00439
    의 형태를 가지는 상기 타깃 범함수는, 제 1 기록 밀도 진폭
    Figure 112017007963119-pat00310
    및, 제 2 기록 밀도 진폭
    Figure 112017007963119-pat00311
    간의 분할을 조정하는데 이용되며, 각 셀 α에 대한 부가적인 조건은
    Figure 112017007963119-pat00312
    이고, 활성 영역 내의 셀 내에서
    Figure 112017007963119-pat00313
    이며, 상기 포토리소그래피 마스크의 활성 영역의 외부의 셀에서는
    Figure 112017007963119-pat00314
    이며, 여기서, Tmod는 상기 활성 영역 내의 상기 광 투과 분포의 미리 정해진 변화인, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  62. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 포토리소그래피 마스크의 활성 영역에서의 광 투과 분포 에러를 정정함으로써 상기 포토리소그래피 마스크 내에 새로이 유도된 에러를 정정하기 위해 상기 포토리소그래피 마스크 상에 상기 레이저 빔을 지향시키는 단계를 더 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  63. 청구항 62에 있어서,
    상기 새로이 유도된 에러는 레지스트레이션 에러이고, 상기 레지스트레이션 에러는 제 2 레이저 빔 파라미터의 제 1 세트를 가진 상기 레이저 빔을 상기 포토리소그래피 마스크의 상기 활성 영역 상으로 지향시키고, 제 2 레이저 빔 파라미터의 제 2 세트를 가진 상기 레이저 빔을 상기 포토리소그래피 마스크의 비활성 영역 상으로 지향시킴으로써 정정되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  64. 청구항 63에 있어서,
    상기 레지스트레이션 에러는 상기 레이저 빔을 상기 포토리소그래피 마스크의 상기 비활성 영역 상으로 지향시킴으로써 정정되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  65. 청구항 64에 있어서,
    상기 레이저 빔을 상기 포토리소그래피 마스크의 상기 비활성 영역 상으로 지향시키는 단계는 상기 비활성 영역에 걸친 제 2 레이저 빔 파라미터의 한 단일 세트를 이용하는 단계를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  66. 청구항 65에 있어서,
    상기 레이저 빔을 상기 포토리소그래피 마스크의 상기 비활성 영역 상으로 지향시키는 단계는 2 이상의 프레임이 상기 포토리소그래피 마스크의 상기 활성 영역을 둘러싸는 단계를 포함하는데, 각 프레임은 제 2 레이저 빔 파라미터의 한 세트를 가지며, 유도된 밀도 수정은 상기 레이저 빔을 프레임 상으로 지향시키는 것이 상기 포토리소그래피 마스크의 경계를 향해 감소할 시에 감소하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  67. 청구항 31에 있어서,
    상기 활성 영역 내의 광 투과 분포 에러를 정정하기 위한 기록 밀도 진폭
    Figure 112017007963119-pat00315
    및, 레지스트레이션 에러를 정정하기 위한 상기 비활성 영역 내의 기록 밀도 진폭
    Figure 112017007963119-pat00316
    을 가진 상기 타깃 범함수는 식
    Figure 112017007963119-pat00317
    의 형태이며, 여기서, 제 1 항목은 상기 포토리소그래피 마스크의 상기 활성 영역 내의 상기 광 투과 분포 정정에 의해 유도되는 변위를 고려하고, 제 2 항목은 상기 레이저 빔을 상기 비활성 영역 상으로 지향시킬 시에 상기 레이저 빔에 의해 유도되는 변위를 규정하며, 상기 기록 밀도 진폭
    Figure 112017007963119-pat00318
    은 상기 포토리소그래피 마스크의 상기 비활성 영역에만 규정되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  68. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    상기 포토리소그래피 마스크 상의 상기 레이저 빔의 지향은 상기 포토리소그래피 마스크에 걸친 광 투과 분포 에러의 정정이 상기 포토리소그래피 마스크 내로 레지스트레이션 에러를 유도하지 않도록 제 2 레이저 빔 파라미터의 2 이상의 세트를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  69. 청구항 68에 있어서,
    제 2 레이저 빔 파라미터의 제 1 세트는 제 1 레이저 빔을 포함하고, 제 2 레이저 빔 파라미터의 제 2 세트는 제 2 레이저 빔을 포함하는데, 상기 제 2 레이저 빔은 빔 방향 주변에서 상기 제 1 레이저 빔에 대해 회전되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  70. 청구항 68에 있어서,
    상기 포토리소그래피 마스크는 상기 레이저 빔과 평행한 축 주변에서 회전되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  71. 청구항 69에 있어서,
    상기 레이저 빔 및 상기 포토리소그래피 마스크 중 적어도 하나는 90°만큼 회전되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  72. 청구항 69에 있어서,
    상기 포토리소그래피 마스크에 걸친 상기 제 1 및 제 2 레이저 빔의 지향의 시간 및 공간 분포 중 적어도 하나는 상기 포토리소그래피 마스크에 걸친 상기 광 투과 분포의 변화를 제거하고, 상기 포토리소그래피 마스크로의 새로운 에러의 유도를 최소화하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  73. 청구항 72에 있어서,
    회전되지 않은 제 1 레이저 빔에 대한 기록 밀도 진폭
    Figure 112017072143795-pat00319
    및 회전된 제 2 레이저 빔에 대한 기록 밀도 진폭
    Figure 112017072143795-pat00320
    간의 분할을 조정하기 위해, 타깃 범함수는 식
    Figure 112017072143795-pat00321
    의 형태이며, 상기 포토리소그래피 마스크의 활성 영역 내의 셀 j에 대한 부가적인 조건은
    Figure 112017072143795-pat00322
    인, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  74. 청구항 68에 있어서,
    제 2 레이저 빔 파라미터의 제 1 세트는 제 2 레이저 빔 파라미터의 제 2 세트 보다 수배 높은 에너지를 가진 레이저 펄스를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  75. 청구항 74에 있어서,
    제 2 레이저 빔 파라미터의 제 1 세트 및 제 2 세트의 에너지 간의 비율은 1.5 ∼ 10의 범위를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  76. 청구항 74에 있어서,
    레이저 빔 파라미터의 제 1 세트 또는 표준 에너지 모드 (std) 및 제 2 세트 또는 저 에너지 모드 (le) 간의 분할의 조정은 식
    Figure 112017072143795-pat00323
    의 형태를 가진 타깃 범함수로부터 결정되고, 상기 포토리소그래피 마스크의 활성 영역 내에서 제 2 레이저 빔 파라미터의 제 1 세트의 표준 에너지 밀도 기록 진폭
    Figure 112017072143795-pat00324
    및 셀 j에 대한 제 2 레이저 빔의 저 에너지 밀도 기록 진폭
    Figure 112017072143795-pat00325
    에 대한 부가적인 조건은
    Figure 112017072143795-pat00326
    이며, 제 2 레이저 빔 파라미터의 제 2 세트의 저 에너지 밀도 기록 진폭은 고 펄스 밀도에 의해 보상되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  77. 청구항 1, 3, 4 중 어느 한 항에 있어서,
    펄스 에너지는 0.05 μJ 내지 5 μJ이고, 펄스 길이는 0.05 ps 내지 100 ps이며, 반복율은 1kHz 내지 10 MHz이며, 펄스 밀도는 ㎟ 당 1 000 펄스 내지 ㎟ 당 10 000 000 펄스이며, 대물 렌즈의 NA는 0.1 내지 0.9이며, 상기 대물 렌즈의 배율은 5× 내지 40×인, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  78. 청구항 76에 있어서,
    제 2 레이저 빔 파라미터의 제 1 세트는 0.45 μJ ∼ 0.55 μJ의 펄스 에너지, 5 ps ∼ 10 ps의 펄스 지속 기간, 10 kHz ∼ 100 kHz의 반복율, 0.2 ∼ 0.4의 대물 렌즈의 NA, 10× ∼ 20×의 대물 렌즈의 배율 및 ㎟ 당 1 000 ∼ 100 000 펄스의 펄스 밀도를 포함하며, 제 2 레이저 빔 파라미터의 제 1 세트는 0.27 μJ ∼ 0.37 μJ의 펄스 에너지 및 ㎟ 당 5 000 ∼ 500 000 펄스의 펄스 밀도를 갖는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  79. 청구항 31에 있어서,
    상기 포토리소그래피 마스크 상의 상기 레이저 빔의 지향은, 제 2 레이저 빔 파라미터의 3 이상의 세트, 상기 레이저 빔을 서로 다른 펄스 에너지를 가진 상기 활성 영역 상으로 지향시키기 위한 제 1 세트 및 제 2 세트 및, 상기 레이저 빔을 상기 포토리소그래피 마스크의 상기 비활성 영역 상으로 지향시키기 위한 제 3 세트를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  80. 청구항 79에 있어서,
    레이저 빔 파라미터의 제 1 세트 또는 표준 에너지 모드 (std) 및 제 2 세트 또는 저 에너지 모드 (le) 및 제 3 세트 (apo) 간의 분할의 조정은 타깃 범함수
    Figure 112017007963119-pat00327
    로부터 결정되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  81. 청구항 1에 있어서,
    상기 타깃 범함수는 하나 이상의 제 1 포토리소그래피 마스크 및 하나 이상의 제 2 포토리소그래피 마스크 간의 오버레이 에러를 최소화하기 위해 이용되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  82. 청구항 81에 있어서,
    상기 타깃 범함수는 하나 이상의 제 1 및 하나 이상의 제 2 포토리소그래피 마스크 간의 다수의 레지스트레이션 차를 포함하고, 상기 오버레이는 상기 타깃 범함수를 최소화함으로써 최대화되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  83. 청구항 81에 있어서,
    상기 제 1 포토리소그래피 마스크의 레지스트레이션은 고정되고, 상기 제 2 포토리소그래피 마스크의 레지스트레이션은 상기 타깃 범함수에 의해 변화되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  84. 청구항 81에 있어서,
    상기 제 1 및 제 2 포토리소그래피 마스크의 레지스트레이션은 상기 타깃 범함수에 의해 변화되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  85. 청구항 84 항에 있어서,
    Figure 112017072143795-pat00440
    의 형태를 가지는 상기 타깃 범함수의 상기 파라미터
    Figure 112017072143795-pat00328
    는 상기 제 1 포토리소그래피 마스크의 레지스트레이션을 나타내고, 상기 타깃 범함수의 상기 파라미터
    Figure 112017072143795-pat00329
    는 상기 제 2 포토리소그래피 마스크의 레지스트레이션을 나타내며, 상기 타깃 범함수는 조건
    Figure 112017072143795-pat00330
    으로 이용되는데, 여기서, 기록 밀도 진폭
    Figure 112017072143795-pat00331
    의 양의 부분은 상기 제 1 포토리소그래피 마스크 상으로 정정되고, 음의 부분은 상기 제 2 포토리소그래피 마스크 상으로 정정되는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
  86. 포토리소그래피 마스크의 다수의 에러를 정정하는 장치에 있어서,
    상기 포토리소그래피 마스크의 이미지 변환의 제 1 파라미터 및, 상기 포토리소그래피 마스크 상으로 국부적으로 지향되는 레이저 빔의 제 2 파라미터를 동시에 조정하기 위해 동작 가능한 하나 이상의 계산 수단 - 제 1 파라미터 및 제 2 파라미터를 동시에 조정하는 것은 에러 데이터, 제 1 이미지 변환 파라미터 및 제 2 레이저 빔 파라미터를 포함하는 타깃 범함수(target functional)를 설정하는 것; 및 상기 제 1 이미지 변환 파라미터 및 상기 제 2 레이저 빔 파라미터를 동시에 변화시킴으로써 상기 타깃 범함수를 최소화하는 것을 포함함 - ;
    조정된 제 2 레이저 빔 파라미터에 따라 광 펄스의 레이저 빔을 생성시키는 하나 이상의 레이저 소스; 및
    조정된 제 1 이미지 파라미터에 따라 이미지 변환을 실행하는 하나 이상의 스캐닝 수단을 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 장치.
  87. 삭제
  88. 청구항 86에 있어서,
    상기 하나 이상의 스캐닝 수단은 웨이퍼 스캐너 또는 웨이퍼 스테퍼를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 장치.
  89. 청구항 86 또는 청구항 88에 있어서,
    상기 하나 이상의 스캐닝 수단은 상기 포토리소그래피 마스크에 대한 척(chuck)을 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 장치.
  90. 청구항 86 또는 청구항 88에 있어서,
    상기 하나 이상의 스캐닝 수단은 웨이퍼 스캐너 또는 웨이퍼 스테퍼 및, 상기 포토리소그래피 마스크에 대한 척을 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 장치.
  91. 청구항 86 또는 청구항 88에 있어서,
    상기 레이저 소스의 빔을 스캐닝하여 형성하는 하나 이상의 스캐닝 및 조정 수단을 더 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 장치.
  92. 청구항 91에 있어서,
    상기 레이저 소스는, 광 펄스의 에너지, 개구수, 포커스 사이즈, 빔 편광, 비점수차, 펄스 길이, 반복율, 상기 포토리소그래피 마스크의 한 위치 상으로 지향된 펄스의 수, 상기 레이저 빔이 상기 포토리소그래피 마스크 상으로 지향되는 2개의 위치 간의 거리 중 적어도 하나를 조정하도록 동작 가능한, 포토리소그래피 마스크의 다수의 에러를 정정하는 장치.
  93. 청구항 86 또는 청구항 88에 있어서,
    상기 하나 이상의 계산 수단은 상기 타깃 범함수를 수치적으로 풀이하는 컴퓨터 시스템을 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 장치.
  94. 청구항 86 또는 청구항 88에 있어서,
    상기 다수의 에러를 결정하는 하나 이상의 검출 수단을 더 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 장치.
  95. 청구항 94에 있어서,
    상기 하나 이상의 검출 수단은 상기 포토리소그래피 마스크의 상기 다수의 에러를 결정하는 하나 이상의 검출 시스템 및 하나 이상의 광 소스를 포함하는, 포토리소그래피 마스크의 다수의 에러를 정정하는 장치.
  96. 청구항 86 또는 청구항 88에 있어서,
    상기 하나 이상의 계산 수단은 상기 하나 이상의 검출 수단, 상기 하나 이상의 레이저 소스, 상기 하나 이상의 스캐닝 및 조정 수단, 상기 하나 이상의 스캐닝 수단 중 적어도 하나를 제어하도록 동작 가능한, 포토리소그래피 마스크의 다수의 에러를 정정하는 장치.
  97. 청구항 68에 있어서,
    제 2 레이저 빔 파라미터의 제 1 세트는, 제 2 레이저 빔 파라미터의 제 2 세트 보다 수배 낮은 포토리소그래피 마스크 상의 펄스 밀도를 갖는, 포토리소그래피 마스크의 다수의 에러를 정정하는 방법.
KR1020110068908A 2010-07-12 2011-07-12 포토리소그래피 마스크의 에러를 정정하는 방법 및 장치 KR101828608B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US36335210P 2010-07-12 2010-07-12
US61/363,352 2010-07-12

Publications (2)

Publication Number Publication Date
KR20120007975A KR20120007975A (ko) 2012-01-25
KR101828608B1 true KR101828608B1 (ko) 2018-03-29

Family

ID=45372751

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110068908A KR101828608B1 (ko) 2010-07-12 2011-07-12 포토리소그래피 마스크의 에러를 정정하는 방법 및 장치

Country Status (4)

Country Link
US (1) US9658527B2 (ko)
JP (2) JP5883249B2 (ko)
KR (1) KR101828608B1 (ko)
DE (1) DE102011078927B4 (ko)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011083774B4 (de) 2010-10-04 2019-06-13 Carl Zeiss Sms Ltd. Verfahren zum Bestimmen von Laser korrigierenden Tool-Parametern
US20120154773A1 (en) 2010-12-17 2012-06-21 Carl Zeiss Sms Gmbh Method and apparatus for correcting errors on a wafer processed by a photolithographic mask
US8539394B2 (en) 2011-03-02 2013-09-17 Carl Zeiss Sms Ltd. Method and apparatus for minimizing overlay errors in lithography
NL2008335A (en) * 2011-04-07 2012-10-09 Asml Netherlands Bv Lithographic apparatus, device manufacturing method, and method of correcting a mask.
KR101940843B1 (ko) * 2011-07-20 2019-01-21 칼 짜이스 에스엠에스 엘티디 포토리소그래픽 마스크의 임계 치수 변동을 결정하기 위한 방법 및 장치
EP2581789B1 (en) 2011-10-14 2020-04-29 Fundació Institut de Ciències Fotòniques Optically transparent and electrically conductive coatings and method for their deposition on a substrate
DE102012213794A1 (de) * 2012-08-03 2014-02-06 Carl Zeiss Smt Gmbh Maskeninspektionsverfahren und Maskeninspektionssystem für EUV-Masken
JP5943306B2 (ja) * 2012-10-30 2016-07-05 大日本印刷株式会社 反射型マスクの製造方法およびマスクブランクの製造方法
KR102101837B1 (ko) * 2013-06-11 2020-04-17 삼성전자 주식회사 포토마스크, 포토마스크의 레지스트레이션 에러 보정 방법, 포토마스크를 이용하여 제조된 집적 회로 및 그 제조 방법
WO2015006604A1 (en) * 2013-07-11 2015-01-15 Kla-Tencor Corporation Identifying registration errors of dsa lines
KR102170143B1 (ko) * 2013-08-19 2020-10-26 삼성전자주식회사 노출 허용도 오차 및 레지스트레이션 오차가 보정된 포토마스크 및 그의 레지스트레이션 보정방법
US9448467B2 (en) * 2014-02-18 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Mask shift resistance-inductance method for multiple patterning mask design and a method for performing the same
US9087176B1 (en) * 2014-03-06 2015-07-21 Kla-Tencor Corporation Statistical overlay error prediction for feed forward and feedback correction of overlay errors, root cause analysis and process control
JP6305800B2 (ja) 2014-03-19 2018-04-04 東芝メモリ株式会社 マスク製造装置及びマスク製造方法
WO2015144700A2 (en) * 2014-03-25 2015-10-01 Carl Zeiss Sms Ltd. Method and apparatus for generating a predetermined three-dimensional contour of an optical component and/or a wafer
WO2016003575A2 (en) * 2014-07-02 2016-01-07 Applied Materials, Inc. Localized stress modulation for overlay and epe
US9632407B2 (en) 2014-07-18 2017-04-25 Kabushiki Kaisha Yoshiba Mask processing apparatus and mask processing method
TWI547918B (zh) * 2014-11-28 2016-09-01 友達光電股份有限公司 面板裝置及其檢測方法
JP6513951B2 (ja) 2015-01-08 2019-05-15 株式会社ニューフレアテクノロジー 検査方法
US10050404B2 (en) 2015-03-26 2018-08-14 Nlight, Inc. Fiber source with cascaded gain stages and/or multimode delivery fiber with low splice loss
IL239577B (en) * 2015-06-22 2020-10-29 Zeiss Carl Smt Gmbh Correction of variation in critical dimension in extreme ultraviolet lithography
WO2017067757A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US11036146B2 (en) * 2015-10-19 2021-06-15 Asml Netherlands B. V. Method and apparatus to reduce effects of nonlinear behavior
WO2017067765A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US10377665B2 (en) * 2015-11-19 2019-08-13 Varian Semiconductor Equipment Associates, Inc. Modifying bulk properties of a glass substrate
EP3978184A1 (en) 2015-11-23 2022-04-06 NLIGHT, Inc. Method and apparatus for fine-scale temporal control for laser beam material processing
EP3389915B1 (en) * 2016-01-19 2021-05-05 NLIGHT, Inc. Method of processing calibration data in 3d laser scanner systems
KR20170098504A (ko) 2016-02-22 2017-08-30 에스케이하이닉스 주식회사 포토마스크의 결함 검사 방법
US10549386B2 (en) * 2016-02-29 2020-02-04 Xerox Corporation Method for ablating openings in unsupported layers
WO2017202665A1 (en) 2016-05-25 2017-11-30 Asml Netherlands B.V. Focus and overlay improvement by modifying a patterning device
US10684487B2 (en) 2016-09-29 2020-06-16 Nlight, Inc. Frequency-converted optical beams having adjustable beam characteristics
US10663768B2 (en) 2016-09-29 2020-05-26 Nlight, Inc. Fiber optical beam delivery device producing selectable intensity profiles
US10673198B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. Fiber-coupled laser with time varying beam characteristics
US10661391B2 (en) 2016-09-29 2020-05-26 Nlight, Inc. Method of forming pores in three-dimensional objects
US10656440B2 (en) 2016-09-29 2020-05-19 Nlight, Inc. Fiber optical beam delivery device producing output exhibiting intensity distribution profile having non-zero ellipticity
US10649241B2 (en) 2016-09-29 2020-05-12 Nlight, Inc. Multi-function semiconductor and electronics processing
US10739621B2 (en) 2016-09-29 2020-08-11 Nlight, Inc. Methods of and systems for materials processing using optical beams
US10668567B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. Multi-operation laser tooling for deposition and material processing operations
US10668535B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. Method of forming three-dimensional objects
US10673197B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. Fiber-based optical modulator
US10646963B2 (en) 2016-09-29 2020-05-12 Nlight, Inc. Use of variable beam parameters to control a melt pool
US10682726B2 (en) 2016-09-29 2020-06-16 Nlight, Inc. Beam modification structures and methods of modifying optical beam characteristics using the beam modification structures
WO2018063452A1 (en) 2016-09-29 2018-04-05 Nlight, Inc. Adjustable beam characteristics
US10663769B2 (en) 2016-09-29 2020-05-26 Nlight, Inc. Systems and methods for modifying beam characteristics
US10663742B2 (en) 2016-09-29 2020-05-26 Nlight, Inc. Method and system for cutting a material using a laser having adjustable beam characteristics
US10670872B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. All-fiber optical beam switch
US10690928B2 (en) 2016-09-29 2020-06-23 Nlight, Inc. Methods of and systems for heat deposition in additive manufacturing
US10661342B2 (en) 2016-09-29 2020-05-26 Nlight, Inc. Additive manufacturing systems and methods for the same
US10677984B2 (en) 2016-09-29 2020-06-09 Nlight, Inc. Production of temporally apparent intensity distribution by rapid perturbation of variable beam characteristics optical fiber
US10730785B2 (en) 2016-09-29 2020-08-04 Nlight, Inc. Optical fiber bending mechanisms
US10668537B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. Systems for and methods of temperature control in additive manufacturing
US10673199B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. Fiber-based saturable absorber
US10732439B2 (en) 2016-09-29 2020-08-04 Nlight, Inc. Fiber-coupled device for varying beam characteristics
US10656427B2 (en) 2016-09-29 2020-05-19 Nlight, Inc. Multicore fiber-coupled optical probing techniques
US11249385B2 (en) 2017-01-17 2022-02-15 Hoya Corporation Reflective mask blank, reflective mask, method of manufacturing same, and method of manufacturing semiconductor device
US11173548B2 (en) 2017-04-04 2021-11-16 Nlight, Inc. Optical fiducial generation for galvanometric scanner calibration
CN107227438B (zh) * 2017-06-15 2019-05-03 京东方科技集团股份有限公司 金属掩膜板的设计方法、金属掩膜板的制备方法
DE102017212848A1 (de) 2017-07-26 2019-01-31 Carl Zeiss Sms Ltd. Verfahren und Vorrichtung zum Kompensieren von Defekten eines Maskenrohlings
JP6863169B2 (ja) 2017-08-15 2021-04-21 Agc株式会社 反射型マスクブランク、および反射型マスク
DE102017123114B3 (de) 2017-10-05 2019-01-10 Carl Zeiss Sms Ltd. Verfahren zur Korrektur der Critical Dimension Uniformity einer Fotomaske für die Halbleiterlithographie
TWI786243B (zh) 2017-12-27 2022-12-11 日商Hoya股份有限公司 附導電膜之基板、附多層反射膜之基板、反射型光罩基底、反射型光罩以及半導體裝置之製造方法
JP2019164300A (ja) 2018-03-20 2019-09-26 東芝メモリ株式会社 基板加工制御装置、基板加工プログラム、およびフォトマスクの作製方法
CN112639612A (zh) * 2018-07-17 2021-04-09 卡尔蔡司Sms有限公司 决定要引入光刻掩模的基板的一个或多个像素的效应的方法和设备
DE102018218129B4 (de) * 2018-10-23 2023-10-12 Carl Zeiss Sms Ltd. Verfahren zum Bestimmen von Positionen einer Vielzahl von Pixeln, die in ein Substrat einer photolithographischen Maske eingebracht werden sollen
KR20200052487A (ko) 2018-11-06 2020-05-15 삼성전자주식회사 반도체 소자의 제조 방법
DE102019201497B3 (de) 2019-02-06 2020-06-18 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zum Bestimmen von Platzierungen von Pattern-Elementen einer reflektiven fotolithographischen Maske in deren Betriebsumgebung
WO2020261986A1 (ja) 2019-06-27 2020-12-30 Hoya株式会社 薄膜付基板、多層反射膜付基板、反射型マスクブランク、反射型マスク及び半導体装置の製造方法
DE102019121609A1 (de) * 2019-08-09 2020-08-20 Carl Zeiss Sms Ltd. Verfahren zur korrektur von maskenfehlern und entsprechend korrigierte maske
US11366382B2 (en) 2020-02-24 2022-06-21 Carl Zeiss Smt Gmbh Method and apparatus for performing an aerial image simulation of a photolithographic mask
EP4302156A1 (en) 2021-03-01 2024-01-10 Carl Zeiss SMS Ltd. Method and apparatus for optimizing a defect correction for an optical element used in a lithographic process
JP2023053673A (ja) 2021-10-01 2023-04-13 信越化学工業株式会社 反射型マスクブランク用膜付き基板、反射型マスクブランク、及び反射型マスクの製造方法
DE102023103904B3 (de) 2023-02-16 2024-01-04 Carl Zeiss Sms Ltd. Verfahren zum korrigieren von fehlern in photolithographischen masken unter vermeidung der beschädigung von rückseitenbeschichtungen

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001244181A (ja) 2000-02-29 2001-09-07 Nippon Telegr & Teleph Corp <Ntt> 電子線描画データ加工方法および描画データ加工プログラムを記録した記録媒体、ならびに電子線描画装置
US7352452B2 (en) 2004-09-21 2008-04-01 Carl Zeiss Smt Ag Method and apparatus for setting optical imaging properties by means of radiation treatment
JP2010152031A (ja) * 2008-12-25 2010-07-08 Dainippon Printing Co Ltd フォトマスクのパタン位置補正方法および位置補正されたフォトマスク

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0728226A (ja) 1993-04-30 1995-01-31 Internatl Business Mach Corp <Ibm> 領域的イメージを測定する装置及び方法
JP3561556B2 (ja) 1995-06-29 2004-09-02 株式会社ルネサステクノロジ マスクの製造方法
WO1998007053A2 (en) 1996-07-26 1998-02-19 Corning Incorporated Fused silica having high resistance to optical damage
US6480285B1 (en) * 1997-01-28 2002-11-12 Zetetic Institute Multiple layer confocal interference microscopy using wavenumber domain reflectometry and background amplitude reduction and compensation
US6834262B1 (en) * 1999-07-02 2004-12-21 Cypress Semiconductor Corporation Scheme for improving the simulation accuracy of integrated circuit patterns by simulation of the mask
US6821682B1 (en) 2000-09-26 2004-11-23 The Euv Llc Repair of localized defects in multilayer-coated reticle blanks for extreme ultraviolet lithography
US6858537B2 (en) 2001-09-11 2005-02-22 Hrl Laboratories, Llc Process for smoothing a rough surface on a substrate by dry etching
DE10297658B4 (de) 2002-02-20 2013-09-19 Carl Zeiss Sms Ltd. Verfahren und System zum Reparieren defekter Photomasken
US6844272B2 (en) 2002-03-01 2005-01-18 Euv Limited Liability Corporation Correction of localized shape errors on optical surfaces by altering the localized density of surface or near-surface layers
US7853904B2 (en) * 2002-06-07 2010-12-14 Cadence Design Systems, Inc. Method and system for handling process related variations for integrated circuits based upon reflections
KR100486270B1 (ko) 2002-10-07 2005-04-29 삼성전자주식회사 웨이퍼 상의 임계 선폭을 제어할 수 있는 포토 마스크제조 방법, 이에 의한 포토 마스크 및 이를 이용한 노광방법
US7241539B2 (en) 2002-10-07 2007-07-10 Samsung Electronics Co., Ltd. Photomasks including shadowing elements therein and related methods and systems
EP1649323B1 (en) 2003-07-18 2015-11-18 Carl Zeiss SMS Ltd Method for correcting critical dimension variations in photomasks
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7046342B2 (en) * 2004-01-29 2006-05-16 International Business Machines Corporation Apparatus for characterization of photoresist resolution, and method of use
NL1027836C2 (nl) 2004-12-21 2006-06-22 Stichting Fund Ond Material Meerlagenspiegel voor straling in het zachte-röntgen- en XUV-golflengtegebied.
DE102006027429A1 (de) 2005-06-14 2006-12-28 Samsung Electronics Co., Ltd., Suwon An einer Halbleitervorrichtung eine gleichmäßige kritische Abmessung vorsehende Photomaske und Verfahren zum Herstellen derselben
US8137870B2 (en) 2005-06-14 2012-03-20 Samsung Electronics Co., Ltd. Method of manufacturing photomask
KR100604940B1 (ko) 2005-06-14 2006-07-28 삼성전자주식회사 포토 마스크의 측정 장치, 이를 이용한 포토 마스크의 cd측정방법, cd를 이용하여 포토 마스크를 보정하는장치와 방법 및 포토 마스크의 제조방법
US7617477B2 (en) * 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
KR100735532B1 (ko) 2006-03-21 2007-07-04 삼성전자주식회사 기판 내에 팽창부를 포함하는 포토마스크 및 포토마스크의표면 평탄화 방법
JP2008026822A (ja) 2006-07-25 2008-02-07 Toshiba Corp フォトマスクの製造方法及び半導体装置の製造方法
US7524988B2 (en) 2006-08-01 2009-04-28 Lyondell Chemical Technology, L.P. Preparation of acetic acid
US7652815B2 (en) * 2006-09-08 2010-01-26 Necsel Intellectual Property, Inc. Mobile charge induced periodic poling and device
DE102006054820B4 (de) 2006-11-21 2011-11-24 Advanced Mask Technology Center Gmbh & Co. Kg Verfahren zur Korrektur von Platzierungsfehlern
JP4909913B2 (ja) 2008-01-10 2012-04-04 株式会社東芝 インプリントマスクの製造方法および半導体装置の製造方法
KR101928938B1 (ko) * 2008-06-03 2018-12-13 에이에스엠엘 네델란즈 비.브이. 모델-기반 공정 시뮬레이션 시스템들 및 방법들
NL2007577A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001244181A (ja) 2000-02-29 2001-09-07 Nippon Telegr & Teleph Corp <Ntt> 電子線描画データ加工方法および描画データ加工プログラムを記録した記録媒体、ならびに電子線描画装置
US7352452B2 (en) 2004-09-21 2008-04-01 Carl Zeiss Smt Ag Method and apparatus for setting optical imaging properties by means of radiation treatment
JP2010152031A (ja) * 2008-12-25 2010-07-08 Dainippon Printing Co Ltd フォトマスクのパタン位置補正方法および位置補正されたフォトマスク

Also Published As

Publication number Publication date
JP2016095536A (ja) 2016-05-26
JP2012022323A (ja) 2012-02-02
JP6261056B2 (ja) 2018-01-17
DE102011078927B4 (de) 2019-01-31
US20120009511A1 (en) 2012-01-12
JP5883249B2 (ja) 2016-03-09
DE102011078927A1 (de) 2012-01-12
KR20120007975A (ko) 2012-01-25
US9658527B2 (en) 2017-05-23

Similar Documents

Publication Publication Date Title
KR101828608B1 (ko) 포토리소그래피 마스크의 에러를 정정하는 방법 및 장치
US10310371B2 (en) Method and system for lithography process-window-maximizing optical proximity correction
KR101409280B1 (ko) 차세대 리소그래피를 위한 렌즈 가열 인지 소스 마스크 최적화
TWI524152B (zh) 藉由投影光學件之包含光操控之圖案相關近似匹配/調諧
US9009647B2 (en) Methods and systems for lithography calibration using a mathematical model for a lithographic process
US9390206B2 (en) Methods and systems for lithography process window simulation
US9746784B2 (en) Lens heating compensation systems and methods
KR100571369B1 (ko) 디바이스제조방법 및 컴퓨터프로그램
JP5514178B2 (ja) 投影光学系による光操作を含むパターン非依存のハイブリッド整合/調整
KR101912465B1 (ko) 레이저 보정 도구 파라미터의 결정 방법 및 장치
TW202205007A (zh) 訓練機器學習模型以判定光罩的光學接近校正的方法及相關聯電腦程式產品
JP5308413B2 (ja) リソグラフィ装置および歪み決定方法
JP2017517759A (ja) 光学構成要素及び/又はウェーハの予め決められた3次元輪郭を発生させる方法及び装置
KR102271283B1 (ko) 패턴 위치설정 정확도 증가 방법 및 시스템
TW202001411A (zh) 製造遮罩的方法
CN111512236A (zh) 涉及光学像差的图案化过程改进
KR20230117366A (ko) 마스크 패턴을 결정하고 기계학습 모델을 트레이닝하는 방법
KR20180011263A (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
US20090276735A1 (en) System and Method of Correcting Errors in SEM-Measurements
CN117289543A (zh) 基于深度学习的光刻模型生成方法以及掩模制造方法
Avizemer et al. Improving mask registration and wafer overlay control using an ultrashort pulsed laser
Klostermann et al. Calibration of physical resist models for simulation of extreme ultraviolet lithography
KR20230152568A (ko) 보정 방법, 노광 방법, 물품의 제조 방법, 컴퓨터 프로그램, 광학 장치 및 노광 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
GRNT Written decision to grant