KR101344146B1 - 반도체집적회로장치 및 반도체집적회로장치의 제조 방법 - Google Patents

반도체집적회로장치 및 반도체집적회로장치의 제조 방법 Download PDF

Info

Publication number
KR101344146B1
KR101344146B1 KR1020110054737A KR20110054737A KR101344146B1 KR 101344146 B1 KR101344146 B1 KR 101344146B1 KR 1020110054737 A KR1020110054737 A KR 1020110054737A KR 20110054737 A KR20110054737 A KR 20110054737A KR 101344146 B1 KR101344146 B1 KR 101344146B1
Authority
KR
South Korea
Prior art keywords
insulating film
film
interlayer insulating
wiring
via hole
Prior art date
Application number
KR1020110054737A
Other languages
English (en)
Other versions
KR20110082500A (ko
Inventor
카츠히코 홋타
쿄코 사사하라
Original Assignee
르네사스 일렉트로닉스 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 르네사스 일렉트로닉스 가부시키가이샤 filed Critical 르네사스 일렉트로닉스 가부시키가이샤
Publication of KR20110082500A publication Critical patent/KR20110082500A/ko
Application granted granted Critical
Publication of KR101344146B1 publication Critical patent/KR101344146B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • H01L23/5258Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive the change of state resulting from the use of an external beam, e.g. laser beam or ion beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

듀얼 대머신(Dua1-Damascene)법을 이용한 다층 Cu배선의 형성 공정을 간략화한다.
층간절연막(45) 위로 형성한 포토레지스트막(51)을 마스크로 해서 층간 절연막(45)을 드라이 에칭하고, 층간 절연막(45)의 중도부에 형성한 스토퍼막(46)의 표면에서 에칭을 정지함으로써 배선홈(52, 53)을 형성한다. 여기에서, 스토퍼막(46)을 광반사율이 낮은 SiCN막에 의해 구성하고, 포토레지스트막(51)을 노광할 때의 반사 방지막으로서 기능시키는 것에 의해, 포토레지스트막(51)의 하층에 반사 방지막을 형성하는 공정이 불필요하게 된다.

Description

반도체집적회로장치 및 반도체집적회로장치의 제조 방법{A SEMICONDUCTOR DEVICE AND A METHOD OF MANUFACTURING THE SAME}
본 발명은, 반도체집적회로장치의 제조 기술에 관한 것으로, 특히, 듀얼대머신(Dual-Damascene)법을 이용한 배선의 형성에 적용하는 유효한 기술에 관한다.
최근의 미세화된 반도체집적회로장치의 제조 공정에서는 대머신(Damascene)법이라고 불리는 미세배선 형성 방법이 주류가 되고 있다.
대머신법은, 반도체기판상의 층간절연막에 미세한 배선홈을 형성한 후, 이 배선홈의 내부를 포함하는 층간절연막 위로 금속막을 퇴적하고, 다음에 화학적 기계연마(CMP:Chemical Mechanical Polishing)법을 이용해서 배선홈의 외부의 금속막을 제거함으로써, 배선홈의 내부에 미세한 매립 배선을 형성하는 방법이다.
상기 대머신법 중, 듀얼대머신법이라고 불리는 방법에 있어서는, 층간절연막에 형성한 배선홈의 하부에 하층배선 접속용의 비어홀을 형성하고, 배선홈와 비어홀에 동시에 금속막을 매립해서 배선을 형성함으로써, 공정수의 단축을 꾀하고 있다. 한편, 미리 비어홀의 내부에 금속 플러그를 형성한 후, 배선홈의 내부에 매립 배선을 형성하는 방법은, 싱글대머신(Single -Damascene)법이라고 불린다.
매립 배선용의 금속재료로서는, 세선화해도 높은 신뢰성을 확보할 수 있는 Cu(동)이 주로 사용되고 있다. 또한, 대머신법을 사용하여 층간 절연막에 매립 배선을 형성할 경우는, 인접 배선 간에 생기는 용량을 저감하기 위해서, 층간절연막을 유전율의 낮은 절연 재료로 구성하는 것이 행하여지고 있다. 저유전율 재료로 이루어지는 층간 절연막에 대머신법으로 매립 배선을 형성하는 기술에 대해서는, 예컨대 특개 2004-221275호공보(특허문헌1)이나, 특개 2003-124307호공보(특허문헌2)등에 기재가 있다.
또한, 특개2003-163265호공보(특허문헌3)에는, 싱글대머신법에 의해 배선층을 형성할 경우, 비어홀의 개구시에 SiCN막을 레지스트 패턴의 반사 방지막으로서 사용하는 제조 방법의 개시가 있다.
[특허문헌1]특개 2004-221275호 공보
[특허문헌2]특개 2003-124307호 공보
[특허문헌3]특개 2003-163265호 공보
본 발명자가 검토한 듀얼대머신법에 의한 다층Cu배선의 형성 공정은, 개략 다음과 같다.
(공정1) 우선, 종래 주지의 방법을 이용해서 반도체 기판 위로 반도체소자를 형성하고, 반도체소자의 상부에 하층배선을 형성한다.
(공정2) 다음에, 하층배선의 상부에 층간절연막을 퇴적하고, 층간 절연막 위로 반사 방지막을 형성한 후, 반사 방지막위로 포토레지스트막을 형성한다.포토레지스트막은, 비어홀 패턴이 형성된 포토마스크를 사용하여 노광을 하고, 계속해서 현상을 함으로써, 비어홀 형성 영역이 개구된 패턴을 전사한다.반사 방지막은, 포토레지스트막을 노광할 때, 하층배선의 표면에서 반사한 노광 광이 포토레지스트막에 입사하고, 해상도의 저하를 막기 위해서 형성한다. 포토레지스트막의 하층에 형성하는 반사 방지막은, BARC(Bottom Anti Reflective Coating)이라고도 불린다.
(공정3) 다음에, 포토레지스트막을 마스크로 해서 반사 방지막 및 층간 절연막을 드라이 에칭함으로써, 층간절연막에 비어홀을 형성한다. 계속해서, 포토레지스트막과 반사 방지막을 제거하고, 비어홀의 내부에 매립제를 충전한다. 매립제는, 반사 방지막과 거의 동일조성의 절연 재료로부터 이루어진다. 비어홀의 내부에 매립제를 충전하기 위해서는, 비어홀의 내부를 포함하는 층간 절연막 위로 매립제를 퇴적한 후, 비어홀의 외부의 매립제를 에치백에 의해 제거한다. 이 에치백을 하면, 비어홀에 충전된 매립제의 표면은, 거의 평탄해지고, 또한 층간 절연막의 표면과 거의 같은 높이가 된다.
(공정4) 다음에, 층간 절연막 위로 제2의 반사 방지막을 형성하고, 이 반사 방지막위로 제2의 포토레지스트막을 형성한다. 제2의 포토레지스트막은, 배선홈 패턴이 형성된 포토마스크를 사용하여 노광을 하고, 계속해서 현상을 함으로써, 배선홈형성 영역이 개구된 패턴을 전사한다. 다음에, 제2의 포토레지스트막을 마스크로 해서 제2의 반사 방지막을 드라이 에칭하고, 계속해서 층간 절연막을 그 도중까지 드라이 에칭함으로써, 비어홀의 상부에 배선홈을 형성한다.
(공정5) 다음에, 제2의 포토레지스트막을 제거한 후, 제2의 반사 방지막을 제거한다.
제2의 반사 방지막을 제거할 때는, 비어홀에 충전된 매립제도 제거하고, 비어홀의 저부에 하층배선의 표면을 노출시킨다. 그 후, 배선홈 및 비어홀의 내부에 Cu배선을 형성한다. Cu 배선을 형성하기 위해서는, 배선홈 및 비어홀의 내부를 포함하는 층간절연막 위로 스퍼터링법 또는 도금법에서 Cu막을 퇴적한 후, 배선홈의 외부의 Cu막을 화학적 기계연마법에 의해 제거한다. 이하, 상기에서 행한 공정2∼공정5을 반복하는 것에 따라, 반도체 기판 위로 다층Cu배선을 형성한다.
일반적으로, 반도체 기판 위로 형성되는 다층Cu배선은, 상층의 배선 정도 폭 및 두께가 커진다. 따라서, 상층의 층간 절연막에 형성되는 비어홀도, 하층의 층간 절연막에 형성되는 비어홀에 비해서 직경 및 깊이가 커진다.
그런데, 비어홀의 직경 및 깊이가 커지면, 상기에서 행한 공정3에 있어서, 비어홀의 내부에 매립제를 양호하게 충전하는 것이 어려워진다. 그 때문에, 비어홀의 내부를 포함하는 층간 절연막 위로 매립제를 퇴적한 후, 비어홀의 외부의 매립제를 에치백에 의해 제거하면, 비어홀에 충전된 매립제의 표면이 평탄해지지 않고, 층간절연막의 표면과의 사이에 단차가 생긴다. 그 결과, 다음의 공정4에 있어서, 층간절연막 위로 반사 방지막을 균일하게 형성할 수가 없게 된다는 문제가 생긴다.
본 발명의 목적은, 듀얼대머신법에 의한 다층Cu배선의 형성을 수율 좋게 하는 기술을 제공하는 것에 있다.
본 발명의 다른 목적은, 듀얼대머신법에 의한 다층Cu배선의 형성 공정을 간략화하는 것이 할 수 있는 기술을 제공하는 것에 있다.
본 발명의 상기 및 그 밖의 목적과 신규인 특징은, 본 명세서의 기술 및 첨부 도면으로부터 밝혀질 것이다.
본원에 있어서 개시되는 발명 중, 대표적인 것의 개요를 간단히 설명하면, 다음과 같다.
본 발명에 의한 반도체집적회로장치의 제조 방법은, (a)반도체기판의 주면에 반도체소자를 형성하고, 상기 반도체소자의 상부에 1층 또는 복수층의 제1배선을 형성하는 공정과, (b)상기 제1배선의 상부에 제1층간 절연막을 형성하고, 상기 제1층간 절연막의 중도부에 제1스토퍼막을 형성하는 공정과, (c)상기 제1층간 절연막의 상부에 제1반사 방지막을 형성하고, 상기 제1반사 방지막의 상부에 제1포토레지스트막을 형성하는 공정과, (d)상기 제1포토레지스트막을 마스크로 해서, 상기 제1반사 방지막, 상기 제1층간 절연막 및 상기 제1스토퍼막을 에칭함으로써, 상기 제1층간 절연막에 제1비어홀을 형성하는 공정과, (e)상기 제1포토레지스트막 및 상기 제1반사 방지막을 제거한 후, 상기 제1비어홀의 내부에 제1매립제를 충전하는 공정과, (f)상기 공정(e)후, 상기 제1층간 절연막의 상부에, 반사 방지막을 개재하는 일없이, 제2포토레지스트막을 형성하는 공정과, (g)상기 제2포토레지스트막을 마스크로 해서, 상기 제1비어홀이 형성된 영역을 포함하는 영역의 상기 제1층간절연막을 에칭함으로써, 상기 제1스토퍼막의 상부의 상기 제1층간 절연막에 제1배선홈을 형성하는 공정과, (h)상기 제2포토레지스트막 및 상기 제1매립제를 제거한 후, 상기 제1배선홈 및 상기 제1비어홀의 내부에 금속막을 매립해서 제2배선을 형성하는 공정을 포함하고, 상기 제1스토퍼막을 광반사율이 낮은 재료로 구성함으로써, 상기 제1층간 절연막의 상부에 형성한 상기 제2포토레지스트막을 노광해서 상기 제1배선홈의 패턴을 전사할 때, 상기 제1스토퍼막을 반사 방지막으로서 이용하는 것이다.
본원에 있어서 개시되는 발명 중, 대표적인 것에 의해 얻을 수 있는 효과를 간단히 설명하면 이하와 같다.
본 발명에 의하면, 듀얼대머신법에 의한 다층Cu배선의 형성을 수율 좋게 하는 것이 가능해진다.
또한, 듀얼대머신법에 의한 다층Cu배선의 형성 공정을 간략화하는 것이 가능해진다.
도 1은 본 발명의 1 실시형태인 반도체집적회로장치의 제조 방법의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 2는 도 1에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 3은 도 2에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 4는 도 3에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 5는 도4에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 6은 도 5에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 7은 도 6에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 8은 도 7에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 9는 도 8에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 10은 도 9에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 11은 도 10에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 12는 도 11에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 평면도이다.
도 13은 도 12에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 평면도이다.
도 14는 도 13에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 15는 도 14에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 16은 도 15에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 17은 도 16에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 18은 도 17에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 19는 도 18에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 20은 도 19에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 21은 도 20에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 22는 도 21에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 23은 도 22에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 24는 도 23에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 25는 도 24에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 26은 도 25에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 27은 본 발명의 다른 실시형태인 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 28은 도 27에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 29는 도 28에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
도 30은 도 29에 계속되는 반도체집적회로장치의 제조 방법을 나타내는 반도체기판의 요부 단면도이다.
이하, 본 발명의 실시형태를 도면에 근거해서 상세히 설명한다. 또, 실시형태를 설명하기 위한 전체 도면에 있어서, 동일한 부재에는 원칙적으로 동일한 부호를 붙이고, 그 반복의 설명은 생략한다.
(실시형태1)
본 실시형태는, 예컨대, 4층Cu배선과 퓨즈를 갖는 반도체집적회로장치이며, 그 제조 방법을 도 1∼도 26을 이용해서 공정순으로 설명한다.
우선, 도 1에 나타낸 바와 같이, 예컨대, 단결창 실리콘으로 이루어지는 반도체기판 (이하, 단지 기판이라고 한다)(1)의 주면에, 반도체소자로서 n 채널형MISFET(Qn) 및 p 채널형 MISFET(Qp)을 형성한다. 또, 도중의 부호(2)는 소자분리 홈, 부호4는 p형 웰, 부호5은 n형 웰을 각각 나타내고 있다.
소자분리홈(2)은, 기판(1)을 에칭해서 형성한 구의 내부에 절연막으로서, 예컨대, 산화실리콘막(3)을 매립해서 형성한다. p형 웰(4) 및 n형 웰(5)은, 기판(1)에 p형불순물(붕소) 및 n형불순물(인)을 이온 주입하고, 계속해서 기판(1)을 열처리해서 이것들의 불순물을 기판(1) 중에 확산시키는 것에 의해 형성한다.
n channel형 MISFET(Qn)은, p형 웰(4)의 표면에 형성된 산화실리콘막 또는 산질화 질화 실리콘막으로 구성되는 것이 게이트 절연막(6), 게이트 절연막(6)의 상부에 형성된다. 결정 실리콘 막 등으로 이루어지는 게이트 전극(7), 게이트 전극(7)의 측벽에 형성된 산화실리콘막등으로 이루어지는 사이드 월 스페이서(8), 게이트 전극(7)의 양측의 p형 웰(4)에 형성된 한 쌍의 n형 반도체영역(소스, 드레인)(11)등에 의해 구성된다.
p 채널형 MISFET(Qp)은, 게이트 절연막(6), 게이트 전극(7), 사이드 월 스페이서(8), 게이트 전극(7)의 양측의 n형 웰(5)에 형성된 한 쌍의 p형반도체영역(소스, 드레인)(12)등에 의해 구성된다. n 채널형 MISFET(Qn)의 게이트 전극(7)을 구성하는 다결정 실리콘 막 중에는 n형 불순물(ling)이 도입되어, p 채널형MISFET(Qp)의 게이트 전극(7)을 구성하는 다결정 실리콘 막 중에는 p형 불순물(붕소)이 도입된다. 또한, n 채널형 MISFET(Qn)의 게이트 전극(7)과 n형 반도체영역(소스, 드레인)(11)의 각각의 표면 및 p 채널형 MISFET(Qp)의 게이트 전극(7)과 p형반도체영역(소스, 드레인)(12)의 각각의 표면에는, 게이트 전극(7) 및 소스, 드레인의 저저항화를 목적으로서 Co(코발트)시리사이드막(9)이 형성된다.
다음에, 도 2에 나타낸 바와 같이, n 채널형MISFET(Qn) 및 p 채널형MISFET(Qp)의 상부에 플러그(16) 및 제1층배선(19)을 형성하고, n 채널형MISFET(Qn) 및 p 채널형MISFET(QP)과 제1층배선(19)과를 플러그(16)를 통해서 전기적으로 접속한다.
제1층배선(19)은, 이하의 방법에서 형성한다. 우선, 기판(1)위로 에칭스토퍼막(13) 과 절연막(14)을 퇴적한 후, 절연막(14)의 표면을 화학적 기계연마법에서 평탄화한다. 에칭스토퍼막(13)은, 예컨대 CVD법으로 퇴적한 질화 실리콘 막으로 구성하고, 절연막(14)은, 예컨대 CVD법으로 퇴적한 산화실리콘막으로 구성한다.
다음에, n 채널형MISFET(Qn)의 n형반도체영역(소스, 드레인)(11) 및 p 채널형MISFET(Qp)의 p형반도체영역(소스, 드레인)(12)의 각각의 상부의 절연막(14)을 에칭하고, 계속해서 그 하층의 에칭스토퍼막(13)을 에칭해서 콘택트 홀(15)을 형성한다. 다음에, 콘택트 홀(15)의 내부에 플러그(16)를 형성한다. 플러그(16)는, 예컨대 TiN(질화 티타늄)막과 W(텅스텐)막과의 적층막으로 구성한다.여기에서, TiN막은 W막의 배리어 메탈 막으로서 기능한다. 배리어 메탈 막은, TiN막과 Ti(티타늄)막과의 적층막으로 구성해도 좋다.
다음에, 절연막(14)의 상부에 CVD법에서 막두께 200nm정도의 절연막(17)(SiOC막(17) )과 막두께 50nm정도의 산화실리콘막으로 구성되는 절연막(18)을 퇴적한 후, 포토레지스트막(도시하지 않음)을 마스크로 해서 절연막(18) 및 SiOC막(17)을 드라이 에칭함으로써, 배선홈(20)을 형성한다. 절연막(17)(SiOC막(17))은, 배선간 용량을 저감하기 위한 저유전율 절연막이며, 산화실리콘막 (예를들면 TEOS(Tetra ethoxy silane)산화막)의 유전율보다도 낮은 유전율을 갖는 절연막을 예시할 수 있다.
일반적으로는, TEOS산화막의 비유전율 ε=4.1∼4.2정도 이하를 저유전율인 절연막이라고 한다. 본 실시형태에 있어서는, 그 비유전율은 2.7 정도이다. SiOC 막(17)의 상부에 형성하는 절연막(18)은, 기계적 강도가 낮은 SiOC막(17)이 화학적 기계연마에 의해 열화하는 것을 막는 보호막으로서 기능한다.
다음에, 배선홈(20)의 내부에 막두께 50nm 정도의 TiN막, 또는 TiN막과 Ti막과의 적층막으로 구성하는 것이 배리어 메탈 막을 스퍼터링법으로 퇴적하고, 계속해서 배선홈(20)의 내부를 완전히 매립하는 두꺼운 (800nm∼1600nm정도)Cu막을 스퍼터링법 또는 도금법으로 퇴적한다. 배리어 메탈 막은, Cu막이 주위의 절연막중에 확산하는 것을 막는 배리어 막으로서 기능한다. 배리어 메탈 막으로서는, TiN막이외에, WN(질화 텅스텐)막이나 TaN(질화 탄탈)막과 같은 질화 금속막 또는 이것들에 Si를 첨가한 합금막, 혹은 Ta막, Ti막, W막, TiW막과 같은 고융점금속막, 또는 이것들 고융점금속막의 적층막등, Cu와 반응하기 어려운 각종 도전막을 사용할 수가 있다.
다음에, 배선홈(20)의 외부의 Cu막과 배리어 메탈 막과를 화학적 기계연마법에서 제거함으로써, 배선홈(20)의 내부에 동을 주성분으로 하는 금속막이 매립되어서 형성된다. 이렇게 하여, 배선홈(20)의 내부에 남은 배리어 메탈 막과 Cu막과의 적층막으로 구성되는 제1층배선(19)이 형성된다.
다음에, 도 3에 나타낸 바와 같이, 제1층배선(19)의 상층에 배리어 절연막(21, 22), 층간 절연막(23) 및 절연막(24)을 순차 퇴적한다. 배리어 절연막(21)은, 제1층배선(19)의 재료인 Cu가 층간 절연막(23) 중에 확산하는 것을 막기 위한 절연막이며, 예컨대 플라즈마CVD법으로 퇴적한 막두께 20nm∼100nm정도의 SiCN막으로 구성한다. 또한, 배리어 절연막(22)은, 하층의 배리어 절연막(21)을 구성하는 SiCN막중 에 포함되는 아민 화합물이 층간절연막(23) 중에 확산하는 것을 막기 위한 절연막이며, 예컨대 CVD법으로 퇴적한 막두께 10nm∼100nm정도의 SiCO막으로 구성한다. 층간 절연막(23) 중에 아민 화합물이 확산되면, 다음 공정에서 절연막(24)의 상층에 형성하는 포토레지스트막중에 아민 화합물이 확산되고, 포토레지스트막의 감광 기능이 실활(失活)할 우려가 있다.
층간절연막(23)은, 제1층배선(19)과 뒤의 공정에서 형성하는 제2층배선과의 사이에 형성되는 용량을 저감하기 위해서, 유전율이 낮은 절연막, 예컨대 상술의 SiOC막으로 구성한다. SiOC 막은 CVD법으로 퇴적하고, 그 막두께는 460nm정도로 한다. 또한, 층간절연막(23)과 같은 저유전율의 막은 도포법에 의해 형성할 수도 있다. 또한, 층간 절연막(23)의 상부에 형성하는 절연막(24)은, 하층의 절연막(18)과 마찬가지로, 화학적 기계연마에 의해 Cu배선을 형성할 때에, 기계적 강도가 낮은 SiOC막으로 구성하는 것이 층간절연막(23)을 보호하기 위한 절연막이며, 예컨대 CVD법으로 퇴적한 막두께 50nm정도의 산화실리콘막으로 구성한다.
다음에, 도 4에 나타낸 바와 같이, 절연막(24)위로 반사 방지막(25)을 형성하고, 반사 방지막(25)위로 포토레지스트막(26)을 형성한다. 반사 방지막(25)은, 포토레지스트막(26)을 노광할 때, 제1층배선(19)의 표면에서 반사한 노광 광이 포토레지스트막(26)에 입사하고, 해상도의 저하를 막기 위해서 형성한다.반사 방지막은, BARC(Bottom Anti Reflective Coating)이라고 불리는 막이며, 시모지의 절연막(24) 및 층간절연막(23)보다도 굴절율이 높은 막이다. 포토레지스트막(26)은, 비어홀 패턴이 형성된 포토마스크(도시하지 않음)를 사용하여 노광을 하고, 계속해서 현상을 함으로써, 비어홀 형성 영역이 개구된 패턴을 전사한다.
다음에, 도 5에 나타낸 바와 같이, 포토레지스트막(26)을 마스크로 해서 반사 방지막(25), 절연막(24) 및 층간 절연막(23)을 순차 드라이 에칭함으로써, 제1층배선(19)의 상부에 비어홀(27)을 형성한다.
다음에, 포토레지스트막(26)과 반사 방지막(25)을 제거한다. 이때, 반사 방지막(25)을 상기의 BARC에서 형성했을 경우, 막의 조성이 포토레지스트막(26)과 유사하기 때문에, 일단의 세정에 의해 포토레지스트막(26) 및 반사 방지막(25)을 동시에 제거할 수가 있다. 그 후, 도 6에 나타낸 바와 같이, 비어홀(27)의 내부에 매립제(28)를 충전한다. 매립제(28)는, 반사 방지막(25)과 거의 동일조성의 절연 재료로부터 된다. 매립제(28)를 충전하기 위해서는, 비어홀(27)의 내부를 포함하는 절연막(24)위로 매립제(28)를 스핀 도포해서 경화시킨 후, 비어홀(27)의 외부의 매립제(28)를 에치백에 의해 제거한다. 제1층배선(19)과 뒤에 형성하는 제2층배선을 접속하는 비어홀(27)의 직경은, 비교적 작다. 그 때문에, 이 에치백을 하면, 비어홀(27)에 충전된 매립제(28)의 표면은, 거의 평탄한 면이 되고, 또한 절연막(24)의 표면과 거의 같은 높이가 된다.
다음에, 도 7에 나타낸 바와 같이, 절연막(24)위로 반사 방지막(30)을 형성하고, 반사 방지막(30)위로 포토레지스트막(31)을 형성한다. 본 실시형태에서는, 반사 방지막(30)은 상술의 BARC을 사용하고 있다. 포토레지스트막(31)은, 배선홈 패턴이 형성된 포토마스크(도시하지 않음)를 사용하여 노광을 하고, 계속해서 현상을 함으로써, 배선홈형성 영역이 개구된 패턴을 전사한다.
다음에, 도 8에 나타낸 바와 같이, 포토레지스트막(31)을 마스크로 해서 반사 방지막(30) 및 절연막(24)을 순차 드라이 에칭하고, 계속해서 층간절연막(23)을 그 도중까지 드라이 에칭함으로써, 배선홈(32)을 형성한다. 이때, 층간 절연막(23)에는 에칭스토퍼로 되는 막이 존재하지 않기 때문에, 배선홈(32)형성의 에칭은 시간제어에 의해 행하여진다. 후술하지만, 하층의 배선 치수는 상층의 배선 치수에 비해서 미세하게 레이아웃 되기 때문에, 층간 절연막(23)보다도 유전율이 높은 막을 형성하면, 배선간 용량이 증대해버린다. 본 실시형태에서는, 층간 절연막(23) 중에 에칭스토퍼막을 형성하지 않음으로써 배선간의 용량을 저감할 수가 있다. 또한, 상층의 배선홈의 깊이에 비해서 하층의 배선홈의 깊이는 얕게 레이아웃 되는 것부터, 배선홈 형성시의 에칭량은 적어도 되므로, 특히 에칭스토퍼막을 설치하지 않아도 에칭의 시간제어에 의해서 막두께를 제어할 수가 있다.
다음에, 포토레지스트막(31)을 제거한 후, 도 9에 나타낸 바와 같이, 절연막(24)상의 반사 방지막(30)을 드라이 에칭으로 제거한다. 이때, 비어홀(27)에 충전된 매립제(28)과 그 하층의 배리어 절연막(22, 21)도 에칭하고, 비어홀(27)의 저부에 제1층배선(19)의 표면을 노출시킨다.
다음에, 도 10에 나타낸 바와 같이, 배선홈(32) 및 비어홀(27)의 내부에 제2층배선(33)을 형성한다. 제2층배선(33)을 형성하기 위해서는, 우선, 배선홈(32) 및 비어홀(27)의 내부를 포함하는 절연막(24)위로 50nm정도의 얇은 TiN막(배리어 메탈 막)을 스퍼터링법으로 퇴적한다. 계속해서, 이 TiN막 위로 배선홈(32) 및 비어홀(27)의 내부를 완전히 매립하는 두꺼운 Cu막을 스퍼터링법 또는 도금법으로 퇴적한 후, 배선홈(32)의 외부의 Cu막과 배리어 메탈 막을 화학적 기계연마법에 의해 제거한다. 이때, 절연막(24)은 층간 절연막(23)보다도 기계적 강도가 강하기 때문에, 층간 절연막(23)의 보호막으로서 기능하고 있다.
다음에, 도 11에 나타낸 바와 같이, 제2층배선(33)의 상층에 배리어 절연막(34), 층간 절연막(35) 및 반사 방지막(36)을 퇴적한 후, 반사 방지막(36)위로 형성한 포토레지스트막(37)을 마스크로 해서 반사 방지막(36) 및 층간절연막(35)을 드라이 에칭함으로써, 제2층배선(33)의 상부에 비어홀(38)을 형성한다.
배리어 절연막(34)은, 제1층배선(19)의 표면을 덮는 배리어 절연막(21)과 마찬가지로, 배선 재료인 Cu가 층간 절연막(35) 중에 확산하는 것을 막기 위한 절연막이며, 예컨데 플라즈마CVD법으로 퇴적한 막두께 20nm∼100nm정도의 SiCN막으로 구성한다.
일반적으로, 기판(1)위로 형성되는 다층배선은, 상층의 배선으로 될수록 배선끼리의 간격이 넓어지므로, 배선간 용량이 작아진다. 따라서, 층간 절연막(35)은, 뒤의 공정에서 형성하는 제3층배선끼리나, 제3층배선과 제2층배선(33)과의 사이의 용량이 문제가 안될 경우는, 예컨대 CVD법으로 퇴적한 막두께 700nm정도의 산화실리콘막으로 구성한다. 산화실리콘막은 저유전율 재료인 SiCO막에 비해서 막질이 치밀한 것부터, 층간 절연막(35)을 산화실리콘막으로 구성했을 경우는, SiCN막으로 구성하는 것이 배리어 절연막(34)위로 층간 절연막(35)을 직접 퇴적해도, 아민 화합물의 확산은 문제가 안된다.또, SiCN막은, 산화실리콘막과의 접착성이 비교적 낮으므로, 배리어 절연막(34)과 층간절연막(35)과의 접착성을 향상시킬 목적에서, 양자간에 SiCO막을 형성해도 좋다. 또한, 층간절연막(35)을 구성하는 산화 실리콘계 재료로서는, F(불소)을 첨가해서 유전율을 내린 산화 실리콘을 사용할 수도 있다.
다른 한편, 제3층배선(43)끼리나, 제3층배선(43)과 제2층배선(33)과의 사이의 용량이 문제가 될 경우는, 층간 절연막(35)을 SiCO막과 같은 저유전율 재료로 구성한다. 이 경우는, 배리어 절연막(34)과 층간절연막(35)과의 사이에 SiCO막을 형성하고, 배리어 절연막(34)중의 아민 화합물의 확산을 방지하는 것이 바람직하다. 이하 그러면 층간 절연막(35)을 산화실리콘막으로 구성할 경우에 대해서 설명한다.
다음에, 포토레지스트막(37)과 반사 방지막(36)을 제거한 후, 도 12에 나타낸 바와 같이, 비어홀(38)의 내부에 매립제(39)를 충전한다. 상술한 바와 같이, 매립제(39)는, 상술의 반사 방지막과 거의 동일조성의 절연 재료로부터 된다. 매립제(39)의 충전 방법도, 상기한 비어홀(27)의 내부에 매립제(28)를 충전하는 방법과 같다.
제2층배선(33)과 뒤에 형성하는 제3층배선을 접속하는 비어홀(38)의 직경은 비교적 작으므로, 비어홀(38)에 충전된 매립제(39)의 표면은, 거의 평탄한 면이 되고, 또한 층간절연막(35)의 표면과 거의 같은 높이가 된다.
다음에, 도 13에 나타낸 바와 같이, 층간 절연막(35)에 배선홈(42)을 형성한다. 배선홈(42)을 형성하기 위해서는, 층간 절연막(35)위로 반사 방지막(40)을 형성하고, 반사 방지막(40)위로 포토레지스트막(41)을 형성한 후, 포토레지스트막(41)을 마스크로 해서 반사 방지막(40)을 드라이 에칭하고, 계속해서 층간 절연막(35)을 그 도중까지 드라이 에칭한다.
본 실시형태에서는, 상기의 배선홈(32)의 형성과 동일하게, 배선홈(42)의 형성을 시간제어에 의한 에칭으로 행하고 있다.
다음에, 도 14에 나타낸 바와 같이, 배선홈(42) 및 비어홀(38)의 내부에 제3층배선(43)을 형성한다. 제3층배선(43)을 형성하기 위해서는, 우선, 포토레지스트막(41)을 제거하고, 계속해서 반사 방지막(40)을 드라이 에칭에서 제거한다. 반사 방지막(40)을 제거할 때에는, 비어홀(38)에 충전된 매립제(39)과 그 하층의 배리어 절연막(34)도 제거하고, 비어홀(38)의 저부에 제2층배선(33)의 표면을 노출시킨다. 다음에, 배선홈(42) 및 비어홀(38)의 내부를 포함하는 층간 절연막(35) 위로 얇은 TiN막(배리어 메탈 막)을 스퍼터링법으로 퇴적하고, 계속되고, 이 TiN막 위로 두꺼운 Cu막을 스퍼터링법 또는 도금법으로 퇴적한 후, 배선홈(42)의 외부의 Cu막과 배리어 메탈 막과를 화학적 기계연마법에 의해 제거한다.
다음에, 도 15에 나타낸 바와 같이, 제3층배선(43)의 상층에 배리어 절연막(44)과 층간절연막(45)을 퇴적한다. 배리어 절연막(44)은, Cu의 확산을 막기 위한 절연막이며, 하층의 배리어 절연막(34, 21)과 마찬가지로, 플라즈마CVD법으로 퇴적한 막두께 50nm∼100nm정도의 SiCN막으로 구성한다. 다음 공정에서 층간 절연막(45) 중에 형성하는 제4층배선은, 하층의 배선에 비해서 배선의 치수, 배선끼리의 간격 및 배선의 막두께가 크다. 거기에서, 층간절연막(45)은, CVD법으로 퇴적한 막두께1μm정도의 산화실리콘막으로 구성한다.
또, 베리어절연막(44)과 층간 절연막(45)과의 사이에는, 양자의 접착성을 향상시킬 목적에서 SiCO막을 형성해도 좋다. 또한, 층간 절연막(45)을 구성하는 산화 실리콘계 재료로서, F를 첨가해서 유전율을 내린 산화 실리콘을 사용할 수도 있다.
층간 절연막(45)의 막두께가 커지면, 층간 절연막(45)을 그 도중까지 에칭해서 배선홈을 형성할 때, 배선홈의 깊이를 고정밀도로 제어하는 것이 어렵게 된다. 즉, 상술한 배선홈(32, 42)보다도 배선홈(52, 53)의 깊이는 깊기 때문에, 상술한 배선홈(32, 42)과 같이 시간제어의 에칭에 의해 형성하는 것이 어렵다. 그래서, 에칭 선택비가 층간 절연막(45)과 다른 에칭 선택비를 갖도록 스토퍼막(46)을 층간절연막(45)의 도중에 형성하고, 스토퍼막(46)의 표면에서 에칭을 정지함으로써, 배선홈의 깊이를 제어한다. 본 실시형태에서는, 층간 절연막(45)의 도중에 형성하는 스토퍼막(46)으로서, 플라즈마CVD법으로 퇴적한 막두께 10nm∼100nm정도의 SiCN막을 사용한다. SiCN 막은, 산화실리콘막에 대한 에칭 선택비가 크고, 또한 유전율도 낮으므로, 스토퍼막(46)으로서 유용하다. 또한, 빛의 반사율이 낮다(층간절연막(45)에 비해서 굴절율이 작다)고 하는 특성을 가지므로, 후술하는 것 같이, 반사 방지막으로서도 기능한다.
다음에, 도 16에 나타낸 바와 같이, 층간절연막(45)위로 반사 방지막(47)을 형성한 후, 반사 방지막(47)위로 형성한 포토레지스트막(48)을 마스크로 해서 반사 방지막(47), 층간절연막(45),스토퍼막(46) 및 층간 절연막(45)을 순차 드라이 에칭함으로써, 제3층배선(43)의 상부에 비어홀(38)을 형성한다.
다음에, 포토레지스트막(48)과 반사 방지막(47)을 제거한 후, 도 17에 나타낸 바와 같이, 비어홀(49)의 내부에 매립제(50)를 충전한다. 매립제(50)의 재료 및 충전 방법은, 상술한 그것과 같다. 단지, 제4층배선을 형성하기 위한 비어홀(49)은, 하층의 비어홀(38, 27)에 비해서 직경 및 깊이가 크기 때문에, 매립제(50)를 양호하게 매립하는 것이 어렵게 된다. 따라서, 비어홀(49)에 충전된 매립제(50)는, 그 표면이 평탄해지지 않고, 또한 층간 절연막(45)의 표면과의 사이에 단차가 생긴다.
다음에, 도 18에 나타낸 바와 같이, 층간절연막(45)위로 포토레지스트막(51)을 형성한다. 상술한 바와 같이, 비어홀(49)에 충전된 매립제(50)의 표면은, 평탄하지 않고, 또한 층간 절연막(45)의 표면과의 사이에 단차가 생기고 있으므로, 층간 절연막(45)의 표면전체에 균일한 막두께의 반사 방지막을 도포하는 것은 곤란하다. 따라서, 여기에서는, 반사 방지막을 이용하는 일없이, 층간절연막(45)위로 포토레지스트막(51)을 직접 형성한다.
포토레지스트막(51)은, 배선홈 패턴과 퓨즈 패턴이 형성된 포토마스크(도시하지 않음)를 사용하여 노광을 하고, 계속해서 현상을 함으로써, 배선홈형성 영역과 퓨즈 형성 영역이 개구된 패턴을 전사한다. 상술한 바와 같이, 층간 절연막(45)의 도중에는, 빛의 반사율이 낮은 SiCN막으로 구성된 스토퍼막(46)이 형성되어 있다. 그 때문에, 포토레지스트막(51)의 하층에 반사 방지막을 형성하지 않더라도, 제3층배선(43)의 표면에서 반사한 노광 광이 포토레지스트막(51)에 입사해서 해상도를 저하시키는 문제를 억제할 수가 있다. 이것에 의해, 포토레지스트막(51)의 하층에 반사 방지막을 형성하는 공정이 불필요하게 되므로, 공정을 간략화할 수가 있다. 이렇게, 층간 절연막(45)의 도중에 형성하는 스토퍼막(46)에는, 에칭 선택비가 산화실리콘막과 다르다는 것, 광의 반사율이 낮다는 것 및 유전율에서 낮은 것이 요구된다. 이러한 절연 재료로서는, 상기 SiCN 이외에, 질화 실리콘(SiN)이나 산질화 실리콘(SiON)을 들 수 있지만, 이것들 중에서는 SiCN이 가장 바람직하다.
다음에, 도 19에 나타낸 바와 같이, 포토레지스트막(51)을 마스크로 해서 층간절연막(45)을 드라이 에칭하고,스토퍼막(46)의 표면에서 에칭을 정지한다.이것에 의해,스토퍼막(46)의 상층의 층간 절연막(45)에 배선홈(52, 53)이 형성된다.
다음에, 포토레지스트막(51)을 제거한 후, 도 20에 나타낸 바와 같이, 비어홀(49)에 충전된 매립제(50)를 드라이 에칭에서 제거함으로써, 비어홀(49)의 저부에 제3층배선(43)의 표면을 노출시킨다.
다음에, 도 21에 나타낸 바와 같이, 배선홈(52) 및 비어홀(49)의 내부에 제4층배선(54)을 형성하고, 배선홈(53)의 내부에 퓨즈(55)가 되는 제4층배선(54)을 형성한다.
도시는 하지 않지만, 퓨즈(55)는, 하층배선을 통해서 저항 소자에 접속된다. 저항 소자는, MISFET(Qn, Qp)의 게이트 전극(7)과 동층의 다결정 실리콘 막을 사용하여 형성된다. 그래서, 후술하는 프로브 테스트에 의해 CMOS메모리의 일부에 결함이 발견된 경우는, 레이저 빔 등을 사용하여 퓨즈(55)를 절단함으로써 저항 소자의 저항치를 변화시키고, 결함 메모리를 장황 메모리와 바꿔 놓는다.
제4층배선(54) 및 퓨즈(55)를 형성하기 위해서는, 배선홈(52, 53) 및 비어홀(49)의 내부를 포함하는 층간절연막(45)위로 얇은 TiN막(배리어 메탈 막)을 스퍼터링법으로 퇴적하고, 계속해서, 이 TiN막위로 두꺼운 Cu막을 스퍼터링법 또는 도금법으로 퇴적한 후, 배선홈(52, 53)의 외부의 Cu막과 배리어 메탈 막과를 화학적 기계 연마법에 의해서 제거한다.
또한, 층간 절연막(45) 중에는 에칭스토퍼막(46)이 남겨져 있으나, 일층의 제1, 제2 및 제3배선층에 비교하면, 상층의 제4배선층은 그 배선간 거리가 크게 레이아웃되어 있고, 층간 절연막(45)의 막두께가 두껍게 형성되어 있으므로, 배선간 용량 및 배선층간 용량의 증가는 거의 무시할 수 있다.
다음에, 도 22에 나타낸 바와 같이, 제4층배선(54) 및 퓨즈(55)의 상층에 배리어절연막(56)과 층간 절연막(57)을 퇴적한다. 배리어 절연막(56)은, Cu의 확산을 막기 위한 절연막이며, 하층의 배리어 절연막(44, 34, 21)과 같이, 플라즈마CVD법으로 퇴적한 SiCN막으로 구성한다. 층간 절연막(57)은, 하층의 층간 절연막(45, 35)과 같이, 산화 실리콘계의 절연막으로 구성하고, 막두께는 900nm정도로 한다.또, 도 22에 및 이하의 도에 있어서는, 제4층배선(54)보다도 하층의 부분의 도시를 생략한다.
후술하는 바와 같이, 제4층배선(54) 및 퓨즈(55)의 상층에는, 층간 절연막과 표면보호막이 형성된다. 또한, 퓨즈(55)의 상부의 층간 절연막과 표면보호막에는, 퓨즈(55)에 레이저 빔을 조사하기 위한 개구가 형성된다. 그 때문에, 이 개구를 통해서 외부의 수분이 회로 내로 침입했을 경우, 퓨즈(55)가 부식될 우려가 있다. 그래서, 본 실시형태에서는, 상기 배리어 절연막(56)의 막두께를, 하층의 배리어 절연막(44, 34, 21)의 막두께보다도 크게 하고 (예컨대 150nm∼200nm정도), 퓨즈(55)의 내습성을 향상시키고 있다.
다음에, 도 23에 나타낸 바와 같이, 층간 절연막(57)의 상부에 최상층 배선(제5층배선)(60)을 형성하고, 계속해서 최상층 배선(60)의 상부에 표면보호막(61)을 형성한다. 최상층 배선(60)을 형성하기 위해서는, 우선 포토레지스트막(도시하지 않음)을 마스크로 해서 제4층배선(54)의 상층의 층간 절연막(57)을 드라이 에칭하고, 계속해서 그 하층의 배리어 절연막(56)을 드라이 에칭해서 관통 구멍(through hole)(58)을 형성한 후, 관통 구멍(through hole)(58)의 내부에 플러그(59)를 형성한다. 플러그(59)는, 하층의 플러그(16)와 같이, TiN막과 W막과의 적층막으로 구성한다. 다음에, 층간 절연막(57)의 상부에 스퍼터링법에서 막두께 50nm∼100nm정도의 TiN막, 막두께1μm정도의 Al(알루미늄)합금막 및 막두께 50nm∼100nm정도의 TiN막을 퇴적하고, 포토레지스트막(도시하지 않음)을 마스크로 해서 이것들의 도전 막을 에칭함으로써, 최상층 배선(60)을 형성한다.또한, 최상층 배선(60)의 상부의 표면보호막(61)은, 플라즈마CVD법으로 퇴적한 막두께 200nm정도의 산화실리콘막과 막두께(60)0nm정도의 질화 실리콘 막의 적층막으로 구성한다.
다음에, 도 24에 나타낸 바와 같이, 포토레지스트막(도시하지 않음)을 마스크로 해서 표면보호막(61)을 드라이 에칭하고, 최상층 배선(60)의 일부를 노출시킴으로써, 본딩패드(60B)를 형성한다. 또한, 퓨즈(55)의 상층의 표면보호막(61) 및 층간 절연막(57)을 드라이 에칭함으로써, 개구(62)를 형성한다. 이때, 퓨즈(55)를 덮는 배리어 절연막(56)의 표면에서 에칭을 정지하고, 퓨즈(55)의 상부에 배리어절연막(56)을 남겨 둔다.
여기에서, 퓨즈(55)상부의 배리어 절연막(55)의 막두께를 하층의 배리어 절연막(44, 34, 21) 보다도 두껍게 형성하고 있기 때문에, 내습성을 확보할 수가 있다. 즉, 가령 상기의 배리어 절연막(56)의 막두께가 하층의 배리어 절연막(44, 34, 21)의 막두께와 동등하게 했을 경우, 예컨대, 퓨즈(55)상부에 개구(62)를 형성할 때의 에칭 공정, 포토레지스트막을 제거할 때의 산소플라즈마 에칭 공정, 그 밖의 세정 공정 등에 의해, 배리어 절연막(56)의 막두께가 더욱 얇아져서, 이것 에 따라 내습성이 저하하는 문제가 발생해버린다. 특히 개구(62)의 에칭에서는, 하층의 층간절연막보다도 두꺼운 막두께를 에칭할 필요가 있기 때문에, 오버에칭(H)에 의한 배리어 절연막(56)의 막줄기가 걱정된다. 따라서, 본 실시형태와 같이 , 배리어 절연막(56)의 막두께를 하층의 배리어 절연막(44, 34, 21)보다도 두껍게 형성해야 한다.
다음에, 본딩패드(60B)의 표면에 프로브(도시하지 않음)를 대서 회로의 전기시험을 한다(프로브 테스트).이 프로브 테스트에서 반도체소자의 일부에 결함이 발견된 경우에는, 개구(62)를 통해서 퓨즈(55)에 레이저 빔을 조사하고, 퓨즈(55)를 절단함으로써, 결함 메모리를 장황 메모리와 바꿔 놓는다.
또한, 퓨즈(55)위로 남기는 절연막은, 후술의 레이저 빔 조사에서 절단이 가능한 막두께가 있으면 좋고, 필요에 따라 절연막(57)을 남겨 두어도 무방하다.
다음에, 도 25에 나타낸 바와 같이, 표면보호막(61)위로 폴리이미드 수지막(63)을 퇴적한 후, 폴리이미드 수지막(63)의 상부에 인출 배선(64)을 형성함으로써, 본딩패드(60B)와 인출 배선(64)을 전기적으로 접속한다. 이 인출 배선(64)은, CMOS메모리의 외부접속 단자로 구성하는 땜납 범프와 본딩패드(60B)를 전기적으로 접속하기 위한 배선이다. 인출 배선(64)을 형성하기 위해서는, 우선 표면보호막(61)위로 폴리이미드 수지막(63)을 퇴적하고, 계속해서 포토레지스트막(도시하지 않음)을 마스크로 해서 본딩패드(60B)의 상부의 폴리이미드 수지막(63)을 에칭함으로써, 본딩패드(60B)의 표면을 노출시킨다. 다음에, 인출 배선(64)을 형성하는 영역이 개구된 포토레지스트막(도시하지 않음)을 표면보호막(61)위로 형성한 후, 도금법 또는 스퍼터링법을 사용하여 표면보호막(61)위로 Cu막을 퇴적한다.
다음에, 도 26에 나타낸 바와 같이, Cu막으로 구성하는 것이 인출 배선(64)의 표면을 폴리이미드 수지막(65)에서 덮은 후, 폴리이미드 수지막(65)의 일부를 에칭함으로써, 인출 배선(64)의 일단부를 노출시켜, 그 표면에 도금법에서 Au(금)막(66)을 형성한다. 그 후, Au(금) 막(66)의 표면에 인쇄법에서 땜납 범프(67)를 형성함으로써, 반도체집적회로장치의 외부접속 단자를 형성한다.
상기 한 인출 배선(64)의 형성 공정에서는, 에칭 처리나 포토레지스트막의 앳싱처리에 의해, 퓨즈(55)의 상부의 배리어 절연막(56)도 얇아지지만, 미리 배리어 절연막(56)의 막두께를 두껍게 해 두는 것에 의해, 내습성의 열화를 방지할 수가 있다.
(실시형태2)
상기 실시형태1에서는, 층간 절연막에 비어홀을 형성한 후, 배선홈을 형성할 경우에 대해서 설명했지만, 본 실시형태에서는, 층간 절연막에 배선홈을 형성한 후, 비어홀을 형성할 경우에 대해서 설명한다.
우선, 도 27에 나타낸 바와 같이, 제3층배선(43)의 상층에 배리어 절연막(44)과 층간 절연막(45)을 퇴적한다. 또한, 층간절연막(45)의 도중에는 스토퍼막(46)을 형성한다.
스토퍼막(46)으로서는, 플라즈마CVD법으로 퇴적한 막두께 10nm∼100nm정도의 SiCN막을 사용한다. 여기까지의 공정은, 상기 실시형태1의 도 1∼도 15에 나타낸 공정과 같다.
다음에, 도 28에 나타낸 바와 같이, 층간 절연막(45)위로 포토레지스트막(51)을 형성한 후, 포토레지스트막(51)을 마스크로 해서 층간절연막(45)을 드라이 에칭하고,스토퍼막(46)의 표면에서 에칭을 정지함으로써, 스토퍼막(46)의 상층의 층간 절연막(45)에 배선홈(52, 53)을 형성한다. 여기에서는, 층간 절연막(45)과 포토레지스트막(51)과의 사이에 반사 방지막을 형성하지 않지만, 상기 실시형태1과 같이, 층간 절연막(45)의 도중에는, 광의 반사율이 낮은 SiCN막으로 구성된스토퍼막(46)이 형성되어 있다.
그 때문에, 포토레지스트막(51)의 하층에 반사 방지막을 형성하지 않더라도, 제3층배선(43)의 표면에서 반사한 노광 광이 포토레지스트막(51)에 입사해서 해상도를 저하시키는 불량을 억제할 수가 있다.
다음에, 도 29에 나타낸 바와 같이, 층간 절연막(45)위로 포토레지스트막(48)을 형성한 후, 포토레지스트막(48)을 마스크로 해서 층간절연막(45) 및 배리어 절연막(44)을 드라이 에칭함으로써, 비어홀(38)을 형성하고, 비어홀(38)의 저부에 제3층배선(43)을 노출시킨다. 이 경우도,스토퍼막(46)이 반사 방지막으로서 기능하므로, 제3층배선(43)의 표면에서 반사한 노광 광이 포토레지스트막(48)에 입사해서 해상도를 저하시키는 불량을 억제할 수가 있다.
다음에, 포토레지스트막(48)을 제거한 후, 도 30에 나타낸 바와 같이, 배선홈(52) 및 비어홀(49)의 내부에 제4층배선(54)을 형성하고, 배선홈(53)의 내부에 퓨즈(55)를 형성한다. 제4층배선(54) 및 퓨즈(55)를 형성하는 방법은, 상기 실시형태1에서 설명한 방법과 같다.
이와 같이, 층간절연막(45)에 배선홈(52, 53)을 형성한 후, 비어홀(38)을 형성하는 본 실시형태에 있어서도, 제4층배선(54)의 형성 공정을 간략화할 수가 있다. 또한, 제4층배선(54)의 형성을 수율좋게 할 수가 있다.
이상, 본 발명자에 의해 이루어진 발명을 실시형태에 근거해 구체적으로 설명했지만, 본 발명은 상기 실시형태로 한정되는 것은 아니고, 그 요지를 일탈하지 않는 범위에서 여러가지로 변경가능한 것은 말할 필요도 없다. 예컨대, 본 발명은, 5층이상의 Cu배선을 갖는 반도체집적회로장치의 제조 방법에도 적용할 수가 있다.
본 발명은, 듀얼대머신법을 이용해서 다층배선을 형성하는 반도체집적회로장치에 적용해서 유용한 것이다.
1 반도체기판 2 소자분리 홈
3 산화실리콘막 4 P형 웰
5 n형 웰 6 게이트 절연막
7 게이트 전극 8 사이드 월 스페이서
9 Co실리사이드막 11 n형 반도체영역(소스,드레인)
12 p형반도체영역(소스,드레인) 13 에칭스토퍼막
14 절연막 15 콘택트 홀
16 플러그 17 절연막(SiOC막)
18 절연막 19 제1층배선
20 배선홈 21, 22 배리어 절연막
23 층간 절연막 24 절연막
25 반사 방지막 26 포토레지스트막
27 비어홀 28 매립제
30 반사 방지막 31 포토레지스트막
32 배선홈 33 제2층배선
34 배리어 절연막 35 층간 절연막
36 반사 방지막 37 포토레지스트막
38 비어홀 39 매립제
40 반사 방지막 41 포토레지스트막
42 배선홈 43 제3층배선
44 배리어 절연막 45 층간 절연막
46 스토퍼막 47 반사 방지막
48 포토레지스트막 49 비어홀
50 매립제 51 포토레지스트막
52, 53 배선홈 54 제4층배선
55 퓨즈 56 배리어 절연막
57 층간 절연막 58 관통 구멍(through hole)
59 플러그 60 최상층 배선(제5층배선)
60B 본딩패드 61 표면보호막
62 개구 63 폴리이미드 수지막
64 인출 배선 65 폴리이미드 수지막
66 Au 막 67 땜납 범프
Qn n channel형 MISFET Qp p 채널형 MISFET

Claims (21)

  1. (a) 반도체 기판의 주면 상에 제1 층 배선(19)을 형성하는 공정,
    (b) 상기 제1 층 배선 상에 제1 배리어 절연막(21)을 형성하는 공정,
    (c) 상기 제1 배리어 절연막 상에 제1 층간 절연막(23)을 형성하는 공정,
    (d) 상기 제1 층간 절연막 중(中), 및, 상기 제1 배리어 절연막 중에 상기 제1 층 배선에 접속하기 위한 제1 비어홀(27)을 형성하는 공정,
    (e) 상기 제1 층간 절연막 중에, 상기 제1 비어홀과 접속하는 제1 배선홈(32)을 형성하는 공정,
    (f) 상기 제1 배선홈 내 및 상기 제1 비어홀 내에 Cu 막을 매립하고, 상기 제1 배선홈 내 및 상기 제1 비어홀 내에, 제2 층 배선(33)을 형성하는 공정,
    (g) 상기 제2 층 배선의 상층에 제3 층 배선(43)을 형성하는 공정,
    (h) 제3 층 배선 상에 제2 배리어 절연막(44)을 형성하는 공정,
    (i) 상기 제2 배리어 절연막 상에 제2 층간 절연막(45)을 형성하는 공정,
    (j) 상기 제2 층간 절연막 중, 및, 상기 제2 배리어 절연막 중에, 상기 제3 층 배선에 접속하기 위한 제2 비어홀(49)을 형성하는 공정,
    (k) 상기 제2 층간 절연막 중에, 상기 제2 비어홀과 접속하는 제2 배선홈(52)을 형성하는 공정,
    (l) 상기 제2 배선홈 내 및 상기 제2 비어홀 내에 Cu 막을 매립하고, 상기 제2 배선홈 내 및 상기 제2 비어홀 내에, 제4 층 배선(54)을 형성하는 공정을 가지고,
    상기 제2 층간 절연막의 막두께는 상기 제1 층간 절연막의 막두께보다 두껍고,
    상기 제2 배선홈의 깊이는 상기 제1 배선홈의 깊이보다 깊으며,
    상기 제2 비어홀의 구경은 상기 제1 비어홀의 구경보다 크고,
    상기 제1 층간 절연막은 상기 제2 층간 절연막보다 유전율이 낮은 재료로 구성되어 있으며,
    상기 제2 층간 절연막은, 그 중도부(中途部)에 상기 제2 층간 절연막과는 다른 재료로 형성된 에칭 스토퍼막(46)을 가지며,
    상기 (k)공정에 있어서, 상기 제2 배선홈은 상기 에칭 스토퍼막을 이용하는 것에 의하여 형성되고,
    상기 (e)공정에 있어서, 상기 제1 배선홈은 상기 에칭 스토퍼막을 이용하는 일 없이 형성되며,
    상기 제1 배리어 절연막, 및, 상기 제2 배리어 절연막은, Si, C 및 N을 포함한 막으로 형성되어 있고,
    상기 제1 절연막은, Si 및 N을 포함한 막으로 형성되어 있으며,
    상기 제1 배리어 절연막, 및, 상기 제2 배리어 절연막의 유전율은, 상기 에칭 스토퍼막의 유전율보다 낮은 것을 특징으로 하는 반도체 집적회로 장치의 제조 방법.
  2. 제 1 항에 있어서,
    상기 (e)공정에 있어서, 상기 제1 배선홈은, 상기 제1 층간 절연막의 에칭 시간을 제어하는 것에 의해서 행해지는 것을 특징으로 하는 반도체 집적회로 장치의 제조 방법.
  3. 제 1 항에 있어서,
    상기 (f)공정 전에, 상기 제1 비어홀 내 및 상기 제1 배선홈 내에, 제1 배리어 메탈막을 형성하는 공정을 더 가지는 것을 특징으로 하는 반도체 집적회로 장치의 제조 방법.
  4. 제 3 항에 있어서,
    상기 (l)공정 전에, 상기 제2 비어홀 내 및 상기 제2 배선홈 내에, 제2 배리어 메탈막을 형성하는 공정을 더 가지는 것을 특징으로 하는 반도체 집적회로 장치의 제조 방법.
  5. 제 1 항에 있어서,
    상기 제1 배리어 절연막, 및, 상기 제2 배리어 절연막은, SiCN으로 형성되어 있는 것을 특징으로 하는 반도체 집적회로 장치의 제조 방법.
  6. 제 1 항에 있어서,
    상기 제1 절연막은, SiN으로 형성되어 있는 것을 특징으로 하는 반도체 집적회로 장치의 제조 방법.
  7. (a) 반도체 기판의 주면 상에 제1 층 배선(19)을 형성하는 공정,
    (b) 상기 제1 층 배선 상에 제1 배리어 절연막(21)을 형성하는 공정,
    (c) 상기 제1 배리어 절연막 상에 제1 층간 절연막(23)을 형성하는 공정,
    (d) 상기 제1 층간 절연막 중(中), 및, 상기 제1 배리어 절연막 중에 상기 제1 층 배선에 접속하기 위한 제1 비어홀(27)을 형성하는 공정,
    (e) 상기 제1 층간 절연막 중에, 상기 제1 비어홀과 접속하는 제1 배선홈(32)을 형성하는 공정,
    (f) 상기 제1 배선홈 내 및 상기 제1 비어홀 내에 Cu 막을 매립하고, 상기 제1 배선홈 내 및 상기 제1 비어홀 내에, 제2 층 배선(33)을 형성하는 공정,
    (g) 상기 제2 층 배선의 상층에 제3 층 배선(43)을 형성하는 공정,
    (h) 제3 층 배선 상에 제2 배리어 절연막(44)을 형성하는 공정,
    (i) 상기 제2 배리어 절연막 상에 제2 층간 절연막(45)을 형성하는 공정,
    (j) 상기 제2 층간 절연막 중, 및, 상기 제2 배리어 절연막 중에, 상기 제3 층 배선에 접속하기 위한 제2 비어홀(49)을 형성하는 공정,
    (k) 상기 제2 층간 절연막 중에, 상기 제2 비어홀과 접속하는 제2 배선홈(52)을 형성하는 공정,
    (l) 상기 제2 배선홈 내 및 상기 제2 비어홀 내에 Cu 막을 매립하고, 상기 제2 배선홈 내 및 상기 제2 비어홀 내에, 제4 층 배선(54)을 형성하는 공정을 가지고,
    상기 제2 층간 절연막의 막두께는 상기 제1 층간 절연막의 막두께보다 두껍고,
    상기 제2 배선홈의 깊이는 상기 제1 배선홈의 깊이보다 깊으며,
    상기 제2 비어홀의 구경은 상기 제1 비어홀의 구경보다 크고,
    상기 제1 층간 절연막은, SiOC를 주체(主體)로 하는 재료로 구성되어 있으며,
    상기 제2 층간 절연막은, 산화 실리콘막 또는 산화 실리콘에 불소가 첨가된 막으로 구성되어 있고,
    상기 제2 층간 절연막은, 그 중도부(中途部)에 상기 제2 층간 절연막과는 다른 재료로 형성된 에칭 스토퍼막(46)을 가지고,
    상기 (k)공정에 있어서, 상기 제2 배선홈은 상기 에칭 스토퍼막을 이용하는 것에 의하여 형성되며,
    상기 (e)공정에 있어서, 상기 제1 배선홈은 상기 에칭 스토퍼막을 이용하는 일 없이 형성되고,
    상기 제1 배리어 절연막, 및, 상기 제2 배리어 절연막은, Si, C 및 N을 포함한 막으로 형성되어 있으며,
    상기 제1 절연막은, Si 및 N을 포함한 막으로 형성되어 있고
    상기 제1 배리어 절연막, 및, 상기 제2 배리어 절연막의 유전율은, 상기 에칭 스토퍼막의 유전율보다 낮은 것을 특징으로 하는 반도체 집적회로 장치의 제조 방법.
  8. 제 7 항에 있어서,
    상기 (e)공정에 있어서, 상기 제1 배선홈은, 상기 제1 층간 절연막의 에칭 시간을 제어하는 것에 의하여 행해지는 것을 특징으로 하는 반도체 집적회로 장치의 제조 방법.
  9. 제 7 항에 있어서,
    상기 (f)공정 전에, 상기 제1 비어홀 내 및 상기 제1 배선홈 내에, 제1 배리어 메탈막을 형성하는 공정을 더 가지는 것을 특징으로 하는 반도체 집적회로 장치의 제조 방법.
  10. 제 9 항에 있어서,
    상기 (l)공정 전에, 상기 제2 비어홀 내 및 상기 제2 배선홈 내에, 제2 배리어 메탈막을 형성하는 공정을 더 가지는 것을 특징으로 하는 반도체 집적회로 장치의 제조 방법.
  11. 반도체 기판의 주면 상에 형성된 제1 층 배선(19)과,
    상기 제1 층 배선 상에 형성된 제1 층간 절연막(21)과,
    상기 제1 층간 절연막 중(中)에 형성된 제1 비어홀(27)이며, 상기 제1 층 배선에 접속하는 제1 비어홀과,
    상기 제1 층간 절연막 중에 형성되고, 또한, 상기 제1 비어홀을 개재시켜 상기 제1 층 배선에 접속하는 제1 배선홈(32)과,
    상기 제1 배선홈 및 상기 제1 비어홀의 내부에, Cu 막이 매립되어 형성되는 제2 층 배선(33)과,
    상기 제2 층 배선의 상층에 형성된 제3 층 배선(43)과,
    상기 제3 층 배선 상에 형성된 제2 층간 절연막(45)과,
    상기 제2 층간 절연막 중에 형성된 제2 비어홀이며, 상기 제2 층 배선에 접속하는 제2 비어홀(49)과,
    상기 제2 층간 절연막 중에 형성되고, 또한, 상기 제2 비어홀을 개재시켜 상기 제2 층 배선에 접속하는 제2 배선홈(52)과,
    상기 제2 배선홈 및 상기 제2 비어홀의 내부에, Cu 막이 매립되어 형성된 제4 층 배선(54)을 가지고,
    상기 제2 층간 절연막의 막두께는 상기 제1 층간 절연막의 막두께보다 두껍고,
    상기 제2 배선홈의 깊이는 상기 제1 배선홈의 깊이보다 깊으며,
    상기 제2 비어홀의 구경은 상기 제1 비어홀의 구경보다 크고,
    상기 제1 층간 절연막은 상기 제2 층간 절연막보다 유전율이 낮은 재료로 구성되어 있으며,
    상기 제1 층 배선과 상기 제1 층간 절연막과의 사이에, Si, C 및 N을 포함한 막이며, 또한, 상기 제1 층 배선을 구성하는 금속의 확산을 막는 기능을 가지는 제1 배리어 절연막이 형성되어 있으며,
    상기 제3 층 배선과 상기 제2 층간 절연막과의 사이에, Si, C 및 N을 포함한 막이며, 또한, 상기 제3 층 배선을 구성하는 금속의 확산을 막는 기능을 가지는 제2 배리어 절연막이 형성되고 있고,
    상기 제3 층 배선의 표면보다 상기 제4 층 배선의 저부(底部)에 가까운 위치이고, 상기 제4 층 배선의 표면보다 상기 제4 층 배선의 저부에 가까운 위치이며, 또한, 상기 제2 층간 절연막의 중도부(中途部)에는, 상기 제2 층간 절연막과는 재료가 다른 에칭 스토퍼막(46)이 형성되어 있으며,
    상기 제1 층 배선의 표면보다 상기 제2 층 배선의 저부에 가까운 위치이고, 또한, 상기 제2 층 배선의 표면보다 상기 제2 층 배선의 저부에 가까운 위치에는, 상기 제1 층간 절연막 이외의 절연막이 형성되지 않고,
    상기 제1 절연막은, Si 및 N을 포함한 막으로 형성되어 있으며,
    상기 제1 배리어 절연막 및 상기 제2 배리어 절연막의 유전율은, 상기 에칭스토퍼막의 유전율보다 낮은 것을 특징으로 하는 반도체 집적회로 장치.
  12. 제 11 항에 있어서,
    상기 제1 비어홀 내 및 상기 제1 배선홈 내에 있어서, 상기 제1 층간 절연막 및 상기 Cu 막과의 사이에, 제1 배리어 메탈막이 형성되어 있는 것을 특징으로 하는 반도체 집적회로 장치.
  13. 제 12 항에 있어서,
    상기 제2 비어홀 내 및 상기 제2 배선홈 내에 있어서, 상기 제2 층간 절연막 및 상기 Cu 막과의 사이에, 제2 배리어 메탈막이 형성되어 있는 것을 특징으로 하는 반도체 집적회로 장치.
  14. 제 11 항에 있어서,
    상기 제1 층간 절연막은, SiOC를 주체로 하는 재료로 구성되어 있는 것을 특징으로 하는 반도체 집적회로 장치.
  15. 제 11 항에 있어서,
    상기 제2 층간 절연막은, 산화 실리콘막을 주체로 하는 재료로 구성되어 있는 것을 특징으로 하는 반도체 집적회로 장치.
  16. 제 15 항에 있어서,
    상기 제2 층간 절연막은, 산화 실리콘에 불소가 첨가된 절연막인 것을 특징으로 하는 반도체 집적회로 장치.
  17. 제 11 항에 있어서,
    상기 제1 배리어 절연막 및 상기 제2 배리어 절연막은, SiCN으로 형성되어 있는 것을 특징으로 하는 반도체 집적회로 장치.
  18. 제 11 항에 있어서,
    상기 제1 절연막은, SiN으로 형성되어 있는 것을 특징으로 하는 반도체 집적회로 장치.
  19. 반도체 기판 상에 형성된 제1 층 배선(19)과,
    상기 제1 층 배선 상에 형성된 제1 층간 절연막(21)과,
    상기 제1 층간 절연막 중(中)에 형성된, 듀얼대머신 구조의 제2 층 배선(27)과,
    상기 제2 층 배선 상에 형성된 제3 층 배선(43)과,
    상기 제3 층 배선 상에 형성된 제2 층간 절연막(45)과,
    상기 제2 층간 절연막 중에 형성된, 듀얼대머신 구조의 제4 층 배선(54)을 가지는 반도체 집적회로 장치로서,
    상기 제2 층간 절연막의 막두께는 상기 제1 층간 절연막의 막두께보다 두껍고,
    상기 제4 층 배선의 두께는 상기 제2 층 배선의 두께보다 두껍고,
    상기 제1 층간 절연막은, SiOC를 주체(主體)로 하는 재료로 구성되어 있고,
    상기 제2 층간 절연막은, 산화 실리콘막 또는 산화 실리콘에 불소가 첨가된 막으로 구성되어 있으며,
    상기 제1, 제2, 제3 및 제4 층 배선은, 각각 Cu 막을 포함하여 구성되어 있고,
    상기 제1 층 배선과 상기 제1 층간 절연막과의 사이에, Si, C 및 N을 포함한 막이며, 또한, 상기 제1 층 배선을 구성하는 금속의 확산을 막는 기능을 가지는 제1 배리어 절연막(21)이 형성되어 있으며,
    상기 제3 층배선과 상기 제2 층간 절연막과의 사이에, Si, C 및 N을 포함한 막이며, 또한, 상기 제3 층배선을 구성하는 금속의 확산을 막는 기능을 가지는 제2 배리어 절연막(44)이 형성되어 있고,
    상기 제2 층간 절연막은, 상기 제4 층 배선의 저부(底部) 근방에 SiN 또는 SiON으로 형성된 에칭 스토퍼막(46)을 가지며,
    상기 제2 층 배선의 저부 근방에는, 상기 제1 층간 절연막 이외의 절연막이 형성되지 않고,
    상기 제1 배리어 절연막 및 상기 제2 배리어 절연막의 유전율은, 상기 에칭 스토퍼막의 유전율보다 낮은 것을 특징으로 하는 반도체 집적회로 장치.
  20. 제 19 항에 있어서,
    상기 제1 층간 절연막과 상기 제2 층 배선과의 사이에, 제1 배리어 메탈막이 형성되어 있는 것을 특징으로 하는 반도체 집적회로 장치.
  21. 제 20 항에 있어서,
    상기 제2 층간 절연막과 상기 제4 층 배선과의 사이에, 제2 배리어 메탈막이 형성되어 있는 것을 특징으로 하는 반도체 집적회로 장치.
KR1020110054737A 2005-07-06 2011-06-07 반도체집적회로장치 및 반도체집적회로장치의 제조 방법 KR101344146B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005197938A JP5096669B2 (ja) 2005-07-06 2005-07-06 半導体集積回路装置の製造方法
JPJP-P-2005-197938 2005-07-06

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020060063325A Division KR101328862B1 (ko) 2005-07-06 2006-07-06 반도체집적회로장치 및 반도체집적회로장치의 제조 방법

Publications (2)

Publication Number Publication Date
KR20110082500A KR20110082500A (ko) 2011-07-19
KR101344146B1 true KR101344146B1 (ko) 2013-12-23

Family

ID=37597708

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020060063325A KR101328862B1 (ko) 2005-07-06 2006-07-06 반도체집적회로장치 및 반도체집적회로장치의 제조 방법
KR1020110054737A KR101344146B1 (ko) 2005-07-06 2011-06-07 반도체집적회로장치 및 반도체집적회로장치의 제조 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020060063325A KR101328862B1 (ko) 2005-07-06 2006-07-06 반도체집적회로장치 및 반도체집적회로장치의 제조 방법

Country Status (5)

Country Link
US (17) US7354855B2 (ko)
JP (1) JP5096669B2 (ko)
KR (2) KR101328862B1 (ko)
CN (2) CN101656229B (ko)
TW (3) TWI385757B (ko)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5096669B2 (ja) 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP4699172B2 (ja) * 2005-10-25 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置
JP4830455B2 (ja) * 2005-11-10 2011-12-07 ルネサスエレクトロニクス株式会社 半導体装置
US20080272435A1 (en) * 2007-05-02 2008-11-06 Chien-Ting Lin Semiconductor device and method of forming the same
US7825010B2 (en) * 2007-06-07 2010-11-02 Micron Technology, Inc. Die singulation methods
KR100854863B1 (ko) * 2007-06-29 2008-08-28 주식회사 하이닉스반도체 반도체 소자의 제조방법
CN101110393B (zh) * 2007-07-05 2012-03-07 复旦大学 一种CuxO电阻存储器制备与铜互连工艺集成的方法
US7936072B2 (en) 2007-11-12 2011-05-03 Renesas Electronics Corporation Semiconductor device having dual damascene structure
JP5310721B2 (ja) * 2008-06-18 2013-10-09 富士通株式会社 半導体装置とその製造方法
DE102008044984A1 (de) * 2008-08-29 2010-07-15 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Verspannungsrelaxationsspalte zur Verbesserung der Chipgehäusewechselwirkungsstabilität
US8338265B2 (en) 2008-11-12 2012-12-25 International Business Machines Corporation Silicided trench contact to buried conductive layer
CN102379036B (zh) * 2009-04-30 2015-04-08 瑞萨电子株式会社 半导体器件及其制造方法
US8227339B2 (en) 2009-11-02 2012-07-24 International Business Machines Corporation Creation of vias and trenches with different depths
JP5622433B2 (ja) * 2010-04-28 2014-11-12 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8946904B2 (en) * 2010-08-27 2015-02-03 Avago Technologies General Ip (Singapore) Pte. Ltd. Substrate vias for heat removal from semiconductor die
CN102386126B (zh) * 2010-09-03 2013-10-30 中芯国际集成电路制造(上海)有限公司 制作用于形成双大马士革结构的半导体器件结构的方法
JP5755471B2 (ja) * 2011-03-10 2015-07-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN102437090B (zh) * 2011-07-12 2015-01-14 上海华力微电子有限公司 无金属阻挡层的铜后道互连工艺
CN103094179B (zh) * 2011-10-27 2015-06-17 中芯国际集成电路制造(上海)有限公司 连接孔形成方法
US9553043B2 (en) * 2012-04-03 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having smaller transition layer via
US9111998B2 (en) 2012-04-04 2015-08-18 Samsung Electronics Co., Ltd Multi-level stack having multi-level contact and method
US9006101B2 (en) * 2012-08-31 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9287162B2 (en) 2013-01-10 2016-03-15 Samsung Austin Semiconductor, L.P. Forming vias and trenches for self-aligned contacts in a semiconductor structure
US8994173B2 (en) 2013-06-26 2015-03-31 International Business Machines Corporation Solder bump connection and method of making
KR102063808B1 (ko) 2013-07-15 2020-01-08 삼성전자주식회사 정보 저장 소자의 제조 방법
US9040423B2 (en) * 2013-07-17 2015-05-26 United Microelectronics Corp. Method for manufacturing semiconductor device
US9305848B2 (en) * 2013-12-17 2016-04-05 Texas Instruments Incorporated Elongated contacts using litho-freeze-litho-etch process
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
JP6282474B2 (ja) * 2014-01-31 2018-02-21 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2016025147A (ja) * 2014-07-17 2016-02-08 ソニー株式会社 電子デバイスおよびその製造方法、並びに電子機器
KR102258112B1 (ko) * 2015-04-01 2021-05-31 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9583442B2 (en) * 2015-06-29 2017-02-28 International Business Machines Corporation Interconnect structure including middle of line (MOL) metal layer local interconnect on etch stop layer
RU2601203C1 (ru) * 2015-07-08 2016-10-27 Акционерное общество "Научно-производственная фирма "Микран" Монолитная интегральная схема на основе полупроводникового соединения
KR102415952B1 (ko) * 2015-07-30 2022-07-05 삼성전자주식회사 반도체 소자의 레이아웃 설계 방법, 및 그를 이용한 반도체 소자의 제조 방법
DE112016004700T5 (de) * 2015-10-16 2018-07-05 Sony Corporation Halbleitervorrichtung und Verfahren zur Herstellung einer Halbleitervorrichtung
KR102579880B1 (ko) 2016-05-12 2023-09-18 삼성전자주식회사 인터포저, 반도체 패키지, 및 인터포저의 제조 방법
WO2018035091A1 (en) 2016-08-15 2018-02-22 University Of Florida Research Foundation, Inc. Methods and compositions relating to tunable nanoporous coatings
US9711501B1 (en) * 2016-09-26 2017-07-18 International Business Machines Corporation Interlayer via
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
US11467094B2 (en) 2017-05-17 2022-10-11 University Of Florida Research Foundation, Inc. Methods and sensors for detection
CN109411406A (zh) * 2017-08-18 2019-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
TWI628756B (zh) * 2017-08-22 2018-07-01 鳳凰先驅股份有限公司 封裝結構及其製作方法
KR102492733B1 (ko) 2017-09-29 2023-01-27 삼성디스플레이 주식회사 구리 플라즈마 식각 방법 및 디스플레이 패널 제조 방법
WO2019126248A1 (en) 2017-12-20 2019-06-27 University Of Florida Research Foundation Methods and sensors for detection
WO2019126171A1 (en) * 2017-12-21 2019-06-27 University Of Florida Research Foundation Substrates having a broadband antireflection layer and methods of forming a broadband antireflection layer
US11987876B2 (en) * 2018-03-19 2024-05-21 Lam Research Corporation Chamfer-less via integration scheme
US10727275B2 (en) * 2018-05-18 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Memory layout for reduced line loading
WO2019246370A1 (en) 2018-06-20 2019-12-26 University Of Florida Research Foundation Intraocular pressure sensing material, devices, and uses thereof
JP6640391B2 (ja) * 2019-01-22 2020-02-05 ルネサスエレクトロニクス株式会社 半導体装置
US11171052B2 (en) * 2019-04-29 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming interconnect structures with selectively deposited pillars and structures formed thereby
US11024533B2 (en) 2019-05-16 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming interconnect structures using via holes filled with dielectric film
US11257755B2 (en) * 2020-06-15 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Metal loss prevention in conductive structures
CN113013090B (zh) * 2021-02-07 2022-06-24 长鑫存储技术有限公司 半导体结构的熔断填充方法及半导体结构
US20220390833A1 (en) * 2021-06-03 2022-12-08 Viavi Solutions Inc. Method of replicating a microstructure pattern

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002373937A (ja) 2001-06-15 2002-12-26 Fujitsu Ltd 半導体装置及びその製造方法
KR100600689B1 (ko) 2003-11-06 2006-11-23 가부시끼가이샤 르네사스 테크놀로지 반도체 장치의 제조 방법
KR101055451B1 (ko) 2003-03-25 2011-08-08 가부시끼가이샤 르네사스 테크놀로지 반도체 장치 및 그 제조 방법

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08191104A (ja) * 1995-01-11 1996-07-23 Hitachi Ltd 半導体集積回路装置およびその製造方法
FR2748601B1 (fr) * 1996-05-07 1998-07-24 Sgs Thomson Microelectronics Procede de formation d'interconnexions dans un circuit integre
US5886410A (en) * 1996-06-26 1999-03-23 Intel Corporation Interconnect structure with hard mask and low dielectric constant materials
US6025226A (en) * 1998-01-15 2000-02-15 International Business Machines Corporation Method of forming a capacitor and a capacitor formed using the method
JP2000077625A (ja) * 1998-08-31 2000-03-14 Hitachi Ltd 半導体集積回路装置の製造方法
JP2000156480A (ja) * 1998-09-03 2000-06-06 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6649515B2 (en) 1998-09-30 2003-11-18 Intel Corporation Photoimageable material patterning techniques useful in fabricating conductive lines in circuit structures
US6406995B1 (en) 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US20030089992A1 (en) 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
IL147301A0 (en) * 1999-06-30 2002-08-14 Intel Corp Method of projecting an underlying wiring layer during dual damascene processing
US6159818A (en) * 1999-09-02 2000-12-12 Micron Technology, Inc. Method of forming a container capacitor structure
JP4858895B2 (ja) * 2000-07-21 2012-01-18 富士通セミコンダクター株式会社 半導体装置の製造方法
US6821896B1 (en) * 2001-05-31 2004-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method to eliminate via poison effect
JP3745257B2 (ja) * 2001-08-17 2006-02-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP2003092349A (ja) * 2001-09-18 2003-03-28 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2003092287A (ja) 2001-09-19 2003-03-28 Nec Corp アッシング方法
JP2003124307A (ja) 2001-10-15 2003-04-25 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2003163265A (ja) * 2001-11-27 2003-06-06 Nec Corp 配線構造およびその製造方法
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
JP2003309172A (ja) * 2002-04-17 2003-10-31 Nec Electronics Corp デュアルダマシンプロセスにおけるパターン形成方法
JP2003332426A (ja) 2002-05-17 2003-11-21 Renesas Technology Corp 半導体装置の製造方法および半導体装置
JP4040363B2 (ja) 2002-05-20 2008-01-30 富士通株式会社 半導体装置
US6617690B1 (en) 2002-08-14 2003-09-09 Ibm Corporation Interconnect structures containing stress adjustment cap layer
JP2004119698A (ja) * 2002-09-26 2004-04-15 Seiko Epson Corp 半導体装置およびその製造方法
TW582084B (en) * 2002-10-03 2004-04-01 Taiwan Semiconductor Mfg Method for manufacturing capacitor by combining dual damascene process and structure thereof
JP2004153015A (ja) * 2002-10-30 2004-05-27 Fujitsu Ltd 半導体装置及びその製造方法
DE10250889B4 (de) * 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US6709918B1 (en) * 2002-12-02 2004-03-23 Chartered Semiconductor Manufacturing Ltd. Method for making a metal-insulator-metal (MIM) capacitor and metal resistor for a copper back-end-of-line (BEOL) technology
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
DE10301243B4 (de) * 2003-01-15 2009-04-16 Infineon Technologies Ag Verfahren zum Herstellen einer integrierten Schaltungsanordnung, insbesondere mit Kondensatoranordnung
JP3683570B2 (ja) * 2003-02-19 2005-08-17 松下電器産業株式会社 半導体装置の製造方法
US7021320B2 (en) * 2003-04-11 2006-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of removing a via fence
US20040222527A1 (en) * 2003-05-06 2004-11-11 Dostalik William W. Dual damascene pattern liner
US6977218B2 (en) 2003-07-17 2005-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating copper interconnects
US7052990B2 (en) 2003-09-03 2006-05-30 Infineon Technologies Ag Sealed pores in low-k material damascene conductive structures
US7345350B2 (en) * 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
KR100534103B1 (ko) * 2004-01-14 2005-12-06 삼성전자주식회사 초임계 유체를 이용한 미세 전자소자의 제조 방법
US7015133B2 (en) 2004-04-14 2006-03-21 Taiwan Semiconductor Manufacturing Company Dual damascene structure formed of low-k dielectric materials
TWI267116B (en) * 2004-07-14 2006-11-21 Taiwan Semiconductor Mfg Method of preventing photoresist poisoning of a low-dielectric-constant insulator
US7605033B2 (en) * 2004-09-01 2009-10-20 Micron Technology, Inc. Low resistance peripheral local interconnect contacts with selective wet strip of titanium
US7253121B2 (en) * 2004-09-09 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming IMD films
JP4492949B2 (ja) * 2004-11-01 2010-06-30 ルネサスエレクトロニクス株式会社 電子デバイスの製造方法
TWI254986B (en) * 2004-12-29 2006-05-11 United Microelectronics Corp Method for fabricating a dual damascene and polymer removal
KR100989083B1 (ko) * 2005-07-04 2010-10-25 후지쯔 세미컨덕터 가부시키가이샤 반도체 장치 및 그 제조 방법
JP5096669B2 (ja) * 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR100632467B1 (ko) * 2005-08-12 2006-10-09 삼성전자주식회사 반도체 메모리 소자 및 그 제조 방법
US7964470B2 (en) * 2006-03-01 2011-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Flexible processing method for metal-insulator-metal capacitor formation

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002373937A (ja) 2001-06-15 2002-12-26 Fujitsu Ltd 半導体装置及びその製造方法
KR101055451B1 (ko) 2003-03-25 2011-08-08 가부시끼가이샤 르네사스 테크놀로지 반도체 장치 및 그 제조 방법
KR100600689B1 (ko) 2003-11-06 2006-11-23 가부시끼가이샤 르네사스 테크놀로지 반도체 장치의 제조 방법

Also Published As

Publication number Publication date
US8581415B2 (en) 2013-11-12
US20230187275A1 (en) 2023-06-15
US20160293542A1 (en) 2016-10-06
US20120252205A1 (en) 2012-10-04
JP2007019187A (ja) 2007-01-25
TW201322372A (zh) 2013-06-01
US11062938B2 (en) 2021-07-13
US9391022B2 (en) 2016-07-12
US20170271259A1 (en) 2017-09-21
TW200705602A (en) 2007-02-01
TWI525747B (zh) 2016-03-11
KR20070005519A (ko) 2007-01-10
US20150228579A1 (en) 2015-08-13
US20080211108A1 (en) 2008-09-04
KR101328862B1 (ko) 2013-11-13
CN101656229A (zh) 2010-02-24
US20080286964A1 (en) 2008-11-20
US20120248613A1 (en) 2012-10-04
US9899316B2 (en) 2018-02-20
US20190088537A1 (en) 2019-03-21
US10600683B2 (en) 2020-03-24
US20200211897A1 (en) 2020-07-02
US7932606B2 (en) 2011-04-26
US20140199831A1 (en) 2014-07-17
CN101656229B (zh) 2012-02-01
TWI389254B (zh) 2013-03-11
US20110183513A1 (en) 2011-07-28
US7354855B2 (en) 2008-04-08
TW200945495A (en) 2009-11-01
US20070020829A1 (en) 2007-01-25
US8518821B2 (en) 2013-08-27
KR20110082500A (ko) 2011-07-19
US8487412B2 (en) 2013-07-16
US11600522B2 (en) 2023-03-07
US7629251B2 (en) 2009-12-08
US8704373B2 (en) 2014-04-22
TWI385757B (zh) 2013-02-11
US20080254616A1 (en) 2008-10-16
US20200411370A1 (en) 2020-12-31
US20140038409A1 (en) 2014-02-06
US10141257B2 (en) 2018-11-27
US20210296165A1 (en) 2021-09-23
CN100559565C (zh) 2009-11-11
CN1893020A (zh) 2007-01-10
JP5096669B2 (ja) 2012-12-12
US7557034B2 (en) 2009-07-07
US10796953B2 (en) 2020-10-06

Similar Documents

Publication Publication Date Title
KR101344146B1 (ko) 반도체집적회로장치 및 반도체집적회로장치의 제조 방법
US7602040B2 (en) Semiconductor device and a method of manufacturing the same
JP4891296B2 (ja) 半導体集積回路装置の製造方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161122

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171120

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181206

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191205

Year of fee payment: 7