KR100417846B1 - 반도체 기판 처리용 플라즈마 공정 시스템 - Google Patents

반도체 기판 처리용 플라즈마 공정 시스템 Download PDF

Info

Publication number
KR100417846B1
KR100417846B1 KR10-2003-7009924A KR20037009924A KR100417846B1 KR 100417846 B1 KR100417846 B1 KR 100417846B1 KR 20037009924 A KR20037009924 A KR 20037009924A KR 100417846 B1 KR100417846 B1 KR 100417846B1
Authority
KR
South Korea
Prior art keywords
ceramic
plasma
electrode
chamber
processing system
Prior art date
Application number
KR10-2003-7009924A
Other languages
English (en)
Other versions
KR20030070142A (ko
Inventor
릴러랜드존
휴바첵제롬에스.
케네디윌리암에스.
마라쉰로버트에이.
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20030070142A publication Critical patent/KR20030070142A/ko
Application granted granted Critical
Publication of KR100417846B1 publication Critical patent/KR100417846B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

단일 웨이퍼와 같은 반도체 기판의 공정이 행해질 수 있는 플라즈마 반응챔버를 위한 탄성중합체 결합부 어셈블리, 상기 어셈블리를 제조하는 방법 및 상기 어셈블리로 반도체 기판을 처리하는 방법을 개시한다. 상기 탄성중합체 결합부 어셈블리는 전극, 윈도우, 라이너 또는 탄성중합체 물질에 의해 지지부재에 접착되는 다른 부분을 포함할 수 있다. 전극 어셈블리에서, 상기 지지부재는 탄성중합체 결합부에 의해 실리콘 샤워헤드 전극과 같은 전극에 접착된 흑연 링일 수 있다. 상기 탄성중합체 결합부는 상기 지지부재와 상기 전극 사이의 이동을 허용하여 상기 전극 어셈블리의 열 사이클링의 결과인 열팽창을 보상할 수 있다. 상기 탄성중합체 결합부는 전기적 및/또는 열적 전도성 필러를 포함할 수 있고, 상기 탄성중합체는 고온에서 안정한 촉매경화된 폴리머일 수 있다.

Description

반도체 기판 처리용 플라즈마 공정 시스템{Plasma processing system useful for processing semiconductor substrates}
본 발명은 반도체 웨이퍼와 같은 반도체 기판의 플라즈마 공정을 위한 장치에 관한 발명이다.
실리콘 웨이퍼와 같은 반도체 기판을 처리하기 위한 플라즈마 공정 반응기에 사용되는 전극은 미합중국특허 제 5,074,456호와 제 5,569,356호에 개시되어 있으며, 그 개시내용은 참조문헌으로 본 명세서와 함께 결합되어진다. 상기 특허 제 5,047,456호는 평행판 반응기용 전극 어셈블리(assembly)를 개시하는데, 상부 전극은 반도체급의 순도를 가지고 점착제, 솔더(solder) 또는 브레이징층(brazing layer)에 의해 지지체에 결합된다. 상기 솔더 또는 브레이징층은 인듐(Indium), 은(silver) 및 이들의 합금과 같은 증기압이 낮은 금속일 수 있고 지지체와 전극 간의 결합 표면은 접합층의 점착성(adhesion)과 젖음성(wettability)을 좋게 하기위하여 티타늄(Titanium) 또는 니켈(Nickel)과 같은 얇은 금속층으로 코팅될 수 있다. 인듐 접착과 같은 야금 접착(metallurgical bond)은 전극과 상기 전극이 접착될 부분과의 열팽창/수축차로 인해 전극을 휘게 만들 수 있는 것으로 알려져 있다. 또한, 높은 플라즈마 공정전력에서 열피로 및/또는 접착재의 용융으로 인해 이러한 야금 접착이 떨어지게 된다는 것도 알려져 있다.
건식 플라즈마 식각, 반응성 이온 식각 및 이온 밀링(ion milling) 기술들이 반도체 기판의 화학적 식각과 관련한 다양한 한계를 극복하기 위하여 개발되어 왔다. 특히, 플라즈마 식각은 수직 식각속도가 수평 식각속도보다 훨씬 크게 만드는 것을 가능하게 하므로, 결국 식각된 형상(feature)의 종횡비(aspect ratio)가 적절히 조절될 수 있다. 사실, 플라즈마 식각은 높은 종횡비를 갖는 미세한 피쳐를 두께 1㎛ 이상인 박막 내에 형성하는 것을 가능하게 한다.
플라즈마 식각 공정 중, 상대적으로 낮은 압력에서 많은 양의 에너지를 가스에 부가하여 결국 가스를 이온화함으로써, 플라즈마가 웨이퍼의 마스킹된 표면 상에 형성된다. 식각될 기판의 전위(electrical potential)를 조절함으로써, 플라즈마 내에서 전하를 띤 종(species)들이 웨이퍼에 실질적으로 수직방향으로 충돌하며, 여기서 웨이퍼의 마스킹되지 않은 영역 내의 물질들이 제거된다.
식각 공정은 종종 식각될 물질과 화학적 반응성이 있는 가스를 사용함으로써 보다 효율적으로 수행될 수 있다. 소위 "반응성 이온 식각"은 플라즈마의 강력한 식각효과를 가스의 화학적 식각효과와 결합시킨다. 그러나, 많은 화학적으로 반응성 있는 약품(agents)들은 과도한 전극 마모를 초래한다고 알려져 있다.
웨이퍼의 전 표면에 걸쳐 균일한 식각 속도를 얻기 위해 웨이퍼의 표면에 플라즈마를 균일하게 분포시키는 것이 바람직하다. 예를 들면, 미합중국특허 제 4,595,484호, 제 4,792,378호, 제 4,820,371호 및 제 4,960,488호는 전극 내에 많은 수의 구멍(hole)을 통해 가스를 분포시키기 위한 샤워헤드(showerhead) 전극을 개시하고 있다. 이 특허들은 반도체 웨이퍼로 가스 증기의 균일한 유동을 제공하기 위해 짜여진 구멍(aperture) 배열을 가진 가스분배판을 기술하고 있다.
반응성 이온식각 시스템은 일반적으로 상부 전극(또는 양극)과 하부 전극(또는 음극)이 내부에 위치한 식각 챔버로 구성된다. 상기 음극은 상기 양극과 용기 벽에 관해 음의 바이어스가 걸린다. 상기 식각될 웨이퍼는 적당한 마스크로 덮여지고 음극 상에 직접 놓인다. CF4, CHF3, CClF3및 SF6또는 상기 가스들의 혼합가스와 같은 화학적으로 반응성이 있는 가스가 O2, N2, He 또는 Ar과 함께 식각 챔버로 유입되어 일반적으로 수 밀리토르(millitorr) 범위의 압력에서 유지된다. 상기 상부 전극에는 상기 가스가 챔버 내의 상기 전극을 통해 균일하게 분포되도록 하는 가스 구멍(hole)이 제공된다. 양극과 음극 사이에 걸린 전기장은 플라즈마를 형성할 반응성 가스를 해리하게 된다. 웨이퍼의 표면은 반응성 이온과의 화학적 상호작용과 웨이퍼 표면에 부딪히는 이온의 운동량(momentum) 전달에 의해 식각된다. 전극에 의해 발생된 전기장은 이온을 음극으로 끌어당겨서, 이온이 주로 표면에 수직방향으로 부딪히도록 유도하여 상기 공정이 잘 정의된(well-defined) 수직 식각된 측벽(vertically etched side wall)을 생산할 수 있도록 한다.
단일 웨이퍼(single wafer) 식각장치용 어셈블리에서의 샤워헤드 전극(10)이 도 1에 도시되어 있다. 이러한 사워헤드 전극(10)은 일반적으로 상기 전극(10) 아래로 1 ~ 2 cm 떨어져 웨이퍼가 지지되는 평판 하부 전극을 가진 정전척과 함께 사용된다. 이러한 척 배열은 상기 웨이퍼와 상기 척 간의 열전달율을 조절하는 헬륨(He) 압력을 배면에 공급함으로써 웨이퍼의 온도 제어를 제공한다.
상기 전극 어셈블리는 주기적으로 교체해 주어야 하는 소모품이다. 상기 전극 어셈블리는 온도조절부재(temperature-controlled member)에 부착되기 때문에, 교체를 용이하게 하기 위해 실리콘 전극(10)의 외곽 모서리 상면을 흑연 지지링(12)에 약 156℃의 용융점을 가진 인듐으로 야금 접착하는 것이 통상적이다. 이러한 낮은 용융점으로 인해 상기 전극에 인가될 RF 전력량이 제한되는데, 이것은 상기 플라즈마에 흡수된 RF 전력량이 상기 전극의 가열을 초래하기 때문이다. 상기 전극(10)은 중심으로부터 모서리까지 균일한 두께를 가진 평판 디스크이다. 링(12) 상의 외곽 플랜지(flange)는 알루미늄 고정 링(16)에 의해 냉각수 도관(13)을 가진 알루미늄 온도조절부재(14)에 고정된다. 냉각수는 냉각수 유입/유출 연결부(13a)에 의해 냉각수 도관(13)에서 순환된다. 플라즈마 한정 링(17)은 상기 전극의 외주변을 둘러싸는 일정간격의 석영 링 더미(stack)를 포함한다. 상기 플라즈마 한정 링(confinement ring; 17)은 절연성의 환형 링(dielectric annular ring; 18)에 볼트로 고정되고, 다음에는 상기 절연성 환형 링이 절연성 하우징(housing; 18a)에 볼트로 고정된다. 한정 링(17)의 목적 및 기능은 반응기 내의 압력차를 유도하고, 반응챔버 벽과 플라즈마 사이의 전기 저항을 증가시켜 상기 플라즈마를 상기 상부전극과 하부 전극 사이에 한정하는 것이다. 내부 반경방향으로 돌출된 고정링(16)의 플랜지는 흑연 지지링(12)의 외곽 플랜지와 맞물린다. 그리하여, 전극(10)의 노출된 표면에 대해 직접적으로 가해지는 고정압력은 없게 된다.
공정 가스(process gas)가 가스 공급기로부터 온도조절부재(14)의 중앙 홀을 통해 전극(10)에 공급된다. 상기 가스는 하나 또는 그 이상의 수직방향으로 간격이 떨어져 있는 배플판(22)을 통해 분배되고 상기 공정 가스를 반응챔버(24) 내로 균일하게 분산시키기 위하여 상기 전극(10) 내의 가스분배홀(미도시)을 통과한다. 전극(10)으로부터 상기 온도조절부재(14)로의 열전도특성을 향상시키기 위하여, 공정가스는 온도조절부재(14)와 지지링(12) 사이의 마주보는 표면 사이의 열린 공간을 채우기 위해 공급될 수 있다. 부가적으로, 환형 링(18) 또는 한정 링(17) 내의 가스통로(미도시)와 연결된 가스 통로(27)는 반응챔버(24) 내에서 압력이 모니터되도록 한다. 공정가스를 온도조절부재(14)와 지지링(12) 사이의 압력으로 유지시키기 위해, 지지링의 내면과 온도조절부재의 마주보는 면 사이에 제 1 오링씨일(O-ring seal; 28)이 제공되고, 지지링(12) 상면의 외곽부와 온도조절부재(14)의 마주보는 면 사이에는 제 2 오링씨일(29)이 제공된다. 챔버(24)에 진공분위기를 유지하기 위하여, 온도조절부재(14)와 실린더형 부재(18b) 사이 및 실린더형 부재(18b)와 하우징(18a) 사이에 추가 오링(30, 32)이 제공된다.
실리콘 전극(10)을 지지링(12)에 접착하는 공정은 상기 전극을 접착온도까지 가열할 것을 요하는데, 이것은 상기 실리콘 전극(10)과 흑연 링(12)의 다른 열팽창계수로 인해 상기 전극의 휨 또는 크랙을 유발할 수도 있다. 또한, 솔더 입자 또는전극(10)과 링(12) 사이의 결합부(joint)로부터 유래하는 증기상태의 솔더 오염물질로부터 또는 링 자체로부터 웨이퍼의 오염이 발생할 수도 있다. 고전력 플라즈마공정 중, 상기 전극의 온도는 상기 솔더를 융해시킬 정도로 충분히 높게 될 수 있고 상기 전극(10)의 일부 또는 전부를 링(12)으로부터 분리시킬 수 있다. 그러나, 비록 상기 전극(10)이 링(12)으로부터 부분적으로 분리되더라도, 링(12)과 전극(10) 간의 전기적 및 열적 전력전송의 국부적인 변화는 전극(10) 아래의 불균일한 플라즈마 밀도를 초래할 수 있다. 반도체 공정분야에서, 진공 공정챔버는 식각 가스 또는 증착 가스를 진공 챔버에 공급하고 RF장의 인가로 상기 가스를 플라즈마 상태로 활성화시켜 기판 상의 물질의 식각 및 화학기상증착(CVD)을 하는데 사용된다. 유도결합 플라즈마(inductively coupled plasma; ICP)로도 불리는 평행판 트랜스포머 결합 플라즈마(TCPTM), 전자-사이클로트론 공진 반응기(electron-cyclotron resonance reactor) 및 이들의 구성부품의 예가 공동소유인 미합중국특허 제 4,340,462호; 제 4,948,458호; 제 5,200,232호 및 제 5,820,723호에 개시되어 있다. 이러한 반응기에서 플라즈마 분위기의 부식성과, 입자 및/또는 중금속 오염의 최소화 요구때문에, 이러한 장비의 부품은 높은 내부식성을 띠는 것이 바람직하다.
반도체 기판의 처리 중, 상기 기판은 통상적으로 진공챔버 내에서 기계적인 클램프 및 정전기적 클램프(electrostatic clamp; ESC)에 의해 기판 홀더 상에 놓여진다. 이러한 고정 시스템 및 이에 따른 구성부품의 예는 공동소유인 미합중국특허 제 5,262,029호 및 제 5,838,529호에서 찾을 수 있다. 공정 가스는 가스노즐,가스링, 가스분배판 등의 다양한 방법으로 공급될 수 있다. 유도결합 플라즈마 반응기를 위한 온도조절 가스분배판과 이에 따른 구성부품의 예는 공동소유인 미합중국특허 제 5,863,376호에서 찾을 수 있다.
알루미늄 및 알루미늄 합금이 플라즈마 반응기의 내벽(wall)으로 통상적으로 사용된다. 상기 내벽의 부식을 방지하기 위해, 다양한 코팅재로 알루미늄 표면 코팅을 위한 여러가지 기술이 제안되어 왔다. 예를 들면, 미합중국특허 제 5,641,375호는 내벽의 플라즈마 부식 및 마모를 줄이기 위해 양극 산화된(anodized) 알루미늄 챔버를 개시하고 있다. 상기 특허는 궁극적으로는 상기 양극산화층이 스퍼터링되어 떨어지거나 상기 챔버가 교체되어야 한다고 말한다. 미합중국특허 제 5,680,013호는 식각 챔버의 금속표면에 알루미나(Al2O3)를 화염 분무(flame spraying)하기 위한 기술이 미합중국특허 제 4,491,496호에 개시되어 있다고 언급하고 있다. 상기 특허 제 5,680,013호는 알루미늄과 알루미늄 산화막 같은 세라믹 코팅 간의 열팽창계수의 차가 열사이클에 기인한 코팅의 균열 및 부식성 분위기에서의 궁극적인 파괴로 이르게 한다고 언급하고 있다. 미합중국특허 제 5,085,727호는 플라즈마 챔버의 내벽용 카본 코팅에 대해 개시하는데, 이 코팅은 플라즈마 화학기상증착(plasma assisted CVD)에 의해 증착된다.
챔버 내벽을 보호하기 위해, 미합중국특허 제 5,366,585호; 제 5,556,501호; 제 788,799호; 제 5,798,016호; 및 제 5,885,356호는 라이너(liner) 배열을 제안하고 있다. 예를 들면, 상기 특허 제 5,366,585호는 단단한 알루미나로부터 기계가공되고 최소 0.005인치의 두께를 가진 독립구조의(free standing) 세라믹 라이너를개시하고 있다. 상기 특허 제 5,366,585호는 또한 화염분무 또는 플라즈마 분무된 알루미나에 의해 제공될 수 있는 하부 알루미늄(underlying aluminium)을 소모시키지 않고 증착된 세라믹층의 사용을 언급하고 있다.
상기 특허 제 5,556,501호는 공정 호환성(process-compatible) 있는 폴리머(polymer) 또는 수정(quartz) 또는 세라믹으로 된 라이너를 개시한다. 상기 특허 제 5,788,799호는 저항 발열체가 내장된 온도조절(temperature controlled) 세라믹 라이너를 개시하고 있는데 상기 세라믹은 알루미나, 실리카(silica), 지르코니아(zirconia), 실리콘 카바이드(silicon carbide), 타이타늄 카바이드(titanium carbide), 지르코늄 카바이드(zirconium carbide), 알루미늄 나이트라이드(aluminum nitride), 보론 나이트라이드(boron nitride), 실리콘 나이트라이드(silicon nitride) 및 타이타늄 나이트라이드(titanium nitride)가 될 수 있다. 상기 특허 제 5,798,016호는 알루미늄과 함께 세라믹, 알루미늄, 철 및/또는 수정으로 된 라이너가 기계가공의 용이성으로 인해 바람직하며 Al2O3와 함께 알루미늄 산화물, Sc2O3또는 Y2O3Al2O3코팅하는 것이 플라즈마로부터 알루미늄의 보호를 제공하기 위한 알루미늄 코팅에 바람직함을 개시하고 있다. 상기 특허 제 5,885,356호는 CVD 챔버에서 사용되는 웨이퍼 주각(pedestal)을 위한 알루미나로 된 세라믹 라이너와 알루미늄 나이트라이드로 된 세라믹 쉴드(shield)를 개시하고 있다. 미합중국특허 제 5,904,778호는 챔버 내벽, 챔버 천정 또는 웨이퍼 주변의 깃(collar)으로 사용되는 독립구조 SiC 상의 SiC CVD 코팅을 개시하고 있다. 미합중국특허 제 5,292,399호는 웨이퍼 주각을 둘러싸는 SiC링을 개시하고 있다. SiC 소결체를 만드는 기술은 미합중국특허 제 5,182,059호에 개시되어 있다.
샤워헤드 가스분배 시스템과 같은 플라즈마 반응기 구성부품에 대해서는, 샤워헤드의 물질에 관하여 여러가지 제안이 제시되고 있다. 예를 들면, 공동 소유인 미합중국특허 제 5,569,356호는 실리콘, 흑연 또는 실리콘 카바이드로 된 샤워헤드를 개시하고 있다. 미합중국특허 제 5,888,957호는 비정질 카본, SiC 또는 Al로 된 샤워헤드 전극에 대해 개시하고 있다. 미합중국특허 제 5,006,220호와 제 5,022,979호는 SiC를 주로 하거나 카본을 주요소로 표면에 고순도의 SiC를 제공하기 위해 CVD에 의해 증착된 SiC가 코팅된 샤워헤드 전극을 개시하고 있다.
반도체 공정 장비의 구성부품의 고순도와 내부식성에 대한 요구의 관점에서, 이러한 구성부품에 사용된 물질 및/또는 코팅의 개선을 위한 기술에 대한 요구가 있다. 더우기, 챔버 물질에 관해서는, 플라즈마 반응기의 수명(service life)을 증가시켜 장치의 비가동 시간(down time)을 줄일 수 있는 물질이 반도체 웨이퍼의 공정비용을 감소시키는데 유용할 것이다.
본 발명이 이루고자 하는 기술적 과제는 우수한 플라즈마 형성을 유지하고, 공정 표류를 피할 수 있으며, 세라믹과 금속 부품과의 열팽창차를 극복할 수 있으며, 불순물에 의하여 기판이 오염되는 것을 방지할 수 있는 반도체 기판 처리용 플라즈마 공정 시스템을 제공하는데 있다.
본 발명이 도면들을 참조하여 보다 상세히 설명될 것이다.
도 1은 단일 웨이퍼 공정을 위한 종래기술의 샤워헤드 전극의 측면 단면도 이다.
도 2는 본 발명의 일 실시예에 따른 샤워헤드 전극의 측면 단면도이다.
도 3은 도 2에 도시된 배열의 일부분에 대한 측단면도이다.
도 4는 본 발명의 일 실시예에 따라 탄력성 프레임 상에 지지된 세라믹 라이너가 기판 지지체를 둘러싸는 플라즈마 반응챔버를 도시한 것이다.
도 5는 도 4의 상기 플라즈마 반응챔버를 상기 라이너와 같은 다양한 구성부품이 없이 도시한 것이다.
도 6은 본 발명의 일 실시예에 따른 플라즈마 반응챔버를 도시한 것으로, 상기 세라믹 라이너는 안벽판들에 장착된 일련의 타일을 포함한다.
도 7은 도 6의 상기 플라즈마 반응챔버의 사시도이다.
도 8은 도 6의 상기 플라즈마 반응챔버 내의 웨이퍼 도입 슬롯을 상세히 도시한 것이다.
도 9는 도 6의 타일 모서리가 맞물리는 배열로 서로 맞춰지는 방법을 도시한것이다.
도 10은 본 발명의 네번째 실시예에 따라 연속적인 실린더형 세라믹 라이너를 지지하기 위한 탄성적으로 굽힘가능한 프레임을 상세히 도시한 것이다.
도 11은 도 10의 실린더형 라이너의 하부 모서리에 부착된 분할된 금속 프레임을 상세히 도시한 것이다.
도 12는 200 웨이퍼 런(200 wafer run)을 진행한 후에 도 3에 도시된 배열의 히터 플랜지, 타일 및 하부 플랜지의 온도를 도시한 그래프이다.
본 발명은 반도체 기판의 처리 중에 사용되는 플라즈마 공정챔버 내의 부품들(parts)의 탄성중합체 결합부(elastomer joint) 어셈블리를 제공한다. 상기 부품들은 기판 지지체, 가스 분배시스템, 라이너, 전극, 윈도우(window), 온도조절면(temperature controoled surfaces) 등을 포함한다. 상기 탄성중합체 결합부 어셈블리는 접착면(bonding surface)을 가진 제 1 부분, 상기 제 1 부분의 접착면과 접착되는 접착면을 가지는 제 2 부분; 및 온도 사이클 동안에 상기 제 1 부분과 상기 제 2 부분 사이의 이동을 허용하기 위해 상기 제 1 부분을 상기 제 2 부분에 탄력적으로 부착시키는 상기 제 1 부분과 상기 제 2 부분 사이의 탄성중합체 결합부를 포함할 수 있다.
상기 탄성중합체 결합부는 진공 분위기에 적합하고 200℃를 포함하여 그 온도까지의 열적 변화에 대한 저항성이 있는 중합체(polymeric) 물질을 포함한다. 상기 탄성중합체 결합부는 중합체 물질 및 전기적 및/또는 열적으로 전도성 있는 필러(filler)를 포함할 수 있다. 상기 중합체 물질은 폴리이미드, 폴리케톤, 폴리에테르케톤, 폴리에테르 술폰, 폴리에틸렌 테레프탈레이트, 플루오로에틸렌 프로필렌 코폴리머, 셀룰로오스, 트리아세테이트, 실리콘을 포함할 수 있다. 상기 필러는 5 내지 20 중량%의 실리콘을 가진 알루미늄 합금과 같은 금속 입자일 수 있다. 상기 탄성중합체 결합부는 맞물리는 및/또는 자기정렬 배열을 제공하도록 윤곽지어진 결합면 사이에 위치될 수 있다.
본 발명의 일 실시예에 따르면, 본 발명은 반도체 기판의 공정을 위한 플라즈마 반응챔버에 사용되는 전극 어셈블리를 제공한다. 상기 전극 어셈블리는 결합면을 가진 지지부재, RF 인가 전극(RF driven electrode) 및 그 사이의 탄성중합체 결합부를 포함한다. 상기 전극은 상기 반응챔버 내에서 처리될 상기 반도체 기판과 마주보는 노출면과, 상기 탄성중합체 결합부에 의해 상기 지지부재의 결합면에 결합될 전극의 외곽 모서리에 접착면을 갖는다. 상기 탄성중합체 결합부는 상기 전극이 지지부재에 대해 이동하는 것을 허용하기 때문에 상기 어셈블리의 온도 사이클링 중 열적 불일치 및/또는 열적 변화를 보상한다.
본 발명의 바람직한 실시예에 따르면, 상기 전극은 샤워헤드 전극을 포함하고 상기 전극 어셈블리는 상기 샤워헤드 전극의 배면에 공정가스를 공급하는 가스 통로를 가진 온도조절부재에 제거 가능하게 부착된다. 이 경우, 상기 온도조절부재는 선택적으로 공동 및 상기 공동 내에 최소한 하나의 배플판을 포함할 수 있는데, 이것에 의해 상기 가스 통로는 상기 공정가스를 상기 배플 및 상기 샤워헤드 전극의 출구를 통과하여 상기 공동 내로 공급한다. 상기 결합부를 순응시키고 상기 건극의 외곽 모서리 주위로 완전하게 확장된 씨일을 제공하기 위해 상기 전극 및/또는 상기 지지부재에는 리세스(recess)가 위치될 수 있다. 상기 전극은 균일한 또는 불균일한 두께의 원형 실리콘 디스크를 포함할 수 있고, 상기 탄성중합체 결합부는 금속 입자와 같은 전기 전도성 필러를 가진 전기 전도성 물질을 포함할 수 있다. 상기 필러는 바람직하게는 상기 전극과 상기 지지부재 간에 직접적인 전기적 접촉을 제공한다.
본 발명은 또한 플라즈마 반응챔버에서 유용한 샤워헤드 전극과 같은 RF 인가 전극을 조립하는 방법을 제공한다. 본 방법은 상기 전극과 상기 지지부재의 하나 또는 그 이상의 결합면에 탄성중합체 접착물질을 가하는 단계, 상기 전극과 지지부재를 조립하는 단계, 상기 전극과 지지부재 사이에 탄성중합체 결합부를 형성하기 위하여 상기 접착물질을 경화하는 단계를 포함한다. 상기 결합면은 바람직한게는 차후에 경화되는 프라이머로 코팅되거나 또는 이와 함께 상기 접착물질이 상기 전극 및/또는 상기 지지부재에 가해지기 전에 가스 버블을 제거하기 위해 진공분위기에서 치밀화하는 단계에 놓여진다.
바람직한 실시예에서, 상기 탄성중합체 접착물질은 흑연 링 내에서 얕은 리세스에 가해지고, 상기 결합부의 경화 중에 상기 실리콘 전극은 상기 지지링에 대해 압착된다.
본 발명은 또한 플라즈마 반응챔버 내에 반도체 기판을 처리하기 위한 방법을 제공한다. 상기 방법은 웨이퍼와 같은 반도체 기판을 플라즈마 반응챔버로 공급하는 단계, 상기 플라즈마 챔버의 내부에 공정가스를 공급하는 단계, 전극 어셈블리에 전력을 가함으로써 상기 기판을 처리하는 단계를 포함한다. 상기 전극 어셈블리는 전극과 지지부재를 포함하고 상기 전력은 상기 어셈블리의 온도 사이클 동안에 지지부재에 대하여 전극이 이동할 수 있도록 상기 지지부재에 상기 전극을 접착시키는 탄성중합체 결합부를 통해 전극으로 흐른다. 상기 전극은 샤워헤드 전극일 수 있고 상기 공정 가스는 플라즈마 반응챔버 내에 놓여진 온도조절부재 내의 가스통로를 통해 상기 챔버로 공급될 수 있다. 상기 지지부재는 상기 온도조절부재에 제거 가능하게 부착된 흑연 링일 수 있고, 상기 전극은 상기 탄성중합체 결합부에 의해서만 상기 흑연 링에 결합된 실리콘 디스크일 수 있다.
본 발명은 플라즈마 챔버, 기판 지지체, 가스 공급기, 에너지원(energy source), 세라믹 라이너 및 탄력성 지지부재를 포함하는 반도체 기판을 처리하기 위한 플라즈마 공정챔버를 제공한다. 상기 플라즈마 공정챔버는 챔버 측벽(side wall)에 의해 한정되는 내부 공간(interior space)을 가지고 있고, 기판이 놓여져 처리되는 상기 기판 지지체는 상기 내부 공간에 위치되어 있다. 상기 챔버 측벽은 상기 기판 지지체 주위 바깥에 자리잡고 있고, 상기 가스 공급기는 상기 내부 공간으로 공정 가스를 공급한다. 상기 에너지원은 기판의 처리 중에 상기 내부 공간에서 상기 공정 가스를 플라즈마 상태로 활성화한다. 상기 세라믹 라이너는 상기 챔버 측벽과 상기 기판 지지체의 주위 사이에서 상기 탄력성 지지부재에 의해 지지된다.
바람직한 실시예에 따르면, 상기 탄력성 지지부재는 탄성중합체 결합부 또는 탄성적으로 굽힘가능한(bendable) 금속 프레임을 포함하고, 상기 세라믹 부재는 단일 조각의 세라믹 라이너 또는 세라믹 타일의 어셈블리를 포함한다. 예를 들면, 상기 세라믹 부재는 세라믹 타일 어셈블리와 금속 안벽부재를 포함할 수 있고, 상기 탄력성 지지체는 상기 각 세라믹 타일을 상기 금속 안벽부재 중의 하나에 부착하는 탄성중합체 결합부를 포함할 수 있다. 상기 금속 안벽부재는 탄성적으로 굽힘가능한 금속 프레임 상에 지지되고, 상기 굽힘가능한 금속 프레임은 열이 상기 세라믹 타일들로부터 상기 탄성중합체 결합부, 상기 금속 안벽부재들 및 상기 굽힘가능한 금속 프레임을 통과하여 열적으로 조절되는 부재(thermally controlled member)로 확장되는 열 경로를 경유하여 제거될 수 있도록 상기 열적으로 조절되는 부재에 의해 지지될 수 있다. 이를 대신하여, 상기 세라믹 부재는 세라믹 타일 어셈블리를 포함할 수 있고, 상기 탄력성 지지체는 상기 세라믹 타일 각각과 상기 챔버 측벽 사이에 있는 탄성중합체 결합부를 포함할 수 있다.
본 발명의 다른 실시예에 따르면, 히터는 상기 히터가 세라믹 부재를 가열할 수 있도록 굽힘가능한 금속 프레임에 의해 지지된다. 상기 탄력성 지지부재는 내부 프레임 부재와 외부 프레임 부재를 포함하는 탄성적으로 굽힘가능한 금속 프레임을 포함할 수 있다. 상기 탄력성 지지부재는 상기 세라믹 부재와 상기 내부 프레임 부재 간에 탄성중합체 결합부를 더 포함할 수 있고, 상기 내부 프레임 부재는 상기 챔버에 의해 지지되고, 상기 타일들은 맞물리는(interlocked) 일련의 세라믹 타일들일 수 있다. 바람직하게는 상기 세라믹 부재는 단일 조각의 SiC 라이너 또는 복수 개의 SiC 타일들일 수 있다.
상기 탄력성 부재는 플라즈마 공정 시스템의 작동 중에 상기 세라믹 부재와 상기 프레임 부재 상의 열응력차에 순응하도록 구성된 내부 및 외부 금속 프레임 부재들을 포함할 수 있다. 이러한 배열에서, 상기 외부 프레임 부재의 상부 부분(upper portion)이 상기 챔버의 열적으로 조절되는 부분에 의해 지지될 수 있고, 상기 외부 프레임 부재의 하부 부분(lower portion)이 상기 내부 프레임 부재의 하부에 부착될 수 있고, 상기 세라믹 부재는 상기 내부 프레임 부재에 의해 지지될 수 있다. 게다가, 상기 굽힘가능한 금속 프레임은 연속적인 상부 부분과 분할된 하부 부분을 포함할 수 있다. 예를 들면, 상기 굽힘가능한 금속 프레임은 실린더형일 수 있고 상기 분할된 하부 부분은 축방향으로 확장된 슬롯들에 의해 각각분리된 축방향으로 확장된 세그먼트들을 포함할 수 있다. 만약 상기 내부 및 외부 프레임 부재들이 실린더형이고 연속적인 상부 부분과 분할된 하부 부분을 포함하는 경우, 상기 분할된 하부 부분은 축방향으로 확장된 슬롯들에 의해 각각 분리된 축방향으로 확장된 세그먼트를 포함할 수 있다.
본 발명의 다른 실시예에 따르면, 상기 라이너는 상기 세라믹 부재의 하부 부분으로부터 내부로 확장된 세라믹 플라즈마 스크린(screen)을 포함할 수 있고, 상기 세라믹 플라즈마 스크린은 기판의 처리 중에 공정 가스와 반응 부산물이 상기 챔버의 내부로부터 제거되는 통로를 포함할 수 있다. 예를 들면, 상기 세라믹 플라즈마 스크린은 상기 챔버 측벽과 상기 기판 지지체 사이 고리 모양의 공간 내에서 지지되는 복수 개의 세그먼트를 포함할 수 있고, 상기 통로는 상기 챔버 측벽으로부터 방사상 내부로 확장된 슬롯을 포함할 수 있다. 상기 세라믹 스크린은 전기 전도성 탄성중합체 결합부에 의해 상기 탄력성 지지부재에 부착될 수 있고, 상기 탄력성 지지부재는 굽힘가능한 금속 프레임을 포함할 수 있고 상기 플라즈마 스크린은 상기 탄성중합체 결합부에 의해 상기 굽힘가능한 금속 프레임에 전기적으로 접지될 수 있다.
본 발명의 전극 어셈블리는 전극과 지지부재 간의 열적 불일치에 기인한 응력에의 보다 나은 적응을 제공함으로써 전극의 수명을 연장시키고, 반응기가 보다 높은 전력에서 작동할 수 있도록 상기 전극이 보다 높은 온도에 노출되는 것을 가능케 하고, 상기 전극 어셈블리의 생산 비용을 낮추고, 반도체 기판의 균일한 플라즈마 공정을 가능하도록 상기 반응기가 작동할 동안에 상기 전극의 중심으로부터외곽 주변부까지 높은 수준의 평탄도를 제공함으로써 도 1에 도시된 종래기술의 전극 어셈블리의 불리함을 극복한다. 상기 플라즈마 공정은 산화층과 같은 물질의 식각, 포토레지스트와 같은 물질의 스트립(strip), SiO2와 같은 층의 증착 등을 포함한다. 그러나, 본 발명의 주된 이점은, 열팽창계수의 불일치 및/또는 전극 구성부품의 열적 구배(thermal gradient)에 기인한 전극 어셈블리의 스트레스 감소와 플라즈마 반응기의 고전력 동작을 가능하게 하는 것이다.
본 발명에 따른 샤워헤드 전극 어셈블리는 전극, 지지부재 및 상기 전극을 지지부재에 탄력적으로 접착시키는 탄성중합체 결합부(joint)를 포함한다. 그리하여, 본 발명은 도 1에 도시된 배열과 관련하여 이상에서 논의한 여러가지 불이익을 가져오는 상기 전극의 지지링에의 솔더 접착 요구를 회피한다.
전극 어셈블리는 주기적으로 교체할 수 있는 소모품이므로, 상기 전극은 상기 반응기의 영구적인 부품에 기계적으로 고정될 수 있는 링 형태로 지지부재에 접착되는 것이 바람직하다. 예를 들면, 전극 어셈블리의 링은 공동(cavity)을 가진 배플판을 통과하여 전극의 출구를 통해 밖으로 나가는 공정가스(즉, 웨이퍼 상에 이산화 실리콘 또는 다른 물질층을 식각하기 위한 적당한 플라즈마 식각가스)를 제공하는 가스 통로를 가진 온도조절부재에 제거 가능하게 부착될 수 있다. 그러나, 바람직하다면, 상기 어셈블리는 상기 전극이 샤워헤드 전극이 아니거나 상기 지지부재가 링의 형태가 아닌 다른 배열을 가질 수 있다. 예를 들면, 상기 전극은 상기 전극 내의 홀과 통해진 가스분배홀을 가진 안벽판(backing plate)에 접착된 사워헤드 전극일 수 있다. 다른 가능성으로는 상기 전극이 지지부재에 판(plate), 실린더, 기초 부재상의 둘출부(projection) 등의 형태로 지지부재에 접착되는 것이 있다.
본 발명의 바람직한 실시예에 따르면, 상기 지지부재는 단일 웨이퍼 플라즈마 식각용으로 사용되는 형태와 같이 플라즈마 반응챔버의 내부에 위치한 온도조절부재에 상기 전극 어셈블리를 제거 가능하게 부착하기 위해 한쪽 모서리에 반경 바깥쪽으로 확장되는 플랜지를 가진 링 형태이다. 조립된 상태에서, 상기 온도조절부재의 상면에 있는 냉각수 도관은 전극 어셈블리의 냉각을 제공할 수 있다.
상기 전극은 중심으로부터 외곽 모서리까지 균일한 두께를 가진 평면 실리콘(예를 들면, 단결정 실리콘), 흑연 또는 실리콘 카바이드 전극 디스크와 같은 전도성 물질인 것이 바람직하다. 그러나, 불균일한 두께, 다른 물질을 갖는 전극들 및/또는 공정가스 분배홀이 없는 전극들도 본 발명에 따른 전극 어셈블리에 사용될 수 있다. 바람직한 실시예에서, 상기 전극은 상기 전극에 의해 활성화되어(energized) 상기 전극 하부의 반응챔버 내에 플라즈마를 형성하는 공정가스를 공급하기에 적당한 크기와 분포를 가진 일정 간격으로 떨어진 복수 개의 가스 방출 통로를 가진 샤워헤드 전극이다. 그러나, 플라즈마 반응기 또는 진공분위기 내에서 사용할 수 있는 어떤 타입의 전극도 본 발명에 따른 전극 어셈블리의 부분으로 사용될 수 있는데, 그런 전극들은 스터퍼(sputter)의 전극들을 포함한다.
탄성중합체 결합부는 적당한 폴리머와 같이 진공분위기에 적합하고 200℃이상과 같은 높은 온도에서 열적 열화(thermal degradation)에 대한 저항성 있는 어떤 탄성중합물질로 구성될 수 있다. 상기 탄성중합체 물질은 선택적으로 전기적및/또는 열적으로 전도성 있는 입자로 된 필러 또는 와이어 메쉬(wire mesh), 짜여지거나 짜여지지 않은 전도성 천(fabric) 등과 같이 다른 형상의 필러를 포함할 수 있다. 160℃ 이상에서 플라즈마 분위기에서 사용될 수 있는 중합체 물질(polymeric materials)은 폴리이미드(polyimide), 폴리케톤(polyketone), 폴리에테르케톤 (polyetherketone), 폴리에테르 술폰(polyether sulfone), 폴리에틸렌 테레프탈레이트(polyethylene terephthalate), 플루오로에틸렌 프로필렌 코폴리머 (fluoroethylene propylene copolymer), 셀룰로오스(cellulose), 트리아세테이트 (triacetate), 실리콘(silicone) 및 고무를 포함한다. 고순도의 탄성중합체 물질은 제너럴 일렉트릭(General Electric)사로부터 구입가능한 RTV133, RTV167 같은 단일 성분(one-component)의 상온 경화 점착제, 제너럴 일렉트릭사로부터 구입가능한 TSE3221과 같은 단일 성분의 유동 열경화 가능한(예를 들면, 100℃ 이상으로) 점착제 및 다우 코닝(Dow Corning)사로부터 구입가능한 "SILASTIC"과 같은 이중 부분 첨가(two-part addition) 탄성중합체를 포함한다. 특히 바람직한 탄성중합체는 250℃ 또는 그이상의 온도에서 안정한 탄성중합체인 로디아(Rhodia)사로부터 구입가능한 V217과 같은 촉매 경화(catalyst-cured)되는, 예를 들면 Pt-경화되는 탄성중합체와 같이 폴리디메틸실록센(polydimethylsiloxane)을 포함하는 탄성중합체를 포함한다.
상기 탄성중합체가 전기적으로 전도성 탄성중합체인 경우, 상기 전기적으로 전도성 있는 필러물질은 전도성 금속 또는 합금으로 된 입자를 포함할 수 있다. 플라즈마 반응챔버의 불순물에 민감한 분위기에서 사용에 바람직한 금속은 5-20중량%의 실리콘을 함유하는 알루미늄을 주요소로 하는 합금과 같은 알루미늄 합금이다. 예를 들면, 상기 알루미늄 합금은 약 15중량%의 실리콘을 함유할 수 있다.
최종적으로 형성된 결합부의 탄성한계 내에서 유지하기 위해, 부착될 부재들의 최소한 하나에는 하나 또는 그 이상의 리세스(recess)을 제공하는 것이 유용하다. 즉, 너무 얇은 결합부는 열 사이클 중 찢어질 수 있는 반면 너무 두께운 결합부는 결합될 부분의 열적 커플링(thermal coupling) 및/또는 전력 전송에 영향을 미칠 수 있다. 실리콘 전극을 흑연 링에 부착하는 경우에, 상기 전극과 상기 지지링 사이의 열적 불일치에 적응하기에 충분히 두꺼운 반면 적당한 전기적 커플링을 제공하기 위하여 상기 전극과 지지링과의 사이에 충분히 얇은 층을 유지하기 위한 목적으로 리세스가 흑연 링 내에 제공될 수 있다. 예로써, 45 내지 55부피%의 필러 함량을 가지고 필러의 평균 입자 크기가 0.7 내지 2㎛인 열전도성 탄성중합체의 경우, 상기 리세스는 약 2mils(약 50㎛)의 깊이를 가질 수 있다. 상기 리세스를 주위의 접촉면적 내에서, 상기 탄성중합체는 개별 입자들이 마주보는 접촉면을 브릿지(bridge)하기 때문에 벌크 탄성중합체에서 나타나는 것보다 높은 전기전도성을 제공하기에 충분히 얇다. 게다가, 적당한 크기를 가진 입자들과 그루브(groove)의 깊이의 조합은 결합부를 통한 RF 전류의 통과를 가능하게 한다. 만약 결합부를 통한 보다 나은 DC 경로를 제공하기 위하여, 상기 필러 함량이 65 내지 70 부피% 이상으로 증가되면, 이러한 필러 함량은 결합부의 탄성에 악영향을 미칠 수 있다. 그러나, 상기 전극과 상기 지지부재 간에 용량성 커플링으로 인해 충분한 RF 전력이 탄성중합체 결합부의 얇은 면적을 통해 상기 전극에 인가될 수 있으므로 상기전극에 전기적으로 및/또는 열적으로 전도성 있는 탄성중합체를 사용하는 것은 불필요하다. 또한, 이러한 얇은 결합부는 상기 전극과 지지부재 사이에 적당한 열전도성을 제공한다.
전극과 지지부재의 결합면은 평면이거나 평면이 아닐 수도 있다. 예를 들면, 하나의 결합면은 평면이고 다른 면은 이상에 설명된 바와 같이 접착물질(bonding material)을 받아들일 리세스를 포함할 수 있다. 이를 대신하여, 상기 결합면은 맞물리거나(interlocking) 및/또는 자기정렬된 배열을 제공하도록 형상화될 수 있다. 탄성중합체의 접착물질의 접착성을 개선하기 위하여, 바람직하게는 상기 결합면은 적당한 프라이머(primer)로 코팅된다. 만약 접착물질이 이상에서 언급한 V217이라면, 상기 프라이머는 로디아사의 VI-SIL V-06C와 같은 지방족 용매에 녹인 실록센(siloxane)일 수 있다.
상기 프라이머는 상기 결합면(mating surface)에 나중에 가해질 접착물질을 위한 접착 사이트(bonding site)를 제공하기 위해 와이핑(wiping), 솔질(brushing), 분무(spraying) 등과 같은 어떤 적당한 기술에 의해 얇은 코팅으로 제공될 수 있다. 만약, 프라이머가 용매를 함유하고 있을 경우, 와이핑에 의한 프라이머의 적용은 결합면을 청결하게 함으로써 접착을 향상시킬 수 있다. 실록산을 함유하는 프라이머는 상온에서 공기 중에서 경화할 때 공기와 반응하여 Si 접착 사이트를 만든다. 이러한 프라이머는 과도한 프라이머가 위치한 곳을 가루모양으로(powdery) 보이게 함으로써 접착 사이트량에 대한 시각적 표지를 제공한다. 비록 프라이머가 결합면을 개량하는 쉽고 효과적인 기술을 제공하지만, 표면을 산소 플라즈마에서 처리하는 것과 같은 다른 개량 기술이 사용될 수 있다.
양질의 탄성중합체 결합부를 제공하기 위해, 결합면에 탄성중합체를 가하기 전에 상기 탄성중합체 접착물질을 치밀화하는 것이 바람직하다. 예를 들면, 상기 탄성중합체 결합물질은 주위온도나 높은 온도의 진공분위기에서 진동하에 놓여질 수 있다. 진공 압력은 1 Torr이하이고, 바람직하게는 500mTorr이하가 접착물질의 가스제거에 사용될 수 있다. 진공에 의해 생성된 버블(bubble)의 분산을 늘리기 위해 치밀화 처리 중 한 번 또는 그 이상을 배기(bent)시킴으로써 펄스 형태로 진공이 주어질 수 있다. 예로써, 약 200 mTorr의 진공은 30분을 주기로 4 또는 5 번의 펄스로 주어질 수 있다. 상기 탄성중합체 접착물질 내에 필러의 존재 또한 진공에서 형성된 버블의 분산에 도움을 준다. 진동(agitation)/펄스 진공이 없이도, 상기 탄성중합체 결합물질은 최초 부피의 약 10배 정도로 팽창하여, 저장(storage) 및 정화(cleanup)의 문제를 발생시킴으로써 물질 내에 공기 주머니(air pocket)를 도입할 수 있다. 이러한 가스 사이트는 접착물질의 경화 중에 거품을 형성하여, 결국 형성된 결합부를 열화시킬 수 있다.
결합면을 마스킹하는 것은 조인트가 형성된 뒤에 주위 표면을 보호하고 과도한 접착물질을 제거하는데 유용한 방법을 제공한다. 플라즈마 반응기의 구성부품으로 사용되는 고순도 물질의 경우, 실리콘/흑연 호환 접착재를 가진 MYLAR 및/또는 KAPTON 테이프 같은 폴리에스터(polyester) 및/또는 폴리이미드물질이 사용될 수 있다. 실리콘 샤워헤드 전극의 경우, 전극 상에 가스 출구를 MYLAR 테이프로 덮는 것이 바람직하고 상기 전극의 바깥 모서리는 KAPTON 테이프로 된 스트립(strip)으로 덮여질 수 있다. 흑연 지지링의 경우, 상기 내부 및 외부 모서리는 KAPTON 테이프 스트립으로 덮여질 수 있다. 결합부 형성 후에 과도한 접착물질을 제거하는 것을 돕기 위해, 탄성중합체 접착물질의 점착(sticking)을 촉진하기 위한 프라이머를 마스크 물질에 가하는 것이 유용하다. 이러한 방식으로, 마스크 물질이 접착부로부터 제거될 때, 마스크 물질에 붙어 있는 과도한 접착물질도 제거될 수 있다.
상기 탄성중합체 접착물질은 결합면의 하나 또는 양쪽에 가해질 수 있다. 실리콘 전극 및 흑연 지지링의 경우, 흑연 지지링이 더 기공이 많기 때문에 상기 접착물질을 흑연 지지링에 가하는 것이 바람직하다. 예를 들면, 상기 접착물질 비드(bead)를 지지링 주위에 완전하게 확장된 리세스로 가할 수 있다. 상기 접착물질의 양은 최종 형성된 결합부의 부피를 초과하는 것이 바람직하다. 예로써, 상기 접착물질은 결합부를 형성하기 위해 필요한 양의 약 5배의 양으로 가해질 수 있다.
접착물질이 결합면의 최소한 하나의 곳에 가해진 뒤, 상기 접착물질은 치밀화 단계를 거칠 수 있다. 예를 들면, 상기 접착물질이 가해진 흑연 링은 앞서 설명한 바와 같이 상기 접착물질을 가하는 단계 중에 도입된 가스 버블을 제거하기 위해 진공분위기에 놓여질 수 있다.
접착물질이 결합면의 최소한 한 곳에 가해진 뒤, 상기 부분들은 상기 결합면이 서로 압착되도록 조립될 수 있다. 이상에서 설명한 전극과 지지링의 경우, 상기 전극은 정착물(fixture)내에 유지될 수 있고 상기 정착물은 상기 지지링을 전극과 정확히 접촉하도록 인도할 수 있다. 형성될 결합부 전체에 상기 탄성중합체를 분산하기 위해 처음에는 핸드 프레스(hand press)와 같은 약한 압력이 사용될 수 있다.상기 탄성중합체가 분산된 뒤, 30 파운드중과 같은 정압(static load)이 결합부의 경화 중에 상기 전극으로 인가될 수 있다.
상기 접착재는 공기분위기 또는 보호성 가스분위기에서 상온 또는 높은 온도에서 경화될 수 있다. 예를 들면, 상기 어셈블리는 접합부로 열응력을 야기시키지 않고 접착재의 경화를 촉진하기 위하여 대류 오븐(convection oven)에 놓여져서 낮은 온도로 가열될 수 있다. 이상 설명된 상기 전극과 지지링의 경우, 상기 온도는 60℃이하, 예를 들면 45℃ 내지 50℃에서 적당한 시간, 예컨대 3 내지 5 시간동안 유지되는 것이 바람직하다.
상기 접착재가 경화되어 탄성중합체 결합부를 형성한 뒤, 상기 어셈블리는 냉각되고 마스크 물질이 제거된다. 더 나아가서, 상기 어셈블리의 작업 요구에 따라 진공분위기에서의 가스배출(outgassing)같은 추가적인 정화 및/또는 그 이상의 제조 단계가 수행될 수 있다.
도 2는 본 발명의 일 실시예에 따른 샤워헤드 전극 배열(40)을 도시한 것이다. 상기 전극 배열(40)은 전극(42)과 전기 전도성 지지링(44)을 포함한다. 상기 전극 어셈블리는 도 1에 도시된 전극(10)과 지지링(12)으로 구성된 전극 어셈블리로 대체될 수 있다. 상기 전극 배열(40)은 상기 전극(42)이, 도 3에 도시된 바와 같이, 리세스(48) 내에 위치한 탄성중합체 결합부(46)에 의해 지지링(44)에 접착된 점에서 도 1에 도시된 인듐 접착된 어셈블리(42)와 다르다.
본 발명의 실시예에 따르면, 상기 리세스(48)는 상기 지지링(44)의 내벽(미도시) 및 외벽(48) 사이에서 지지링(44) 주위로 연속적으로 확장된다. 각 벽(50)은예컨대 폭 약 30 mils로 얇을(thin) 수 있는데, 이것은 상기 각 벽(50) 및 상기 리세스(48) 내의 두꺼운 층(예를 들면, 약 0.0025 인치)과 접촉하고 있는 영역에서 상기 탄성중합체가 얇은 층(예컨대, 상기 탄성중합체가 0.7 내지 2㎛ 크기의 필러를 포함하는 경우 약 2㎛ 두께)을 형성하는 것을 가능하게 한다. 상기 벽들에 의해 형성된 리세스는 예컨대 깊이 약 2mils로 매우 얕아서, 상기 전극을 상기 지지링에 점착성 접착(adhesively bond)시키기 위한 충분한 강도를 가진 매우 얇은 탄성중합체 결합부를 제공할 수 있고, 상기 전극 어셈블리의 온도 사이클링 동안에 상기 지지링에 대하여 상기 전극의 이동을 허용할 수 있다. 부가적으로, 리세스의 상기 벽들은 반응기의 플라즈마 분위기에 의한 침식으로부터 탄성중합체 결합부를 보호할 수 있다.
상기 플라즈마 어셈블리의 치수(dimension)는 전극 어셈블리의 목적된 용도에의 요구를 만족시키기 위해 적합하게 만들어질 수 있다. 일례로써, 상기 전극이 8인치 웨이퍼를 처리하기 위해 사용되면, 상기 전극은 9인치보다 약간 작은 직경을 가지고, 상기 지지링은 상기 전극과 상기 지지링 간의 계면에서 0.5인치보다 약간 작은 폭을 가질 수 있다. 예를 들면, 상기 계면에서 상기 지지링은 8인치의 내경과 8.8인치의 외경을 가질 수 있다. 이러한 경우, 상기 전극과 상기 지지링과의 상기 계면은 약 0.4 인치의 폭을 가지고, 상기 리세스는 상기 벽들이 0.030 인치의 폭을 가질 때 0.34 인치의 폭을 가질 수 있다.
결합부의 상세한 예가 설명되었지만, 상기 결합부가 플라즈마 반응기 분위기에서 겪을 수 있는 높은 온도와 플라즈마 상태에서 충분한 강도를 갖기만 하면 상기 전극을 지지링 형태 또는 다른 구성을 가진 상기 지지부재에 부착하기 위해 다른 탄성중합체 결합부가 활용될 수 있다. 바람직하게는 상기 탄성중합체 결합부는 진공분위기에 적합하고, 충분한 인성(toughness), 찢김 강도(tear strength), 탄성, 열적 열화에 대한 저항성, 열전도도 및/또는 전기 전도도를 가진다. 상기 전극이 샤워헤드 전극인 경우, 상기 탄성중합체 결합부는 상기 전극의 하중과 상기 샤워헤드 전극에 가해지는 공정가스의 가스압력을 견딜 수 있어야만 한다.
본 발명에 따르면, 상기 전극을 상기 지지링에 부착시키기 위한 탄성중합체의 사용은 인듐 접착 전극에 비해 전극의 손상(breakage) 가능성 감소, 열 피로로 인한 지지링으로부터 상기 전극의 분리(debonding) 가능성 감소, 뒤틀림의 감소의 관점에서 이점을 제공하고, 그리하여 상기 전극 어셈블리의 온도 사이클링 동안에 상기 지지링과 상기 온도조절부재 간에 개선된 열적 접촉, 상기 전극과 상기 지지링 간에 우수한 용량성 결합/전기 접촉을 유지함으로써 상기 전극에 개선된 전력 공급, 입자 또는 불순물이 감소된 챔버 오염 및/또는 상기 전극 어셈블리가 보다 높은 온도를 견딜 수 있는 능력으로 인한 증가된 전력 용량의 관점에서 이점을 제공한다.
본 발명에 따른 상기 장치는 단일 웨이퍼(single wafer) 공정이나 복수의 웨이퍼(multiple wafer) 공정에서 플라즈마 식각, 증착 등과 같은 웨이퍼 공정에 유용하다. 예를 들면, 상기 장치는 BPSG, 열에 의한 산화규소 또는 열분해 산화막과 같은 산화물 및 포토레지스트 물질의 식각 또는 증착에 사용될 수 있다. 상기 장치는 1 ㎛ 이하의 컨택 프로파일(profile), 임계선폭(CD) 및 낮은 입자 오염을 바람직한 수준에서 유지한다. BPSG의 식각과 관련하여, 약 8000 Å/min의 식각속도가 얻어질 수 있고 30,000 RF분(minutes)보다 큰 전극 수명 동안 약 4%의 식각 균일성이 유지되는데, 반면 인듐 접착된 전극 어셈블리는 2400 RF분만큼 빨리 교체를 요하게 된다. 약 6000 Å/min에서 산화규소를 식각하는 동안 포토레지스트 식각속도는 약 800 Å/min에서 유지될 수 있다. CD 선 측정(CD line measurement)에 관해서는, 산화규소에 비아(via)를 제공하기 위해 200초 동안 식각된 웨이퍼의 SEM에 의한 측정값은 0.02㎛보다 작은 중심과 모서리 CD들을 제공할 수 있다.
본 발명의 다른 실시예에 따르면, 세라믹 라이너는 다음의 목적들 중 하나 또는 그 이상을 달성하기 위해 제공된다. 즉, 상기 라이너를 통한 접지로의 전기적 통로를 제공함에 의해 우수한 플라즈마 형성을 유지하는 것, 라이너의 열적 조절을 제공함에 의해 공정 표류(drift)를 피하는 것, 탄력성 라이너 지지체를 제공함으로써 세라믹과 금속 부품과의 열팽창차를 극복하는 것, 처리될 기판으로부터 Al 챔버벽과 구성부품을 차폐시킴으로써 Al 오염을 방지하는 것이 그것이다. 라이너의 전기적인 접지와 관련하여, 상기 세라믹 라이너는 전기 전도성 물질로부터 만들어진다. 바람직한 세라믹 물질은 Si 또는 SiC이며, 플라즈마 식각챔버와 같은 플라즈마 반응기에서 알려진 부식성 조건에 대한 높은 저항을 나타내며, 높은 순도로 얻을 수 있는 물질들이다.
본 발명은 플라즈마 챔버를 제공하는데, 여기서 세라믹 라이너를 포함하는 다양한 구성부품들은 Si 또는 SiC로 만들어진다. Si 또는 SiC의 플라즈마 부식은 기판의 입자 오염없이 챔버로부터 펌핑될 수 있는 기상의 실리콘 또는 카본 화합물을 발생시키므로 이러한 물질들은 플라즈마 분위기에 적합하다. 열적 제어에 관해서는, SiC는 예외적으로 높은 열전도도를 나타내어 실리콘 웨이퍼 같은 기판의 처리 중에 상기 라이너가 원하는 온도로 가열되거나 또는 냉각되는 것을 가능하게 한다. 열팽창차의 극복의 관점에서, 본 발명에 따른 탄력성 지지체는 상기 라이너가 챔버 내에서 자유롭게 팽창 또는 수축할 수 있도록 설계된다. Al의 오염 방지에 관해서는, 상기 세라믹 라이너가 상기 플라즈마를 상기 라이너의 안쪽으로 한정하여 플라즈마에 의한 Al 벽 또는 구성부품의 침식을 피한다.
본 발명에 따른 진공 공정챔버는 식각, 증착, 레지스트 스트리핑(stripping) 등과 같은 다양한 반도체 플라즈마 공정단계를 위해 사용될 수 있다. 유도결합 플라즈마 소스를 가진 진공 공정챔버(2)의 예가 도 4에 도시되어 있는데, 여기서 공정 가스는 가스분배링, 가스분배판, 분사노즐 등과 같은 적당한 장치에 의해 공정챔버(2)에 공급되고, 적당한 펌프 장치에 의해 챔버의 내부(4)에서 진공이 유지된다. 챔버 내에서 처리될 상기 기판은 기판 지지대(8) 상에 지지된 실리콘 반도체 웨이퍼(5)를 포함할 수 있다. 상기 기판 지지대(8)는 정전척(electrostatic chuck)과 초점링(focus ring; 10)을 포함할 수 있다. 상기 진공펌프는 공정챔버의 하부와 같은 하단벽(endwall)에 있는 큰 배출 포트(outlet port; 12)에 연결될 수 있다. 상기 진공 공정챔버는 유전체창(dielctric window; 14), 가스분배판(16)을 포함하고 RF 전력은 상기 챔버 상부와 같은 상단벽 상에 유전체창(14) 바깥의 플래너 코일과 같은 외부 RF 안테나를 통해 챔버로 공급될 수 있다. 그러나, 상기 플라즈마 발생 소스는 ECR반응기, 평행판 반응기(parallel plate reactor), 헬리콘반응기(helicon reactor), 헬리컬 반응기(helical reactor) 등의 플라즈마 생성장비와 같은 다른 어떤 형태의 플라즈마 생성장비일 수 있다. 상기 플라즈마 생성 소스는 상기 챔버의 상단벽 상에 제거 가능하게 올려진 환상 장착 플랜지(annular mounting flange)와 같은 모듈라 장착 설비(modular mounting arrangement)에 부착될 수 있다.
상기 마운팅 플랜지와 상기 챔버(2) 사이에 빈틈없는 진공 씨일을 유지하기 위해, 적당한 오링 씨일이 상기 챔버(2)의 끝벽의 그루브 내에 맞추어 질 수 있고 RF 차폐부재가 상기 진공 씨일 주위를 감쌀 수 있다. 진공펌프에 의해 큰 진공력이 제공되면, 상기 마운팅 플랜지를 상기 챔버(2)에 부착하기 위한 죔쇠(fastener)를 사용할 필요가 없다. 대신에, 상기 마운팅 플랜지는 단순히 상기 챔버(2)의 끝벽에 놓여져 있을 수 있다. 원한다면, 상기 마운팅 플랜지 또는 상기 플라즈마 생성 소스의 다른 부분이 상기 챔버(2)에 힌지(hinge)되어, 상기 플라즈마 생성 소스가 상기 챔버(2)의 내부(4)에 제공되도록 수직 방위와 같은 어떤 방위으로 피벗(pivot)될 수 있다.
상기 챔버는 실리콘 또는 실리콘 카바이드 라이너(20)와 같은 세라믹 라이너를 포함한다. 웨이퍼를 둘러싸는 공간에서 상기 플라즈마를 한정하기 위한 플라즈마 스크린(22)은 상기 라이너(20)의 하부 끝으로부터 내부로 확장된다. 상기 라이너(20)는 내부 지지 프레임(24)과 외부 지지 프레임(26)을 포함하는 탄성적으로 굽힘가능한 프레임에 의해 지지될 수 있다. 기판의 처리 중에 원하는 온도에서 상기 라이너를 유지하기 위하여, 히터(28)가 내부 프레임 지지체(24)의 상부에 제공된다. 동작에 있어서, 상기 히터(28)는 상기 라이너(20)를 가열하는데 효과적이고 상기 라이너(20)로부터 열의 제거는 내부 또는 외부 프레임들을 통해 상기 라이너로부터 열을 방출시키는 온도조절부재(30)에 의해 달성될 수 있다.
도 5에 도시된 바와 같이, 상기 챔버는 다양한 플라즈마 생성 소소가 그 위에 마운트 될 수 있도록 모듈식 디자인을 가진다. 더우기, 상기 기판 지지체(8)는 전체 기판 지지체/지지암(support arm) 어셈블리가 상기 개구부(32)를 통해 상기 챔버의 측벽으로 통과되어 상기 챔버로부터 제거될 수 있도록 외팔보 형(cantilever fashion)으로 마운트된 지지암(support arm)의 한쪽 끝에 지지될 수 있다.
본 발명의 일 실시예에 따르면, 상기 플라즈마 챔버 라이너(20)는 도 6 및 도 7에 도시된 바와 같이 편평한 타일(34)과 같은 연결된(interlocking) 세라믹 라이너 요소를 포함한다. 플라즈마에 대한 전기적 접지 경로를 제공하기 위해, 상기 라이너 요소들은 바람직하게는 실리콘 또는 실리콘 카바이드와 같은 전도성 물질로 될 수 있다. 이러한 물질은 알루미늄을 함유하고 있지 않으므로 처리된 기판의 Al오염을 감소시킨다는 점에서 추가적인 이점을 제공한다. 바람직한 실시예에 따르면, SiC 타일들은 알루미늄 안벽판(backing plate; 36)에 접착된다. 바람직한 접착 물질은 Al과 SiC의 상이한 열팽창계수로 인한 수평 응력(lateral stress)을 흡수할 수 있는 전기전도성 탄성중합체(38)이다. 각 타일과 안벽판 어셈블리는 내부 프레임(42)과 외부 프레임(44)를 포함하는 탄성적으로 굽혀질 수 있는 프레임(40)에 의해 Al 챔버벽에 부착될 수 있다. 라이너의 온도 조절은 전기적 리드(49)들에 의해전력이 공급되는 히터(48) 및 온도조절부재(50)에 의해 달성될 수 있다.
상기 탄성중합체 결합부는 진공분위기에 적합하고 200℃이상과 같은 고온에서 열적 열화에 대한 저항성이 있는 폴리머 물질과 같은 어떤 적당한 탄성중합체 물질을 포함할 수 있다. 상기 탄성중합체 물질은 부가적으로 전기적 및/또는 열적 전도성의 입자들로 된 필러 또는 와이어 메쉬, 짜여진 또는 짜여지지 않은 전도성 천 등의 다른 형상의 필러를 포함한다. 160℃ 이상의 플라즈마 분위기에서 사용될 수 있는 중합체 물질은 폴리이미드, 폴리케톤, 폴리에테르케톤, 폴리에테르 술폰, 폴리에틸렌 테레프탈레이트, 플루오로에틸렌 프로필렌 코폴리머, 셀룰로오스, 트리아세테이트, 실리콘(규소 수지) 및 고무를 포함한다. 고순도의 탄성중합체 물질은 제너럴 일렉트릭(General Electric)사로부터 구입가능한 RTV133, RTV167 같은 단일 성분계(one-component)의 상온 경화 접착재, 제너럴 일렉트릭사로부터 구입가능한 TSE3221과 같은 단일요소의 유동열경화 가능한(예를 들면, 100이상으로) 접착재 및 다우 코닝(Dow Corning)사로부터 구입가능한 "SILASTIC"과 같은 이중 부분 첨가(two-part addition) 탄성중합체를 포함한다. 특히 바람직한 탄성중합체는 250℃ 또는 그이상의 온도에서 안정한 탄성중합체인 로디아(Rhodia)사로부터 구입가능한 V217과 같은 촉매 경화(catalyst-cured)되는, 예를 들면 Pt-경화되는 탄성중합체와 같이 폴리디메틸실록산(polydimethylsiloxane)을 포함하는 탄성중합체를 포함한다.
상기 탄성중합체가 전기적으로 전도성 탄성중합체인 경우, 상기 전기적으로 전도성 있는 필러물질은 전도성 금속 또는 합금으로 된 입자를 포함할 수 있다. 플라즈마 반응챔버의 불순물에 민감한 분위기에서 사용에 바람직한 금속은 5-20 중량%의 실리콘을 함유하는 알루미늄을 주요소로 하는 합금과 같은 알루미늄 합금이다. 예를 들면, 상기 알루미늄 합금은 약 15중량%의 실리콘을 함유할 수 있다.
플라즈마 스크린(52)은 상기 타일(34)의 하부 모서리로부터 내부로 확장된다. 상기 플라즈마 스크린(52)은 바람직하게는 실리콘 또는 실리콘 카바이드와 같은 전기전도성 세라믹 물질이고 상기 플라즈마를 한정할 정도로 충분히 작으나 공정가스와 공정 부산물이 진공펌프에 의해 제거될 수 있도록 하는 개구부(54)들을 구비한다.
상기 히터(48)는 알루미늄 주물 속에 매설된 전기저항 발열체를 포함한다. 그리하여, 상기 발열체를 통해 전류를 통과시킴으로써, 열이 상기 알루미늄 주물(casting)로 공급되고 차례로 상기 내부 프레임(42), 상기 알루미늄 안벽판(36), 상기 열전도성 탄성중합체(38) 및 타일(34) 내부로 열을 전도한다. 상기 히터의 알루미늄 몸체의 가열과 냉각 중, 상기 히터는 상기 타일(34)에 의해 형성된 세라믹 라이너보다 큰 범위로 팽창하게 될 것이다. 상기 탄성중합체 접착재는 이러한 팽창과 수축을 순응시킨다. 게다가, 상기 내부 및 외부 프레임은 작동온도를 원하는 범위 내로 집중시키기 위해 원하는 양의 열적 전도성을 제공하도록 구성될 수 있다.
도 8은 상기 챔버벽의 일부를 보여주는데, 여기서 웨이퍼와 같은 기판이 전송 슬롯(transport slot; 55)를 통해 도입되거나 챔버로부터 제거될 수 있다. 도 8에 도시된 배열에서, 상기 타일(34)의 일부는 슬롯(55) 근방에서 축방향으로 더 짧다. 상기 슬롯(55)는 세라믹 물질의 완전한 조각으로 형성될 수 있다. 도 8은 상기 내부 지지 프레임이 슬롯(55) 주위에 맞춰질 수 있는 방법을 상세하게 보여준다. 상기 외부 지지 프레임(미도시)도 유사한 방식으로 구성될 수 있다.
상기 웨이퍼와 상기 Al 챔버벽(46) 사이에 조준선(line of sight)을 방지하기 위해, 각 타일(34)은 도 9에 도시된 바와 같이 인접한 타일들과 만나는 모서리가 맞물려(interlock) 있는 모서리(56)들을 가질 수 있다.
도 10은 세라믹 라이너가 전기적 및 열적 전도성 탄성중합체로 안벽판(36)에 접착된 연속된 독립구조 실린더형 라이너(70)을 포함하는 본 발명의 추가적인 실시예를 도시한 것이다. 도 10은 또한 내부 및 외부 프레임들(42, 44)를 상세히 보여준다. 도시된 바와 같이, 상기 외부 프레임(44)은 축방향으로 확장된 일련의 슬롯(45a)들에 의해 분리된 세그먼트(45)를 포함한다. 마찬가지로, 상기 내부 프레임(42)은 축방향으로 확장된 일련의 슬롯(43a)들에 의해 분리된 세그먼트(43)을 포함한다. 상기 세그먼트들(43, 45)은 개별 안벽판(36)과 같이 원주방향으로 동일한 폭을 가진다. 도 10에 도시된 상기 분할된 탄력성 지지체는 앞서 설명한 본 발명의 실시예의 내부 및 외부 프레임 배열에 사용될 수 있다.
본 발명의 추가적인 실시예에 따르면, 도 11에 도시된 바와 같이, Al 플랜지(72)는 열적 및 전기적 전도성 탄성중합체로 연속적인 실린더형 세라믹 라이너(70)의 외부에 접착된다. 얇은 라이너의 경우, 상기 플랜지는 바람직하게는 상기 라이너의 외부를 실질적으로 덮는 길이를 가진다. 그러나, 두꺼운 라이너의 경우, 상기 플랜지는 보다 짧을 수 있는데, 예를 들면, 두꺼운 라이너용의 상기 플랜지는도 11에서 상기 웨이퍼 전송 슬롯(71) 아래에 위치한 상기 플랜지(73)과 같이 길이가 상대적으로 짧을 수 있다. 상기 플랜지는 바람직하게는 알루미늄과 같은 금속으로 되고 탄성적으로 굽힘가능한 지지 프레임에 부착되기 위해 하부가 바깥으로 굽어 있다. 상기 지지 프레임은 바람직하게는 히터로부터 상기 지지 프레임과 플랜지를 통해 상기 라이너로 열을 전도함으로써 상기 라이너의 온도 제어를 제공한다.
상기 라이너로부터 열을 제거하기 위해, 지지 프레임과 열적으로 접촉하고 있는 온도조절부재가 상기 플랜지, 상기 지지 프레임 및 온도조절부재 내부로 통과하는 열유동경로를 통해 상기 라이너로부터 열을 빼앗는 열방출원(heat sink)으로 사용될 수 있다. 상기 플랜지는 상호 연결되지 않은 분리된 조각들이거나 분할된 링의 부분이 될 수 있다. 따라서, 상기 플랜지는 상기 탄력성 지지체와 상기 세라믹 실린더 간의 열팽창차에 적응하기 위해 디자인될 수 있다. 실린더에 형성된 흡수 이온 에너지에 의해 발생된 열은 상기 플랜지와 상기 플랜지를 SiC 실린더로 연결하는 지지체를 통해 상기 챔버로 흘러 들어간다. 상기 지지체가 분할된 내부 및 외부 금속 프레임을 포함하는 경우에, 상기 분할된 외부 지지체는 상기 챔버에 부착된 부분에 관하여 방사상으로 이동할 수 있다. 본 발명에 따른 상기 탄력성 지지체 배열에서, 그러나, 이러한 방사상 이동은 상기 플랜지와 상기 세라믹 라이너 간의 상기 탄성중합체 접착재에 심한 응력을 가하지 않는 낮고 충분한 방사상 힘이 생기게 한다.
전술한 실시예에서, 상기 챔버 내의 플라즈마는 상기 가스분배판, 상기 라이너, 상기 플라즈마 스크린 및 상기 스크린의 내부 주변영역을 통해 상방향으로 확장된 상기 기판 지지체의 상기 Si 또는 SiC 표면들에 한정될 수 있다. 상기 Si 또는 SiC 표면들이 상기 플라즈마와 상기 챔버의 Al 표면 간에 위치되기 때문에, 플라즈마에 의한 Al 표면의 스퍼터링은 최소화되고, 상기 처리된 웨이퍼에 대한 조준선이 있는 Al 표면을 갖는 챔버들에 비해 처리된 기판의 Al 오염이 감소된다.
상기 라이너가 전기적 및/또는 열적 전도성 탄성중합체 물질에 의해 알루미늄 안벽판에 결합된 Si 또는 SiC를 포함하는 상기 실시예에서, 상기 타일들은 상기 플라즈마 챔버 내벽(inner wall) 주위를 덮는 식으로 크기와 구성이 서로 맞춰지도록 될 수 있다. 적당한 출입 개구부(access opening)는 상기 챔버 안팎으로 개별 웨이퍼의 통과를 가능하게 하고, 공정 모니터링 장비와 같은 통상적인 부속품에 의하여 수행되는 다양한 측정을 가능케 하는 추가적인 개구부가 제공될 수 있다. 상기 타일 실시예에서, 상기 챔버의 내벽은 실린더형이거나 다각형일 수 있다. 실린더형의 내벽의 경우, 프레임이 상기 안벽판과 상기 내벽 사이에 삽입되거나 상기 안벽판이 탄성중합체 접착물질로 상기 내벽에 접착된 조화된 곡면을 가질 수 있다. 상기 타일들은 상기 챔버의 내부를 향하는 편평한 사각형 표면을 가질 수 있다. 이를 대신하여, 상기 타일들의 노출된 표면은 상기 타일들이 실린더형의 상기 챔버 내벽을 형성하도록 곡선 모양일 수 있다.
상기 타일 및 상기 안벽판 어셈블리가 상기 챔버 내벽 주위로 확장되는 상기 Al 내부 지지 프레임에 볼트로 고정된 상기 실시예에서, 상기 플라즈마 챔버의 시동, 동작 및 정지 중에 발생된 열적 응력은 순응될 수 있다. 반대로, 만약 SiC로 된 연속 링이 상기 지지 프레임에 접착된다면, 상기 부분(part)과 접착재 응력은열팽창계수차에 의해 과도하게 클 것이다. 따라서, SiC 타일들의 수는 상기 플라즈마 챔버 내에서 부닥치는 열적 힘(thermal force)에 의해 발생하는 부분 및/또는 접착재 응력의 바람직한 한도를 얻기 위해 선택될 수 있다.
내부 지지 프레임의 하부 플랜지가 Al 외부 지지 프레임의 하부 모서리에 볼트로 고정되고 상기 외부 지지 프레임의 상부 모서리가 상기 챔버의 상부에 위치한 상판(top plate)에 볼트로 고정된 상기 실시예에서, 상기 외부 지지체는 상기 외부 지지 프레임의 하부 끝(lower end)으로부터 상기 상부 플랜지로 확장된 슬롯에 의해 분리된 수직방향으로 확장하는 판으로 분할된다. 이러한 배열로, 상기 히터는 내부 지지 프레임으로부터 상기 안벽판과 SiC 타일로 전도되는 열을 생성할 수 있다. 상기 히터는 상기 챔버의 상기 내벽 주위를 완전히 확장하는 단일 저항 히터를 포함한다. 이를 대신하여, 상기 히터는, 예컨대 실리콘 산화물과 같은 유전물질의 플라즈마 식각 중에 상기 라이너의 내부 표면을 80℃ 내지 150℃의 바람직한 온도 범위에서 유지하는 것과 같이 상기 라이너의 원하는 온도 제어를 달성하기 위해 어떤 적당한 히터 배열을 포함할 수 있다.
상기 챔버는 상기 기판 지지체를 둘러싸는 플라즈마 스크린을 포함할 수 있다. 상기 고리모양의 스크린은 어떤 적당한 기술에 의해 캐리어(carrier)에 부착될 수 있다. 예를 들면, 상기 스크린은 앞서 논의한 탄성중합체 접착물질에 의해 캐리어 링(carrier ring)에 점착성 부착시킬 수 있다. 게다가, 상기 캐리어 링은 상기 스크린이 상기 캐리어 링과 상기 플랜지 사이에 고정되도록 내부 프레임 상의 하부 플랜지에 볼트로 고정될 수 있다. 상기 스크린은 반도체 제조를 위한 플라즈마 분위기를 견딜 수 있는 다른 적당한 물질로 될 수 있다. 실리콘 카바이드는 상기 스크린에 바람직한 물질이다. 상기 스크린은 하나의 단일 링 또는 복수 개의 간격을 가진 링 세그먼트들을 포함할 수 있다. 예를 들면, 상기 스크린은 원주 방향으로 간격을 가진 세그먼트들을 포함할 수 있다.
웨이퍼가 전송 슬롯을 통해 상기 챔버 안팎으로 전송되는 상기 실시예에 있어서, 내부에 개폐기(cut-outs)를 포함하는 내부 및 외부 프레임 및 상기 슬롯을 둘러싸는 상기 타일들은, 작은 타일들은 슬롯 아래로 큰 타일들은 슬롯 위로 배열된다. 상기 슬롯의 내부는 웨이퍼 통로 삽입물(insert)에 의해 형성된다. 상기 삽입물을 위한 바람직한 물질은 실리콘 카바이드이다. 상기 삽입물은 물질의 단일 조각 또는 물질의 여러 조각의 어셈블리를 포함한다. 상기 중간 높이(medium height)의 타일과 작은 타일은 바람직하게는 탄성중합체에 의해 내부 프레임에 볼트로 고정된 유사한 크기의 안벽판에 점착성 접착된다.
상기 SiC 타일로 상기 표면을 덮어 알루미늄 구성부품의 조준선 표면들이 회피되는 상기 본 발명의 실시예에 따르면, 상기 타일들의 모서리는 바람직하게는 부분적으로 서로 겹치게 되어 있다. 예를 들면, 상기 타일들은 하나의 타일 상의 돌출부가 인접 타일의 리세스(recess) 내에 수용되는 결합 모서리(mating edges)를 가질 수 있다. 이것은 상기 타일들의 마주보는 면 간에는 직선 경로가 주어지지 않는 어떤 모서리 디자인에 의해서도 얻어질 수 있다. 그리하여, 마주보는 V자형, U자형, W자형, 그루브(groove)형, 노치(notch-shaped)형, 오프셋(offset-shaped)형 등의 형태의 모서리를 갖는 곡선 모양 또는 다변(multi-sided)모양 모서리 결합면이 상기 원하는 결합 타일 모서리를 제공한다.
상기 맞물리는 타일 결합부는 알루미늄 요소에 대한 조준선을 제거하고 플라즈마 반응기의 시동, 동작 및/또는 정지 중에 라이너 요소의 열팽창/수축차를 순응시킨다. 예를 들면, 상기 타일에 퇴적된 상기 히터 및/또는 플라즈마 이온의 열 에너지로부터 열은 내부 지지체에 의해 상기 탄성중합체 결합재(bond)를 통하고, 외부 지지체 상으로 및 상기 챔버 상면으로 전도된다. 냉각 도관을 통한 상기 상면의 냉각에 기인하여, 상기 외부 지지체를 통해 전달된 열은 상기 챔버로부터 제거된다.
반도체 기판의 공정 중, 상기 타일은 상기 챔버에서 플라즈마가 발생되기 전에 히터에 의해 예열될 수 있다. 예를 들면, 상기 타일들은 히터에 의해 원하는 온도까지 가열될 수 있고 원하는 온도에서 상기 타일들을 유지할 수 있도록 상기 히터 전력을 조절하기 위해 열 제어 시스템이 사용될 수 있다. 상기 챔버에서 플라즈마가 발생된 후, 상기 제어 시스템은 원하는 온도에서 유지되도록 자동적으로 상기 히터 전력을 감소시킬 수 있다. 더우기, 상기 내부 및/또는 외부 지지체의 열적 임피던스는 상기 타일 동작 온도의 원하는 범위를 달성하기 위해 조절될 수 있고 상기 히터 최고 온도를 제한할 수 있다.
도 12는 반도체 웨이퍼 배치(batch)의 플라즈마 식각 중 상기 내부 지지 히터 플랜지, 상기 내부 지지체 하부 플랜지 및 타일 안벽판에서 측정된 온도를 도시한 것이다. 상기 2℃의 온도 요동(oscillation)은 한 식각 사이클 중 이온에너지의 변화에 기인한 것이다. 하부 플랜지에 대한 온도 설정점은 100℃이다.
상기 세라믹 라이너가 연속적이고 단일의 SiC 조각인 상기 실시예에서, 상기 SiC 실린더는 하부 끝에서 분할된 알루미늄 플랜지에 의해 지지된다. 상기 분할된 알루미늄 플랜지는 상기 챔버에 의해 지지되는 탄성적으로 굽혀질 수 있는 프레임에 부착된다. 다른 실시예에서와 같이, 히터는 상기 내부 지지체, 안벽판의 상기 하부 플랜지, 상기 탄성중합체 접착재를 통하여 SiC 라이너로 열을 공급한다. 상기 내부 프레임의 하부 플랜지는 상기 SiC라이너, 안벽판 및 내부 및 외부 지지 프레임을 포함하는 전 라이너 배열이 상기 반응기의 수냉되는 상판에 부착된 상기 외부 지지 프레임의 상부 플랜지에 의해 지지되도록 외부 지지 프레임의 하부 플랜지에 부착(예컨대, 볼트로 고정)된다. 상기 개별 안벽판 및 구멍이 내진(slotted) 내부 및 외부 프레임의 공급은 반응기의 시동, 동작, 및/또는 정지 동안 발생한 열응력차를 순응시키는 방식으로 상기 SiC 라이너가 지지되는 것을 가능하게 한다. 예를 들면, 상기 히터는 상기 히터의 보다 높은 온도 및 SiC에 비해 큰 Al의 열팽창계수로 인해 SiC 실린더에 비해 방사상 외곽으로 보다 많이 팽창할 수 있다. 상기 상대적인 방사상 팽창은 내부 지지체의 상기 얇은 수직 주름(vertical flexures)에 의해 조화된다.
상술한 것은 원리, 바람직한 실시예 및 본 발명의 작동 양태를 설명한 것이다. 그러나, 상기 발명은 논의된 특수한 실시예에 한정되는 것으로 해석되어져서는 안된다. 그리하여, 이상 기술한 실시예는 한정적인 것이라기 보다는 설명적인 것으로 간주되어야 하며, 이상의 실시예로부터 기술분야의 숙련가에 의해 다음의 청구항에 의해 한정되는 본 발명의 범위를 벗어남이 없이 많은 변형이 만들어질 수 있다는 것을 알 수 있을 것이다.
본 발명에 따르면, 라이너를 통한 접지로의 전기적 통로를 제공함에 의해 우수한 플라즈마 형성을 유지하는 것, 라이너의 열적 조절을 제공함에 의해 공정 표류(drift)를 피하는 것, 탄력성 라이너 지지체를 제공함으로써 세라믹과 금속 부품과의 열팽창차를 극복하는 것, 처리될 기판으로부터 Al 챔버벽과 구성부품을 차폐시킴으로써 Al 오염을 방지하는 것 등과 같은 목적을 달성할 수 있다.

Claims (19)

  1. 챔버 측벽에 의해 한정된 내부 공간을 가진 플라즈마 공정 챔버;
    상기 내부 공간 내에 기판이 놓여져 처리되고, 그 주위 바깥에 상기 챔버 측벽이 자리잡고 있는 기판 지지체;
    상기 기판의 처리 중에 내부 공간으로 공정가스를 공급할 수 있는 가스공급기;
    상기 기판의 처리 중에 상기 내부 공간에서 플라즈마 상태로 상기 공정가스를 활성화시킬 수 있는 에너지 원; 및
    상기 챔버 측벽과 상기 지지체의 주위 사이에서 지지되고, 탄력성 지지부재에 의해 지지되는 세라믹 부재를 포함하는 라이너를 포함하는 반도체 기판의 처리용 플라즈마 공정 시스템.
  2. 제 1 항에 있어서, 상기 탄력성 지지부재는 탄성중합체 결합부 또는 굽힘가능한 금속 프레임을 포함하는 것을 특징으로 하는 플라즈마 공정 시스템.
  3. 제 1 항에 있어서, 상기 세라믹 부재는 단일 조각의 세라믹 라이너 또는 세라믹 타일의 어셈블리인 것을 특징으로 하는 플라즈마 공정 시스템.
  4. 제 1 항에 있어서, 상기 세라믹 부재는 세라믹 타일 어셈블리와 금속 안벽부재들을 포함하고, 상기 탄력성 지지부재는 탄성중합체 결합부를 포함하고, 상기 탄성중합체 결합부는 상기 각각의 세라믹 타일을 상기 각 금속 안벽부재들 중 하나에 부착된 것을 특징으로 하는 플라즈마 공정 시스템.
  5. 제 4 항에 있어서, 상기 금속 안벽부재들은 굽힘가능한 금속 프레임 상에 지지되고, 상기 굽힘가능한 금속 프레임은 열이 상기 세라믹 타일들로부터 상기 탄성중합체 결합부, 상기 금속 안벽부재들 및 상기 굽힘가능한 금속 프레임을 통과하여 열적으로 조절되는 부재로 확장되는 열 경로를 경유하도록 상기 열적으로 조절되는 부재에 의해 지지되는 것을 특징으로 하는 플라즈마 공정 시스템.
  6. 제 1 항에 있어서, 상기 세라믹 부재는 세라믹 타일 어셈블리를 포함하고, 상기 탄력성 지지부재는 상기 세라믹 타일과 상기 챔버 측벽 사이의 탄성중합체 결합부를 포함하는 것을 특징으로 하는 플라즈마 공정 시스템.
  7. 제 1 항에 있어서, 상기 탄력성 지지부재는 굽힘가능한 금속 프레임을 포함하고, 상기 세라믹 부재로부터 상기 굽힘가능한 금속 프레임에 통해 확장된 경로를 통해 열적으로 조절되는 부재로 열이 제거될 수 있도록 상기 굽힘가능한 금속 프레임은 상기 열적으로 조절되는 부재에 의해 지지되는 것을 특징으로 하는 플라즈마 공정 시스템.
  8. 제 7 항에 있어서, 상기 세라믹 부재를 가열할 수 있도록 상기 굽힘가능한 금속 프레임에 의해 지지되는 히터를 더 포함하는 것을 특징으로 하는 플라즈마 공정 시스템.
  9. 제 1 항에 있어서, 상기 탄력성 지지부재는 내부 프레임 부재 및 외부 프레임 부재를 구비하는 굽힘가능한 금속 프레임을 포함하고, 상기 탄력성 지지부재는 상기 세라믹 부재와 상기 내부 프레임 부재 사이의 탄성중합체 결합부를 더 포함하고, 상기 내부 프레임 부재는 상기 외부 프레임부재에 의해 지지되고, 상기 외부 프레임 부재는 상기 챔버에 의해 지지되는 것을 특징으로 하는 플라즈마 공정 시스템.
  10. 제 1 항에 있어서, 상기 세라믹 부재는 맞물리는 복수 개의 세라믹 타일을 포함하는 것을 특징으로 하는 플라즈마 공정 시스템.
  11. 제 1 항에 있어서, 상기 세라믹 부재는 단일 조각의 SiC 라이너 또는 복수 개의 SiC 타일을 포함하는 것을 특징으로 하는 플라즈마 공정 시스템.
  12. 제 1 항에 있어서, 상기 탄력성 지지부재는 플라즈마 공정 시스템의 동작 중에 상기 세라믹 부재와 상기 프레임 부재 상의 열응력차가 순응되도록 구성된 내부 및 외부 프레임을 포함하는 것을 특징으로 하는 플라즈마 공정 시스템.
  13. 제 12 항에 있어서, 상기 외부 프레임 부재의 상부 부분이 상기 챔버의 열적으로 조절되는 부분에 의해 지지되고, 상기 외부 프레임 부재의 하부 부분이 상기 내부 프레임 부재의 하부에 부착되고, 상기 세라믹 부재는 상기 내부 프레임 부재에 의해 지지되는 것을 특징으로 하는 플라즈마 공정 시스템.
  14. 제 1 항에 있어서, 상기 탄력성 지지부재는 굽힘가능한 금속 프레임을 포함하고, 상기 굽힘가능한 금속 프레임은 연속적인 상부 부분과 분할된 하부 부분을 포함하는 것을 특징으로 하는 플라즈마 공정 시스템.
  15. 제 14 항에 있어서, 상기 굽힘가능한 금속 프레임은 실린더형이고, 상기 분할된 하부 부분은 축방향으로 확장된 슬롯에 의해 각각이 분리되고 축방향으로 확장된 세그먼트를 포함하는 것을 특징으로 하는 플라즈마 공정 시스템.
  16. 제 12 항에 있어서, 상기 내부 및 외부 금속 프레임 부재는 실린더형이고 연속적인 상부 부분과 분할된 하부 부분을 포함하고, 상기 분할된 하부 부분은 축방향으로 확장된 슬롯에 의해 각각이 분리되고 축방향으로 확장된 세그먼트를 포함하는 것을 특징으로 하는 플라즈마 공정 시스템.
  17. 제 1 항에 있어서, 상기 라이너는 상기 세라믹 부재의 하부 부분으로부터 내부로 확장된 세라믹 플라즈마 스크린을 포함하고, 상기 세라믹 플라즈마 스크린은 기판의 처리 중 공정가스와 반응 부산물이 상기 챔버의 내부로부터 제거되는 통로를 포함하는 것을 특징으로 하는 플라즈마 공정 시스템.
  18. 제 17 항에 있어서, 상기 세라믹 프라즈마 스크린은 상기 챔버 측벽과 상기 기판 지지체 사이 고리 모양의 공간 내에서 지지되는 복수 개의 세그먼트를 포함하는 것을 특징으로 하는 플라즈마 공정시스템.
  19. 제 17 항에 있어서, 상기 세라믹 플라즈마 스크린은 전기 전도성 탄성중합체 결합부에 의해 상기 탄력성 지지부재에 부착되고, 상기 탄력성 지지부재는 굽힘가능한 금속 프레임을 포함하고 상기 플라즈마 스크린은 상기 탄성중합체 결합부에 의해 상기 굽힘가능한 금속 프레임에 전기적으로 접지되는 것을 특징으로 하는 플라즈마 공정 시스템.
KR10-2003-7009924A 1998-06-30 1999-06-30 반도체 기판 처리용 플라즈마 공정 시스템 KR100417846B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/107,471 US6073577A (en) 1998-06-30 1998-06-30 Electrode for plasma processes and method for manufacture and use thereof
US09/107,471 1998-06-30
PCT/US1999/014790 WO2000000999A1 (en) 1998-06-30 1999-06-30 Elastomer bonded parts for plasma processes and method for manufacture and use thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-7015010A Division KR100426149B1 (ko) 1998-06-30 1999-06-30 플라즈마 반응 챔버 내의 탄성 중합체 결합부

Publications (2)

Publication Number Publication Date
KR20030070142A KR20030070142A (ko) 2003-08-27
KR100417846B1 true KR100417846B1 (ko) 2004-02-05

Family

ID=22316783

Family Applications (3)

Application Number Title Priority Date Filing Date
KR10-2000-7015010A KR100426149B1 (ko) 1998-06-30 1999-06-30 플라즈마 반응 챔버 내의 탄성 중합체 결합부
KR10-2003-7009924A KR100417846B1 (ko) 1998-06-30 1999-06-30 반도체 기판 처리용 플라즈마 공정 시스템
KR1020007015011A KR100329974B1 (ko) 1998-06-30 1999-06-30 플라즈마 공정을 위한 전극 및 이의 제조 방법과 사용 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR10-2000-7015010A KR100426149B1 (ko) 1998-06-30 1999-06-30 플라즈마 반응 챔버 내의 탄성 중합체 결합부

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020007015011A KR100329974B1 (ko) 1998-06-30 1999-06-30 플라즈마 공정을 위한 전극 및 이의 제조 방법과 사용 방법

Country Status (11)

Country Link
US (4) US6073577A (ko)
EP (3) EP1105917B1 (ko)
JP (3) JP3408245B2 (ko)
KR (3) KR100426149B1 (ko)
CN (2) CN100585794C (ko)
AU (2) AU4856299A (ko)
DE (3) DE69931168T2 (ko)
ES (2) ES2229731T3 (ko)
MY (1) MY120364A (ko)
TW (1) TW423072B (ko)
WO (2) WO2000000998A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160004408A (ko) * 2014-06-30 2016-01-13 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
JP2002093777A (ja) 2000-07-11 2002-03-29 Nisshinbo Ind Inc ドライエッチング装置
WO2002009241A2 (en) * 2000-07-20 2002-01-31 Tokyo Electron Limited Electrode for plasma processing system
WO2002008486A2 (en) * 2000-07-20 2002-01-31 Tokyo Electon Limited Electrode apparatus and method for plasma processing
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
WO2002023610A1 (fr) * 2000-09-14 2002-03-21 Tokyo Electron Limited Dispositif d'usinage par plasma, plaque d'electrodes, porte-electrodes et bague protectrice du dispositif
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6716302B2 (en) * 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20030106644A1 (en) * 2001-07-19 2003-06-12 Sirkis Murray D. Electrode apparatus and method for plasma processing
JP5095058B2 (ja) * 2001-08-30 2012-12-12 株式会社日立製作所 エッチング処理装置における耐プラズマ性高分子材料からなる膜の厚さの決定方法
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP3876167B2 (ja) * 2002-02-13 2007-01-31 川崎マイクロエレクトロニクス株式会社 洗浄方法および半導体装置の製造方法
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
KR100954711B1 (ko) * 2002-04-17 2010-04-23 램 리써치 코포레이션 플라즈마 반응 챔버용 실리콘 부품
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
JP3868341B2 (ja) * 2002-04-22 2007-01-17 日清紡績株式会社 耐熱性に優れたプラズマエッチング電極及びそれを装着したドライエッチング装置
CN100442429C (zh) 2002-05-23 2008-12-10 蓝姆研究公司 用于半导体处理等离子反应器的多部分电极以及替换多部分电极的一部分的方法
TWI294155B (en) 2002-06-21 2008-03-01 Applied Materials Inc Transfer chamber for vacuum processing system
US7543547B1 (en) 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
FR2850790B1 (fr) * 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
US7137444B2 (en) * 2003-09-08 2006-11-21 Pacific Rubber & Packing, Inc. Heat-transfer interface device between a source of heat and a heat-receiving object
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US9032095B1 (en) 2004-01-06 2015-05-12 Juniper Networks, Inc. Routing device having multiple logical routers
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US20120260422A1 (en) 2005-06-23 2012-10-18 Mmi-Ipco, Llc Thermal blankets
WO2006002371A2 (en) 2004-06-24 2006-01-05 Malden Mills Industries, Inc. Engineered fabric articles
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
US20060108069A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7247579B2 (en) * 2004-12-23 2007-07-24 Lam Research Corporation Cleaning methods for silicon electrode assembly surface contamination removal
US7442114B2 (en) * 2004-12-23 2008-10-28 Lam Research Corporation Methods for silicon electrode assembly etch rate and etch uniformity recovery
US7507670B2 (en) * 2004-12-23 2009-03-24 Lam Research Corporation Silicon electrode assembly surface decontamination by acidic solution
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100708321B1 (ko) 2005-04-29 2007-04-17 주식회사 티씨케이 플라즈마 식각장치의 캐소드 전극 결합구조
US7428772B2 (en) * 2005-05-19 2008-09-30 Mmi-Ipco, Llc Engineered fabric articles
US20060272941A1 (en) * 2005-06-06 2006-12-07 Simpson Wayne R Large area elastomer bonded sputtering target and method for manufacturing
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US7588668B2 (en) * 2005-09-13 2009-09-15 Applied Materials, Inc. Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7662253B2 (en) * 2005-09-27 2010-02-16 Lam Research Corporation Apparatus for the removal of a metal oxide from a substrate and methods therefor
JP4728345B2 (ja) * 2005-11-25 2011-07-20 シャープ株式会社 プラズマ処理装置およびプラズマ処理方法
US8789493B2 (en) 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
JP4615464B2 (ja) * 2006-03-16 2011-01-19 東京エレクトロン株式会社 プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
KR101253333B1 (ko) * 2006-06-14 2013-04-10 주성엔지니어링(주) 변형 방지용 보강재를 가지는 플라즈마 발생용 전극 및이를 이용하는 기판처리장치
US7476289B2 (en) * 2006-06-29 2009-01-13 Applied Materials, Inc. Vacuum elastomer bonding apparatus and method
JP2008016727A (ja) * 2006-07-07 2008-01-24 Tokyo Electron Ltd 伝熱構造体及び基板処理装置
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
US7718029B2 (en) * 2006-08-01 2010-05-18 Applied Materials, Inc. Self-passivating plasma resistant material for joining chamber components
US20080121521A1 (en) * 2006-08-15 2008-05-29 Chunghwa Picture Tubes, Ltd. Plasma sputtering target assembly and manufacturing method therefor
US20140021044A1 (en) * 2006-10-02 2014-01-23 Thermal Conductive Bonding, Inc. Elastomer Bonded Rotary Sputtering Target
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7758718B1 (en) * 2006-12-29 2010-07-20 Lam Research Corporation Reduced electric field arrangement for managing plasma confinement
JP5030604B2 (ja) * 2007-01-29 2012-09-19 セイコーインスツル株式会社 ウェハ外観検査装置
US8171877B2 (en) * 2007-03-14 2012-05-08 Lam Research Corporation Backside mounted electrode carriers and assemblies incorporating the same
US7767028B2 (en) * 2007-03-14 2010-08-03 Lam Research Corporation Cleaning hardware kit for composite showerhead electrode assemblies for plasma processing apparatuses
US8375890B2 (en) * 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
US8221552B2 (en) * 2007-03-30 2012-07-17 Lam Research Corporation Cleaning of bonded silicon electrodes
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
KR101277108B1 (ko) 2007-03-30 2013-06-20 주식회사 원익아이피에스 비정질탄소막 증착공정에서의 챔버 세정 장치 및 이를이용한 챔버 세정 방법
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
KR100920417B1 (ko) 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 센싱유닛 및 이를 가지는 기판처리장치
KR100813106B1 (ko) * 2007-09-19 2008-03-17 다이섹(주) 가압접합된 캐소드 및 그 제조방법
KR101519684B1 (ko) 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
KR101645043B1 (ko) * 2007-10-31 2016-08-02 램 리써치 코포레이션 플라즈마 프로세싱 챔버, 플라즈마 프로세싱 콤포넌트 및 플라즈마 식각 챔버 프로세싱 콤포넌트 제조 방법
SG10201407723PA (en) * 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
JP5567494B2 (ja) * 2007-12-19 2014-08-06 ラム リサーチ コーポレーション 半導体真空処理装置用のコンポーネント・アセンブリ、アセンブリを結合する方法、及び、半導体基板を処理する方法
KR101625516B1 (ko) * 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US20110159214A1 (en) * 2008-03-26 2011-06-30 Gt Solar, Incorporated Gold-coated polysilicon reactor system and method
EP2271788A2 (en) * 2008-03-26 2011-01-12 GT Solar Incorporated Systems and methods for distributing gas in a chemical vapor deposition reactor
KR100978115B1 (ko) * 2008-04-10 2010-08-26 티씨비코리아(주) 플라즈마 챔버용 캐소드 전극의 제조방법 및 플라즈마 챔버용 캐소드 전극
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8075701B2 (en) * 2008-06-30 2011-12-13 Lam Research Corporation Processes for reconditioning multi-component electrodes
US8276604B2 (en) * 2008-06-30 2012-10-02 Lam Research Corporation Peripherally engaging electrode carriers and assemblies incorporating the same
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
WO2010005932A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US9017499B2 (en) * 2008-12-05 2015-04-28 The Boeing Company Bonded patches with bond line control
US10022922B2 (en) 2008-12-05 2018-07-17 The Boeing Company Bonded patches with bond line control
US8795455B2 (en) * 2008-12-05 2014-08-05 The Boeing Company Bonded patches with bond line control
US8734604B2 (en) * 2008-12-05 2014-05-27 The Boeing Company Bond line control process
KR101592623B1 (ko) 2008-12-10 2016-02-11 램 리써치 코포레이션 실리콘 전극 세척용 이머시브 산화 및 에칭 프로세스
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
TWI511619B (zh) * 2009-07-03 2015-12-01 Advanced Micro Fab Equip Inc Electrode elements for plasma processing, internal components and methods for their manufacture and separation
DE202010014805U1 (de) 2009-11-02 2011-02-17 Lam Research Corporation (Delaware Corporation) Heissrandring mit geneigter oberer Oberfläche
US8369345B1 (en) 2009-11-13 2013-02-05 Juniper Networks, Inc. Multi-router system having shared network interfaces
US20130196109A1 (en) 2009-11-24 2013-08-01 Mmi-Ipco, Llc Insulated Composite Fabric
SG181424A1 (en) * 2009-12-18 2012-07-30 Lam Res Corp Methodology for cleaning of surface metal contamination from an upper electrode used in a plasma chamber
JP5544907B2 (ja) * 2010-02-04 2014-07-09 東京エレクトロン株式会社 ガスシャワー用の構造体及び基板処理装置
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
JP2011181677A (ja) * 2010-03-01 2011-09-15 Tokyo Electron Ltd フォーカスリング及び基板載置システム
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
JP2011256946A (ja) * 2010-06-09 2011-12-22 Tohoku Univ 減圧処理装置
US9728429B2 (en) * 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8444456B2 (en) * 2010-11-02 2013-05-21 Lam Research Corporation Electrode securing platens and electrode polishing assemblies incorporating the same
US8468709B2 (en) 2010-11-04 2013-06-25 The Boeing Company Quick composite repair template tool and method
US20120244684A1 (en) * 2011-03-24 2012-09-27 Kunihiko Suzuki Film-forming apparatus and method
US9129795B2 (en) 2011-04-11 2015-09-08 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
EP2525387A1 (en) * 2011-05-17 2012-11-21 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
KR20130115330A (ko) * 2011-05-24 2013-10-21 한국생산기술연구원 다층 샤워헤드 및 그 밀봉방법
US9245719B2 (en) 2011-07-20 2016-01-26 Lam Research Corporation Dual phase cleaning chambers and assemblies comprising the same
CA2991157C (en) 2011-08-30 2019-12-24 Watlow Electric Manufacturing Company High definition heater system having a fluid medium
TWI661746B (zh) * 2011-10-05 2019-06-01 應用材料股份有限公司 電漿處理設備及其蓋組件(一)
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9293305B2 (en) * 2011-10-31 2016-03-22 Lam Research Corporation Mixed acid cleaning assemblies
US8545639B2 (en) 2011-10-31 2013-10-01 Lam Research Corporation Method of cleaning aluminum plasma chamber parts
JP2013254901A (ja) 2012-06-08 2013-12-19 Toshiba Corp シール材およびエッチング装置
CN104903086A (zh) 2012-09-10 2015-09-09 Mmi-Ipco有限责任公司 隔热复合织物
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9583377B2 (en) 2013-12-17 2017-02-28 Lam Research Corporation Installation fixture for elastomer bands
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
WO2015112501A1 (en) * 2014-01-22 2015-07-30 Molecular Devices, Llc Replaceable ground electrode for electrophysiology, electrode rejuvenating apparatus, and related methods and systems
EP3213598B1 (en) 2014-10-31 2023-07-05 Watlow Electric Manufacturing Company Thermal dynamic response sensing systems for heaters
US9826574B2 (en) 2015-10-28 2017-11-21 Watlow Electric Manufacturing Company Integrated heater and sensor system
US10340171B2 (en) 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
KR102652258B1 (ko) * 2016-07-12 2024-03-28 에이비엠 주식회사 금속부품 및 그 제조 방법 및 금속부품을 구비한 공정챔버
WO2018121897A1 (en) 2016-12-27 2018-07-05 Evatec Ag Vacuum plasma workpiece treatment apparatus. pr1610
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
TWI756475B (zh) * 2017-10-06 2022-03-01 日商東京威力科創股份有限公司 抑制粒子產生之方法及真空裝置
US11094514B2 (en) * 2018-12-21 2021-08-17 Oumeida Applied Materials Technology Co., Ltd. Rotatable sputtering target
KR20210044568A (ko) 2019-10-15 2021-04-23 삼성전자주식회사 식각 장치
KR20210152072A (ko) * 2020-06-05 2021-12-15 삼성디스플레이 주식회사 기상 젯 증착 장치 및 기상 젯 노즐 유닛의 제조 방법
CN114188206B (zh) * 2020-09-15 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其上电极组件的调节方法
KR102242198B1 (ko) * 2021-01-12 2021-04-20 김기재 반도체 에칭 공정 장비에 사용되는 실리콘 전극의 본딩 방법

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4595484A (en) * 1985-12-02 1986-06-17 International Business Machines Corporation Reactive ion etching apparatus
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4820371A (en) * 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
TW221318B (ko) * 1990-07-31 1994-02-21 Tokyo Electron Co Ltd
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP3238925B2 (ja) * 1990-11-17 2001-12-17 株式会社東芝 静電チャック
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5636098A (en) * 1994-01-06 1997-06-03 Applied Materials, Inc. Barrier seal for electrostatic chuck
JP3290036B2 (ja) * 1994-10-18 2002-06-10 菱電セミコンダクタシステムエンジニアリング株式会社 ドライエッチング装置およびドライエッチング方法
JPH08225947A (ja) * 1994-12-16 1996-09-03 Canon Inc プラズマ処理方法及びプラズマ処理装置
KR100214267B1 (ko) * 1995-04-07 1999-08-02 김영환 반도체 소자 제조방법
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5690795A (en) * 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers
JPH09172055A (ja) * 1995-12-19 1997-06-30 Fujitsu Ltd 静電チャック及びウエハの吸着方法
US5744199A (en) * 1996-10-31 1998-04-28 Dow Corning Corporation Method of sealing openings in structural components of buildings for controlling the passage of smoke
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160004408A (ko) * 2014-06-30 2016-01-13 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102278074B1 (ko) 2014-06-30 2021-07-19 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
DE69931168D1 (de) 2006-06-08
KR20010071688A (ko) 2001-07-31
MY120364A (en) 2005-10-31
EP1092228A2 (en) 2001-04-18
KR100329974B1 (ko) 2002-03-27
JP3450828B2 (ja) 2003-09-29
AU4856299A (en) 2000-01-17
WO2000000998A2 (en) 2000-01-06
WO2000000998A3 (en) 2000-08-10
JP2002519863A (ja) 2002-07-02
DE69920453T2 (de) 2005-11-24
TW423072B (en) 2001-02-21
CN100585794C (zh) 2010-01-27
JP2002519862A (ja) 2002-07-02
ES2229731T3 (es) 2005-04-16
US6194322B1 (en) 2001-02-27
CN1167103C (zh) 2004-09-15
WO2000000999A1 (en) 2000-01-06
AU4963699A (en) 2000-01-17
US6148765A (en) 2000-11-21
KR20010053289A (ko) 2001-06-25
JP3408245B2 (ja) 2003-05-19
EP1105917A1 (en) 2001-06-13
EP1105917A4 (en) 2003-05-21
CN1574211A (zh) 2005-02-02
DE69920453D1 (de) 2004-10-28
WO2000000999A9 (en) 2000-10-12
JP2003133296A (ja) 2003-05-09
KR100426149B1 (ko) 2004-04-06
CN1312954A (zh) 2001-09-12
EP1105917B1 (en) 2006-05-03
US6376385B2 (en) 2002-04-23
US6073577A (en) 2000-06-13
ES2264263T3 (es) 2006-12-16
JP4477292B2 (ja) 2010-06-09
EP1475820B1 (en) 2008-09-17
DE69931168T2 (de) 2007-03-08
KR20030070142A (ko) 2003-08-27
DE69939606D1 (de) 2008-10-30
EP1475820A1 (en) 2004-11-10
EP1092228B1 (en) 2004-09-22
US20010031557A1 (en) 2001-10-18

Similar Documents

Publication Publication Date Title
KR100417846B1 (ko) 반도체 기판 처리용 플라즈마 공정 시스템
KR100731557B1 (ko) 타일화된 세라믹 라이너를 갖는 반도체 처리 장치
KR100797424B1 (ko) 반도체 공정 설비
JP4589115B2 (ja) プラズマ処理システムにおける、堆積シールドを有する改良された上部電極板のための装置
RU2237314C2 (ru) Камера плазменной обработки и способ обработки полупроводниковой подложки в такой камере
JP5165039B2 (ja) プラズマ処理システムにおける改良された堆積シールド
US6227140B1 (en) Semiconductor processing equipment having radiant heated ceramic liner
KR20030066770A (ko) 플라즈마 공정을 위한 전극 및 이의 제조 방법과 사용 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130110

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140108

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150108

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20160112

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20170118

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20180110

Year of fee payment: 15

LAPS Lapse due to unpaid annual fee