JP4746357B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP4746357B2
JP4746357B2 JP2005169377A JP2005169377A JP4746357B2 JP 4746357 B2 JP4746357 B2 JP 4746357B2 JP 2005169377 A JP2005169377 A JP 2005169377A JP 2005169377 A JP2005169377 A JP 2005169377A JP 4746357 B2 JP4746357 B2 JP 4746357B2
Authority
JP
Japan
Prior art keywords
film
etching
upper electrode
mask
resist pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2005169377A
Other languages
English (en)
Other versions
JP2006344785A (ja
Inventor
玄一 小室
謙二 木内
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2005169377A priority Critical patent/JP4746357B2/ja
Priority to US11/224,029 priority patent/US7550392B2/en
Publication of JP2006344785A publication Critical patent/JP2006344785A/ja
Application granted granted Critical
Publication of JP4746357B2 publication Critical patent/JP4746357B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/95Multilayer mask including nonradiation sensitive layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は、半導体装置の製造方法に関する。
電源を切っても情報を記憶することができる不揮発性メモリとして、フラッシュメモリや強誘電体メモリが知られている。
このうち、フラッシュメモリは、絶縁ゲート型電界効果トランジスタ(IGFET)のゲート絶縁膜中に埋め込んだフローティングゲートを有し、記憶情報を表す電荷をこのフローティングゲートに蓄積することによって情報を記憶する。しかし、このようなフラッシュメモリでは、情報の書き込みや消去の際に、ゲート絶縁膜にトンネル電流を流す必要があり、比較的高い電圧が必要であるという欠点がある。
これに対し、強誘電体メモリは、FeRAM(Ferroelectric Random Access Memory)とも呼ばれ、強誘電体キャパシタが備える強誘電体膜のヒステリシス特性を利用して情報を記憶する。その強誘電体膜は、キャパシタの上部電極と下部電極の間に印加される電圧に応じて分極を生じ、その電圧を取り去っても自発分極が残留する。印加電圧の極性を反転すると、この自発分極も反転し、その自発分極の向きを「1」と「0」に対応させることで、強誘電体膜に情報が書き込まれる。この書き込みに必要な電圧はフラッシュメモリにおけるよりも低く、また、フラッシュメモリよりも高速で書き込みができるという利点がFeARMにはある。
そのFeRAMが備える強誘電体キャパシタの電気的特性は、強誘電体膜の膜質の他に、上部電極の上面の凹凸にも依存するので、上面がなるべく平坦化されるように上部電極を形成するのが好ましい。
下記の特許文献1〜8には、上記のFeRAMに関連する技術が開示されている。
特開2004−311868号公報 特開2003−273328号公報 特開2003−258127号公報 特開2003−318371号公報 特開2004−247324号公報 特開2004−241679号公報 特開2004−253627号公報 特開2004−47943号公報
本発明の目的は、キャパシタの上部電極の表面形状を安定化させることが可能な半導体装置の製造方法を提供することにある。
本発明の一観点によれば、半導体基板の上に下地絶縁膜を形成する工程と、次いで、前記下地絶縁膜の上に、第1導電膜、強誘電体膜、及び第2導電膜を順に形成する工程と、次いで、前記第2導電膜の上にマスク材料膜を形成する工程と、次いで、前記マスク材料膜の上にレジストパターンを形成する工程と、次いで、前記レジストパターンをマスクにして前記マスク材料膜をエッチングすることにより、該マスク材料膜を補助マスクにする工程と、次いで、前記補助マスクと前記レジストパターンとをマスクにし、かつ前記レジストパターンの側面が後退するエッチング条件に設定して、前記補助マスクの上表面が露出するように前記レジストパターンの側面を後退させながら前記第2導電膜をエッチングすることにより、該第2導電膜を上部電極にする工程と、次いで、前記レジストパターンを除去する工程と、次いで、前記補助マスクを除去する工程と、次いで、前記強誘電体膜をパターニングしてキャパシタ誘電体膜にする工程と、次いで、前記第1導電膜をパターニングして下部電極にし、該下部電極、前記キャパシタ誘電体膜、及び前記上部電極でキャパシタを構成する工程とを有することを特徴とする半導体装置の製造方法が提供される。
本発明によれば、第2導電膜をエッチングして上部電極にする際、そのエッチングマスクとして、レジストパターンよりも膜減りし難い補助マスクを使用する。この補助マスクは、上記のエッチングを終了した後にも、その大きさが縮小すること無く上部電極上に残存する。従って、上記のエッチングによって上部電極の上面が削られることが無いので、厚さの薄い薄厚部が上部電極に形成されない。
しかも、レジストパターンと上部電極とが接するのを補助マスクによって防いでいるので、キャパシタ誘電体膜に対する回復アニールのような酸素含有雰囲気に上部電極を曝しても、上部電極の上面が荒れることが無い。
また、上記した第2導電膜のエッチング工程を、レジストパターンの側面が後退するエッチング条件で行うことにより、エッチングの再付着物がレジストパターンの側面にフェンス状に形成されるのが抑制される。
そのようなエッチング条件におけるエッチングガスとしては、ハロゲンガスと不活性ガスの混合ガスを使用するのが好ましい。
更に、チャンバの少なくとも一部に石英が用いられたICP(Inductively Coupled Plasma)エッチング装置を使用して第2導電膜のエッチング工程を行ってもよい。このようにすると、プラズマで叩かれた石英から酸素がエッチング雰囲気中に微量に供給される。その酸素は、補助マスクの表面を酸化して補助マスクのエッチング速度を遅くするように作用するので、薄い補助マスクが上記のエッチングによって消失するのが防がれる。
ハロゲンガスと不活性ガスとの混合ガスをエッチングガスとする場合、上記のような補助マスクのエッチング速度の低速度化は、エッチングガスにおいて不活性ガスが占める割合を流量比で60%以上とすることで効果的に得られる。
更に、第2導電膜をエッチングして上部電極とする工程の後、補助マスクが上部電極の上に引き続いて残存していると、キャパシタ誘電体膜に対する回復アニールのような酸素雰囲気中でのアニールによって補助マスクが酸化し、補助マスクが絶縁体になってしまう。こうなると、補助マスクが邪魔になり、その下の上部電極に電圧を印加するのが困難となる。
そのため、第2導電膜をエッチングした後は、補助マスクをエッチングして除去するのが好ましい。補助マスクのエッチングは、例えば、過酸化水素と水酸化アンモニウムとの混合溶液をエッチング液とするウエットエッチングにより行われる。
更に、補助マスクを除去した後に、上部電極上に残渣が残る場合があるので、酸素プラズマに上部電極を曝して残渣を除去するのが好ましい。
なお、上記の補助マスクの除去を容易にするため、補助マスクの厚さはなるべく薄く、例えば50nm以下の厚さとするのが好ましい。
本発明によれば、補助マスクをマスクにして第2導電膜をエッチングし、上部電極を形成するようにしたので、マスクの膜減りに伴って上部電極に薄厚部が形成されるのを防止でき、上部電極の厚みを十分に確保することができる。これにより、水素等の還元性物質がキャパシタ誘電体膜に侵入するのを上部電極で確実に阻止できると共に、キャパシタの電気的特性が変動するのを抑止でき、高品位なFeRAMを提供することが可能となる。
(1)予備的事項の説明
本発明の実施の形態に先立ち、本発明の予備的事項について説明する。
図1(a)〜(c)は、仮想的なFeRAMの製造途中の断面図である。
最初に、図1(a)に示すように、シリコン基板1の上方に下地絶縁膜2を形成する。そして、その下地絶縁膜2の上に、第1導電膜5、強誘電体膜6、及び第2導電膜7をこの順に形成した後、第2導電膜7上にフォトレジストを塗布し、それを露光、現像して、キャパシタ上部電極形状のレジストパターン8とする。なお、第2導電膜7としては、典型的には、イリジウム(Ir)膜やプラチナ(Pt)膜等のような貴金属膜や、酸化イリジウム(IrOx)膜のような酸化貴金属膜が使用される。また、強誘電体膜6としてはPZT(Lead Zirconate Titanate)膜が採用される。
次に、図1(b)に示すように、ハロゲンガスと不活性ガスとの混合ガスをエッチングガスとして使用し、レジストパターン8をマスクにしながら、ドライエッチングにより第2導電膜7をエッチングして上部電極7aを形成する。
このとき、第2導電膜7を構成する貴金属やその酸化物は、エッチングによってエッチング雰囲気中に放出されるが、放出された材料の中にはレジストパターン8の側面に再付着物10として付着するものがある。
その後に、レジストパターン8をアッシングして除去する。但し、レジストパターン8の側面の再付着物10は、反応性に乏しい貴金属で構成されるためこの工程でも取り除くことができず、上部電極7aの縁にフェンス状にそのまま残ることになる。
次に、図1(c)に示すように、強誘電体膜6と第1導電膜5とをそれぞれ別々にパターニングしてキャパシタ誘電体膜6aと下部電極5aとを形成し、その下部電極5a、キャパシタ誘電体膜6a、及び上部電極7aを強誘電体キャパシタQとする。
上記のようなFeRAMの製造方法では、図1(c)に示したように、フェンス状の再付着物10が上部電極7a上に残るので、この再付着物10により、強誘電体キャパシタQの上に層間絶縁膜をカバレッジ良く形成するのが困難となる。更に、強誘電体膜6や第1導電膜5をパターニングする際に、再付着物10が上部電極7aから離れてこれらの膜5、6に付着すると、再付着物10が付着した部分にパターン不良が発生するという問題も発生する。
そこで、上記の再付着物10を発生させないために、図1(b)のエッチング工程では、エッチングガス中におけるハロゲンガスの割合を多くし、化学反応によるエッチングを促進することで、エッチングの等方性を高めるようにする方法が採用される。
図2(a)は、このようにエッチングの等方性を高めた場合における、上部電極7aのエッチング終了時点での断面図である。これに示されるように、エッチングの等方性を高めた結果、レジストパターン8の側面8aでのエッチング量が多くなるので、エッチング雰囲気中に放出された第2導電膜7の構成材料が側面8aに付着する余地が無くなり、既述の再付着物10発生しなくなる。
また、そのエッチングでは、等方性を高めたことによりレジストパターン8が縮小し、エッチングの途中で上部電極7aの周辺部分がレジストパターン8から露出する。これにより、その周辺部分の上部電極7aがエッチングされ、厚さの薄い薄厚部7bが上部電極7aに形成されることになる。
図2(b)は、このように上部電極7aをパターニングした後に、図1(c)で説明したのと同様の方法でキャパシタQを得た場合の断面図である。
このようにして形成されたキャパシタQのキャパシタ誘電体膜6aは、各膜5〜7のパターニングによってダメージを受けており、その強誘電体特性が劣化している。そのため、キャパシタQを形成した後には、上記のダメージからキャパシタ誘電体膜6aを回復させるため、酸素雰囲気中での回復アニールが行われる。
この後は、図2(c)に示すように、シリコン基板1の上側全面にアルミナよりなるキャパシタ保護絶縁膜12を形成した後、TEOS(Tetraethoxysilane)ガスを使用するプラズマCVD(Chemical Vapor Deposition)法により、酸化シリコン膜よりなる層間絶縁膜13をキャパシタ保護絶縁膜12の上に形成する。
ところが、上記のTEOSに含まれる水素は、PZT膜等のキャパシタ誘電体膜6aを還元してその強誘電体特性を劣化させることが知られている。通常は、キャパシタ保護絶縁膜12や上部電極7aが、その水素をブロックしてキャパシタ誘電体膜6aを保護するように機能するので、上記のように層間絶縁膜13でキャパシタQを覆っても、キャパシタ誘電体膜6aが水素によって大きく劣化することは無い。
しかし、図2(a)に示したように、上部電極7aに薄厚部7bが形成されていると、水素がその薄厚部7bを上から透過し易くなるため、水素によるキャパシタ誘電体膜6aの劣化の度合いが高まるという新たな問題が発生する。
そして、上記の薄厚部7bにより、強誘電体キャパシタQの電気的特性、例えば静電容量等がセル毎に変動するため、強誘電体キャパシタQへの印加電圧のマージンが狭くなるという不都合もある。
更に、上記した図1(b)及び図2(a)のいずれの場合でも、上部電極7aの上にレジストパターン8を直接形成しているが、このようにすると、上部電極7aを酸素含有雰囲気に曝す際、例えばキャパシタ誘電体膜6aに対する回復アニールの際に、上部電極7aの表面が荒れるという問題がある。これは、アッシングで上部電極7aから除去しきれなかったレジストパターン8の残渣や、上部電極7aのパターニングの際に、強誘電体膜6を構成するPZT中の鉛が上部電極7aの上に付着することに起因すると考えられる。
これらの問題点に鑑み、本願発明者は、以下に説明するような本発明の実施の形態に想到した。
(2)本発明の実施の形態
図3〜9は、本発明の実施の形態に係る半導体装置の製造途中の断面図である。
その半導体装置は、キャパシタ下部電極のコンタクト領域上に導電性プラグが形成されるプレーナ型のFeRAMである
まず、図3(a)に示す断面構造を得るまでの工程を説明する。
最初に、n型又はp型のシリコン(半導体)基板20表面に、トランジスタの活性領域を画定するSTI(Shallow Trench Isolation)用の溝を形成し、その中に酸化シリコン等の絶縁膜を埋め込んで素子分離絶縁膜21とする。なお、素子分離構造はSTIに限られず、LOCOS(Local Oxidation of Silicon)法で素子分離絶縁膜21を形成してもよい。
次いで、シリコン基板20の活性領域にp型不純物を導入してpウェル22を形成した後、その活性領域の表面を熱酸化することにより、ゲート絶縁膜28となる熱酸化膜を形成する。
続いて、シリコン基板20の上側全面に非晶質又は多結晶のシリコン膜及びタングステンシリサイド膜を順に形成し、これらの膜をフォトリソグラフィによりパターニングしてゲート電極25a、25bを形成する。
pウェル22上には、上記の2つのゲート電極25a、25bが間隔をおいてほぼ平行に配置され、それらのゲート電極25a、25bはワード線の一部を構成する。
次いで、ゲート電極25a、25bをマスクにするイオン注入により、各ゲート電極25a、25bの横のシリコン基板20にn型不純物を導入し、第1〜第3ソース/ドレインエクステンション24a〜24cを形成する。
その後に、シリコン基板20の上側全面に絶縁膜を形成し、その絶縁膜をエッチバックしてゲート電極25a、25bの横に絶縁性サイドウォール26として残す。その絶縁膜として、例えばCVD法により酸化シリコン膜を形成する。
続いて、絶縁性サイドウォール26とゲート電極25a、25bをマスクにしながら、シリコン基板20にn型不純物を再度イオン注入することにより、各ゲート電極25a、25bの側方のシリコン基板20に第1〜第3ソース/ドレイン領域23a〜23cを形成する。
ここまでの工程により、シリコン基板20の活性領域には、ゲート絶縁膜28、ゲート電極25a、25b、及び第1〜第3ソース/ドレイン領域23a〜23cによって構成される第1、第2MOSトランジスタTR1、TR2が形成されたことになる。
次に、シリコン基板20の上側全面に、スパッタ法によりコバルト層等の高融点金属層を形成した後、この高融点金属層を加熱してシリコンと反応させ、シリコン基板20上に高融点金属シリサイド層27を形成する。その高融点金属シリサイド層27はゲート電極25a、25bの表層部分にも形成され、それにより各ゲート電極25a、25bが低抵抗化されることになる。
その後、素子分離絶縁膜21の上等で未反応となっている高融点金属層をウエットエッチングして除去する。
続いて、プラズマCVD法により、窒化シリコン(SiN)膜29を厚さ約20nmに形成する。次いで、この窒化シリコン膜29の上に、シランガスを使用するプラズマCVD法により酸化シリコン膜30を厚さ約80nmに形成し、更にその上にTEOSガスを使用するプラズマCVD法により犠牲酸化シリコン膜を約1000nmに形成する。そして、その犠牲酸化シリコン膜の上面をCMP(Chemical Mechanical Polishing)法により研磨して平坦化し、残された酸化シリコン膜30と窒化シリコン膜29とを第1層間絶縁膜31とする。上記のCMPの結果、第1層間絶縁膜31の厚さは、シリコン基板20の平坦面上で約700nmとなる。
次に、フォトリソグラフィにより第1層間絶縁膜31をパターニングして、第1〜第3ソース/ドレイン領域23a〜23cのそれぞれの上にコンタクトホールを形成する。そして、そのコンタクトホールの内面と第1層間絶縁膜31の上面に、スパッタ法により厚さ約30nmのチタン膜と厚さ約20nmの窒化チタン膜とをこの順にグルー膜として形成する。更に、六フッ化タングステンガスを使用するCVD法により、上記のグルー膜の上にタングステン膜を形成し、そのタングステン膜でコンタクトホールを完全に埋め込む。その後に、第1層間絶縁膜31上の余分なタングステン膜とグルー膜とをCMP法により研磨して除去し、上記の膜をコンタクトホールの中に第1〜第3導電性プラグ32a〜32cとして残す。これら第1〜第3導電性プラグ32a〜32cは、その下の第1〜第3ソース/ドレイン領域23a〜23cと電気的に接続されることになる。
ところで、その第1〜第3導電性プラグ32a〜32cは、タングステンを主に構成されるが、タングステンは非常に酸化され易く、プロセス中で酸化されるとコンタクト不良を引き起こす。
そこで、次の工程では、図3(b)に示すように、上記の第1〜第3導電性プラグ32a〜32cを酸化雰囲気から保護するための酸化防止膜36として、プラズマCVD法により酸窒化シリコン(SiON)膜36aと酸化シリコン膜36bとをこの順に形成する。その酸窒化シリコン膜36aの厚さは例えば100nmであり、酸化シリコン膜36bの厚さは約130nmである。また、酸化シリコン膜36bの成膜ガスとしてはTEOSが採用される。
次いで、図3(c)に示すように、後述の強誘電体キャパシタの下部電極の結晶性を高め、最終的にはキャパシタ誘電体膜の結晶性を改善するために、スパッタ法により第1アルミナ膜(下地絶縁膜)37を厚さ約20nmに形成する。
次に、図4(a)に示す断面構造を得るまでの工程について説明する。
まず、スパッタ法により、プラチナ膜を厚さ約150nmに形成し、それを第1導電膜41とする。
次いで、強誘電体膜42として、PZT膜をスパッタ法により第1導電膜41上に厚さ約150nmに形成する。その強誘電体膜42の成膜方法としては、スパッタ法の他に、MOCVD(Metal Organic CVD)法やゾル・ゲル法もある。更に、強誘電体膜42の材料は上記のPZTに限定されず、SrBi2Ta2O9、SrBi2(Ta, Nb)2O9等のBi層状構造化合物や、PZTにランタンをドープしたPLZT、或いはその他の金属酸化物強誘電体で強誘電体膜42を構成してもよい。
続いて、強誘電体膜42を構成するPZTを酸素含有雰囲気中でRTA(Rapid Thermal Anneal)により結晶化する。そのRTAの条件は、例えば、基板温度720℃、処理時間120秒、昇温速度125℃/秒である。
その後に、強誘電体膜42の上に、スパッタ法により酸化イリジウム(IrO2)膜を厚さ約250nmに形成し、それを第2導電膜43とする。なお、第2導電膜43は貴金属膜又は酸化貴金属膜で構成さればよく、上記の酸化イリジウム膜に代えて、イリジウム膜やプラチナ膜等の貴金属膜を第2導電膜43として形成してもよい。
次いで、図4(b)に示すように、スパッタ法により窒化チタン(TiN)膜を50nm以下の厚さ、より好ましくは20〜50nmの厚さ、更に好ましくは30nmに形成し、それをマスク材料膜45とする。なお、マスク材料膜45は、レジストよりもエッチレートが低い膜であれば特に限定されない。例えば、窒化チタン膜に代えて窒化チタンアルミニウム(TiNAl)膜をマスク材料膜45として形成してもよい。
その後に、マスク材料膜45の上にフォトレジストを塗布し、それを露光、現像することにより、キャパシタ上部電極形状の平面形状を有する第1レジストパターン46を形成する。
次に、図4(c)に示す断面構造を得るまでの工程について説明する。
図10は、その工程で使用されるICP(Inductively Coupled Plasma)エッチング装置100の構成図である。
このICPエッチング装置100は、チャンバ106の側壁102が石英(SiO2)よりなり、その側壁102の周囲には、チャンバ106内にプラズマを発生させるためのアンテナコイル103が巻かれている。このアンテナコイル103には、周波数が例えば13.56MHzの第1の高周波電源104が接続される。
一方、チャンバの106の下部には、シリコン基板20を載置するために基板載置台101が設けれ、チャンバ106内のイオン種をシリコン基板20に引き付けるバイアス用の第2の高周波電源105が基板載置台101に高周波的に接続される。この第2の高周波電源105の周波数は特に限定されないが、本実施形態では460kHzとする。
更に、チャンバ106には、エッチングガスを導入するためのガス導入口106aと、ガスを排気してチャンバ106内を所定の圧力に減圧するためのガス排出口106bが設けられる。
図4(c)の工程では、このようなICPエッチング装置100において、ハロゲンガスと不活性ガスとの混合ガスをエッチングガスとして使用しながら、第1レジストパターン46をマスクにしてマスク材料膜45をドライエッチングし、エッチングされずに残ったマスク材料膜45を上部電極形状の補助マスク45aとする。本実施形態では、上記のハロゲンガス及び不活性ガスとして、流量が共に80sccmの塩素ガスとアルゴンガスとを使用する。更に、エッチング条件も特に限定されないが、圧力0.7Pa、第1の高周波電源104のパワー500〜1200W、及び第2の高周波電源105のパワー50〜400Wがそのエッチング条件として採用される。
次に、図5(a)に示すように、図10で説明したICPエッチング装置を用いて、補助マスク45aと第1レジストパターン46とをマスクにして第2導電膜43をエッチングすることにより、該第2導電膜43を上部電極43aにする。
このとき、エッチングされた第2導電膜43の構成材料、例えば酸化イリジウムが第1レジストパターン46の側面46aに再付着すると、その第1レジストパターン46を除去した後でも上部電極43a上にその再付着物がフェンス状に残ることになる。
そこで、このエッチングでは、第1レジストパターン46の側面46aが後退するようにエッチングの等方性を高め、上記の再付着物が側面46aに付着するのを防止するのが好ましい。そのようなエッチングは、例えば、化学反応によりエッチングの等方性を高めるハロゲンガスを、エッチングのスパッタ要素を担うハロゲンガス中に添加してなるエッチングガスを採用することで行うことができる。本実施形態では、そのハロゲンガスと不活性ガスとしてそれぞれ塩素とアルゴンを使用し、塩素ガスの流量を10sccm、アルゴンガスの流量を50sccmとする。これ以外のエッチング条件は特に限定されないが、圧力0.7Pa、第1の高周波電源104(図10参照)のパワー約2100W、及び第2の高周波電源105のパワー1400Wがその条件として採用される。
このように等方性が高められたエッチングを採用することで、本実施形態では、エッチングの再付着物が残るのを抑制しながら上部電極43aを形成することが可能となる。
ところで、このエッチングのマスクとなる補助マスク45aは、厚さが約20〜50nmと薄いので、補助マスク45aのエッチング速度が速いと、上部電極43aのパターニングが終了する前に補助マスク45aが消失する恐れがある。
このような不都合を回避するため、本実施形態では、図10に示したチャンバ102の側壁を石英(SiO2)で構成し、アルゴンによるスパッタエッチングでその石英から微量の酸素をエッチング雰囲気内に導入し、酸素で補助マスク45aの上面を僅かに酸化してそのエッチング速度を低く抑える。
このような利点を効果的に得るには、石英をスパッタエッチングするアルゴンガスの流量を塩素ガスのそれ以上、例えば流量比で60%以上とするのが好ましい。
次いで、図5(b)に示すように、酸素プラズマを用いるアッシングにより、補助マスク45a上に残存する第1レジストパターン46を除去する。
これにより補助マスク45aの上面が全て露出することになるが、補助マスク45aは、上部電極43aのパターニングに使用したものであり、これ以降の工程では不要となる。もし、補助マスク45aが上部電極43a上に残存していると、酸素含有雰囲気中での処理、例えば後述の強誘電体キャパシタの回復アニールにおいて、TiNよりなる補助マスク45aが酸化することになる。こうなると、補助マスク45aが絶縁体となってしまうので、補助マスク45aの上に導電性プラグを形成しても、上部電極43aと導電性プラグとを電気的に接続できなくなり、上部電極43aの電圧をコントロールすることができなくなってしまう。
そこで、次の工程では、図5(c)に示すように、濃度が30wt%の過酸化水素水(H2O2)と濃度が30wt%の水酸化アンモニウム(NH4OH)溶液との混合溶液よりなるエッチング液にシリコン基板20を浸すことにより、補助マスク45aを常温でウエットエッチングして除去する。なお、上記のエッチング液の混合比は特に限定されないが、本実施形態では過酸化水素水:水酸化アンモニウム溶液:純水=3:1:10の混合比を採用する。
また、上記のエッチング液が入れられた槽の内部をポンプで攪拌しながらウエットエッチングを行うことで、補助マスク45aを安定して除去することができる。
このようなウエットエッチングの結果、上部電極43a上に有機系のエッチング残渣が残ることがあるので、例えばアッシング装置内において上部電極43aの表面を酸素プラズマに曝し、上記のエッチング残渣を除去するのが好ましい。
以上により、上部電極43aの清浄面が露出することになる。
次に、図6(a)に示すように、キャパシタ誘電体膜形状の第2レジストパターン47を上部電極43a上に形成し、その第2レジストパターン47をマスクにしながら強誘電体膜42をドライエッチングして、残された強誘電体膜42をキャパシタ誘電体膜42aとする。
その後に、第2レジストパターン47は除去される。
更に、図6(b)に示すように、上部電極43aと第1導電膜41のそれぞれの上に下部電極形状の第3レジストパターン48を形成する。そして、この第3レジストパターン48をマスクにして第1導電膜41をドライエッチングし、エッチング後に残った第1導電膜41を下部電極41aとする。その下部電極41aにおいて、キャパシタ誘電体膜42aからはみ出た部分はコンタクト領域CRとして機能する。
その後に、第3レジストパターン48を除去することで、図6(c)に示すように、下部電極41a、キャパシタ誘電体膜42a、及び上部電極43aで構成される強誘電体キャパシタQが第1アルミナ膜37上に形成される。
次に、図7(a)に示す断面構造を得るまでの工程について説明する。
まず、水素等の還元性雰囲気からキャパシタQを保護し、キャパシタ誘電体膜42aの劣化を防止するための第2アルミナ膜50をシリコン基板20の上側全面に形成する。その第2アルミナ膜50は、例えばスパッタ法により厚さ約50nmに形成する。
そして、エッチングやスパッタリング等によってここまでの工程でキャパシタ誘電体膜42aが受けたダメージを回復させるため、ファーネス内の酸素100%の雰囲気中で基板温度650℃、処理時間90分の条件で、キャパシタ誘電体膜42aに対して回復アニールを行う。
次に、TEOSガスを反応ガスとするプラズマCVD法により、第2アルミナ膜50の上に酸化シリコン膜51を厚さ約1500nmに形成する。その酸化シリコン膜51の上面には、キャパシタQを反映した凹凸が形成される。そこで、この凹凸を無くすために、酸化シリコン膜51の上面をCMP法により研磨して平坦化し、第2アルミナ膜50の平坦面上での酸化シリコン膜51の厚さを約1000nmにする。
その後、この酸化シリコン膜51の脱水処理として、酸化シリコン膜51の表面をN2Oプラズマに曝す。このようなN2Oプラズマ処理に代えて、炉の中で酸化シリコン膜51をアニールして脱水してもよい。
次いで、後の工程で発生する水素や水分からキャパシタQを保護するための第3アルミナ膜52を、酸化シリコン膜51の上にスパッタ法により厚さ約50nmに形成する。更に、この第3アルミナ膜52の上に、プラズマCVD法で酸化シリコン膜53を厚さ約200nmに形成する。
ここまでの工程により、キャパシタQの上には、酸化シリコン膜51、53と第3アルミナ膜52とで構成される第2層間絶縁膜54が形成されたことになる。
次に、図7(b)に示すように、第2層間絶縁膜54の上にフォトレジストを塗布し、それを現像することにより、ホール形状の第1、第2窓55a、55bを備えた第4レジストパターン55を形成する。
そして、上記の第1、第2窓55a、55bを通じて第2層間絶縁膜54とその下の第2アルミナ膜50をエッチングすることにより、上部電極43aの上に第1ホール54aを形成すると共に、下部電極41aのコンタクト領域CR上に第2ホール54bを形成する。
この後に、第4レジストパターン55は除去される。
次いで、図8(a)に示すように、第2層間絶縁膜54の上にフォトレジストを再び塗布し、それを現像して、第1〜第3導電性プラグ32a〜32cのそれぞれの上に第3〜第5窓57c〜57eを備えた第5レジストパターン57を形成する。
更に、第3〜第5窓57c〜57eを通じて第2層間絶縁膜54、第1、2アルミナ膜37、50、及び酸化シリコン膜36bをエッチングすることにより、各導電性プラグ32a〜32cの上に第3〜第5ホール54c〜54eを形成する。このようなエッチングは、C4F8、Ar、O2、及びCOの混合ガスをエッチングガスとする平行平板プラズマエッチング装置で行われ、酸窒化シリコン(SiON)膜36aがこのエッチングにおけるストッパ膜となる。
この後に、第5レジストパターン57は除去される。
次に、図8(b)に示す断面構造を得るまでの工程について説明する。
まず、平行平板プラズマエッチング装置内にシリコン基板20を入れ、エッチングガスとしてCHF3、Ar、及びO2の混合ガスをそのエッチング装置に供給する。これにより、第3〜第5ホール54c〜54eの下の酸窒化シリコン(SiON)膜36aがエッチングされ、これらのホールに第1〜第3導電性プラグ32a〜32cが露出すると共に、第1、第2ホール54a、54b内の異物が除去されて、上部電極43aと下部電極41aの上面が清浄化される。
このように、キャパシタQ上の浅い第1、第2ホール54a、54bを形成する工程とは別の工程において、第1〜第3ソース/ドレイン領域23a〜23c上の深い第3〜第5ホール54c〜54eを形成することで、浅い第1ホール54aの下の上部電極43aがエッチング雰囲気に長時間曝されるのを防ぐことができ、その下のキャパシタ誘電体膜42aが劣化するのを抑制することが可能となる。
更に、第1〜第3導電性プラグ32a〜32cは、本工程が終了するまで、酸化防止膜36を構成する酸窒化シリコン膜36aによって覆われているので、各導電性プラグ32a〜32cを構成するタングステンが酸化してコンタクト不良を起こすのが防止される。
次に、図9(a)に示す断面構造を得るまでの工程について説明する。
まず、第1〜第5ホール54a〜54eの内面を清浄化するために、高周波電力でプラズマ化されたアルゴン雰囲気に各ホール54a〜54eの内面を曝し、その内面をスパッタエッチングする。そのエッチング量は、例えば、酸化シリコン膜の膜厚換算で約10nmとされる。そして、第1〜第5ホール54a〜54eの内面と第2層間絶縁膜54の上面とに、スパッタ法によりグルー膜として窒化チタン膜を厚さ約75nmに形成する。
続いて、CVD法によりグルー膜の上にタングステン膜を形成し、そのタングステン膜で第1〜第5ホール54a〜54eを完全に埋め込む。
その後に、第2層間絶縁膜54の上面上の余分なグルー膜とタングステン膜とをCMP法により研磨して除去し、これらの膜を各ホール54a〜54eの中に残す。第1、第2ホール54a、54b内に残されたこれらの膜は、それぞれ上部電極43aと下部電極41aコンタクト領域CRに電気的に接続される第4、第5導電性プラグ60a、60bとされる。また、第3〜第5ホール54c〜54e内に残されたこれらの膜は、第1〜第3導電性プラグ32a〜32cと電気的に接続される第6〜第8導電性プラグ60c〜60eとされる。
次に、図9(b)に示す断面構造を得るまでの工程について説明する。
まず、第2層間絶縁膜54と第6〜第8導電性プラグ60c〜60eのそれぞれの上に、厚さが約60nmのチタン膜と厚さが約30nmの窒化チタン膜をこの順にスパッタ法により形成し、これらをバリアメタル層とする。次いで、金属積層膜として、スパッタ法により銅含有アルミニウム膜、チタン膜、及び窒化チタン膜をこの順にそれぞれ厚さ約360nm、5nm、70nmにバリアメタル層上に形成する。
次いで、この金属積層膜の上に、不図示の酸窒化シリコン膜を反射防止膜として形成した後、フォトリソグラフィにより上記の金属積層膜とバリアメタル層とをパターニングして、一層目金属配線62a〜62cと導電性パッド62dとを形成する。
続いて、第3層間絶縁膜63としてプラズマCVD法により酸化シリコン膜を形成した後、CMP法によりその第3層間絶縁膜63を平坦化する。その後に、フォトリソグラフィにより第3層間絶縁膜63をパターニングして導電性パッド62dの上にホールを形成し、そのホール内にタングステン膜を主に構成される第9導電性プラグ64を形成する。
この後は、2層目〜5層目金属配線や、これらの金属配線の間に層間絶縁膜を形成する工程に移るが、その詳細については省略する。
以上により、本実施形態に係るプレーナ型のFeRAMの基本構造が完成したことになる。
上記したFeRAMの製造方法によれば、図5(a)で説明したように、上部電極43aに対するエッチングマスクとして、第1レジストパターン46と、それよりもエッチングレートが低く膜減りし難い補助マスク45aとを使用した。その結果、上記のエッチングが終了した後でも、上部電極43aの上面の全てが補助マスク45aで覆われた状態となるので、上部電極43aの上面がエッチングされて予備的事項のような薄厚部が形成されるのを防ぐことができる。
図11は、上部電極43aに対して酸素アニールを行った後、その上部電極43aをSEM(Scanning Electron Microscope)で観察して得られた像を元にして描いた平面図である。なお、同図では、比較例として、予備的事項で説明した上部電極7aのSEM像も併記してある。
図11に示されるように、比較例では、レジストパターン8(図2(a)参照)の縮小に伴って上部電極7bの周縁部が膜減りし、薄厚部7bが上部電極7aに形成される。
これに対し、本実施形態では、上部電極43aの周縁部が膜減りしなので、上記のような薄厚部が上部電極43aに形成されない。
これにより、上部電極43aの全ての部分でその厚みを十分に保つことが可能となり、キャパシタQ(図9(a)参照)を構成するキャパシタ誘電体膜42aに水素等の還元性物質が侵入するのを上部電極43aで阻止し易くなる。
更に、予備的事項で説明したような薄厚部が形成されないことで上部電極43aの断面形状が安定するので、静電容量等のキャパシタQの電気的特性がセル毎にばらつくのを抑制でき、例えば強誘電体キャパシタQへの印加電圧のマージンを広めることが可能となる。
そして、上記した上部電極43aのエッチング(図5(a)参照)において、エッチングガス中にハロゲンガスを添加したことで、上記のエッチングの際に第1レジストパターン46の側面が後退するようになる。これにより、エッチング雰囲気中に放出された上部電極43aの構成材料が第1レジストパターン46の側面に付着するのが防止され、予備的事項で説明したようなエッチングの再付着物が上部電極43aに残るのを防ぐことができる。その結果、再付着物によってキャパシタ誘電体膜42aや下部電極41aにパターン不良が発生するのを防止できると共に、再付着物に起因する第2層間絶縁膜(図7(a)参照)のカバレッジの低下を防ぐことができる。
しかも、図5(a)に示したように、第1レジストパターン46と上部電極43aとが接するのを補助マスク45aによって防いでいるので、キャパシタ誘電体膜42aに対する回復アニールのような酸素含有雰囲気に上部電極43aを曝しても、上部電極43aの上面が荒れることが無い。
これらにより、本実施形態では、薄厚部や表面荒れが無く、平坦化された上面を備えた上部電極43aを形成することができる。その結果、上部電極43aの上面の荒れや周縁部の膜減りによる歩留まりの低下を回避することができると共に、上部電極43aと金属配線62bとの電気的なコンタクトを安定にとることが可能となる。
また、補助マスク45aの厚さを50nm以下と薄くしたので、図5(c)の工程において補助マスク45aをウエットエッチングして除去するのが容易となる。
以下に、本発明の特徴を付記する。
(付記1) 半導体基板の上に下地絶縁膜を形成する工程と、
前記下地絶縁膜の上に、第1導電膜、強誘電体膜、及び第2導電膜を順に形成する工程と、
前記第2導電膜の上にマスク材料膜を形成する工程と、
前記マスク材料膜の上にレジストパターンを形成する工程と、
前記レジストパターンをマスクにして前記マスク材料膜をエッチングすることにより、該マスク材料膜を補助マスクにする工程と、
前記補助マスクと前記レジストパターンとをマスクにして前記第2導電膜をエッチングすることにより、該第2導電膜を上部電極にする工程と、
前記レジストパターンを除去する工程と、
前記補助マスクを除去する工程と、
前記強誘電体膜をパターニングしてキャパシタ誘電体膜にする工程と、
前記第1導電膜をパターニングして下部電極にし、該下部電極、前記キャパシタ誘電体膜、及び前記上部電極でキャパシタを構成する工程と、
を有することを特徴とする半導体装置の製造方法。
(付記2) 前記第2導電膜をエッチングする工程は、前記レジストパターンの側面が後退するエッチング条件で行われることを特徴とする付記1に記載の半導体装置の製造方法。
(付記3) 前記エッチング条件におけるエッチングガスとして、ハロゲンガスと不活性ガスの混合ガスを使用することを特徴とする付記2に記載に半導体装置の製造方法。
(付記4) 前記第2導電膜をエッチングする工程は、チャンバの少なくとも一部に石英が用いられたICP(Inductively Coupled Plasma)エッチング装置を使用して行われることを特徴とする付記1に記載の半導体装置の製造方法。
(付記5) 前記石英は、前記チャンバの側壁を構成することを特徴とする付記4に記載の半導体装置の製造方法。
(付記6) 前記チャンバ内に、ハロゲンガスと不活性ガスとの混合ガスをエッチングガスとして供給し、該エッチングガスにおいて前記不活性ガスが占める割合を流量比で60%以上とすることを特徴とする付記4に記載の半導体装置の製造方法。
(付記7) 前記マスク材料膜として、前記レジストパターンよりもエッチレートの低い膜を形成することを特徴とする付記1に記載の半導体装置の製造方法。
(付記8) 前記マスク材料膜を50nm以下の厚さに形成することを特徴とする付記1に記載の半導体装置の製造方法。
(付記9) 前記マスク材料膜として、窒化チタン膜、又は窒化チタンアルミニウム膜を使用することを特徴とする付記1に記載の半導体装置の製造方法。
(付記10) 前記補助マスクを除去する工程は、過酸化水素と水酸化アンモニウムとの混合溶液をエッチング液とするウエットエッチングにより行われることを特徴とする付記1に記載の半導体装置の製造方法。
(付記11) 前記補助マスクを除去した後に、酸素プラズマに前記上部電極を曝して、該上部電極上の残渣を除去する工程を有することを特徴とする付記1に記載の半導体装置の製造方法。
(付記12) 前記キャパシタを構成した後に、酸素雰囲気中で前記キャパシタ誘電体膜をアニールする工程を有することを特徴とする付記1に記載の半導体装置の製造方法。
(付記13) 前記キャパシタを構成した後に、該キャパシタを覆う層間絶縁膜を形成する工程を有することを特徴とする付記1に記載の半導体装置の製造方法。
(付記14) 前記第1導電膜をパターニングして前記下部電極にする工程において、該下部電極のコンタクト領域を前記キャパシタ誘電体膜からはみ出して形成すると共に、
前記層間絶縁膜を形成する工程の後に、前記下部電極の前記コンタクト領域上の該層間絶縁膜にホールを形成する工程と、前記下部電極と電気的に接続される導電性プラグを該ホール内に形成する工程とを有することを特徴とする付記13に記載の半導体装置の製造方法。
(付記15) 前記第2導電膜として、貴金属膜又は酸化貴金属膜を形成することを特徴とする付記1に記載の半導体装置の製造方法。
(付記16) 半導体基板と、
前記半導体基板の上に形成された下地絶縁膜と、
前記下地絶縁膜の上に形成され、コンタクト領域を備えた下部電極と、
前記コンタクト領域以外の部分の前記下部電極上に形成されたキャパシタ誘電体膜と、
前記キャパシタ誘電体膜上に形成され、該キャパシタ誘電体膜と前記下部電極と共にキャパシタを構成し、上面が平坦化された上部電極と、
前記キャパシタを覆い、前記下部電極の前記コンタクト領域上にホールを備えた層間絶縁膜と、
前記ホール内に形成されて前記下部電極と電気的に接続された導電性プラグと、
を有することを特徴とする半導体装置。
(付記17) 前記上部電極の周縁部が膜減りしていないことを特徴とする付記16に記載の半導体装置。
図1(a)〜(c)は、予備的事項におけるFeRAMの製造途中の断面図(その1)である。 図2(a)〜(c)は、予備的事項におけるFeRAMの製造途中の断面図(その2)である。 図3は、本発明の実施の形態に係る半導体装置の製造途中の断面図(その1)である。 図4は、本発明の実施の形態に係る半導体装置の製造途中の断面図(その2)である。 図5は、本発明の実施の形態に係る半導体装置の製造途中の断面図(その3)である。 図6は、本発明の実施の形態に係る半導体装置の製造途中の断面図(その4)である。 図7は、本発明の実施の形態に係る半導体装置の製造途中の断面図(その5)である。 図8は、本発明の実施の形態に係る半導体装置の製造途中の断面図(その6)である。 図9は、本発明の実施の形態に係る半導体装置の製造途中の断面図(その7)である。 図10は、本発明の実施の形態において使用されるICPエッチング装置の構成図である。 本発明の実施の形態と比較例のそれぞれの上部電極に対して酸素アニールを行い、これらの上部電極をSEMで観察して得られた像を元にして描いた図である。
符号の説明
1、20…シリコン基板、2…下地絶縁膜、5、41…第1導電膜、5a、41a…下部電極、6、42…強誘電体膜、6a、42a…キャパシタ誘電体膜、7、43…第2導電膜、7a、43a…上部電極、7b…薄厚部、8…レジストパターン、10…再付着物、12…キャパシタ保護絶縁膜、13…層間絶縁膜、21…素子分離絶縁膜、22…pウェル、23a〜23c…第1〜第3ソース/ドレイン領域、24a〜24c…第1〜第3ソース/ドレインエクステンション、25a、25b…ゲート電極、26…絶縁性サイドウォール、27…高融点金属シリサイド層、28…ゲート絶縁膜、29…窒化シリコン膜、30…酸化シリコン膜、31…第1層間絶縁膜、32a〜32c…第1〜第3導電性プラグ、36…酸化防止膜、36a…酸窒化シリコン膜、36b…酸化シリコン膜、37…第1アルミナ膜、45…マスク材料膜、45a…補助マスク、46…第1レジストパターン、47…第2レジストパターン、48…第3レジストパターン、50…第2アルミナ膜、51…酸化シリコン膜、52…第3アルミナ膜、53…酸化シリコン膜、54…第2層間絶縁膜、54a〜54d…第1〜第5ホール、55…第4レジストパターン、55a、55b…第1、第2窓、57…第5レジストパターン、57c〜57d…第3〜第5窓、60a〜60e…第4〜第8導電性プラグ、62a〜62c…一層目金属配線、62d…導電性パッド、64…第9導電性プラグ、100…ICPエッチング装置、101…基板載置台、102…側壁、103…アンテナコイル、104…第1の高周波電源、105…第2の高周波電源、106…チャンバ。

Claims (8)

  1. 半導体基板の上に下地絶縁膜を形成する工程と、
    次いで、前記下地絶縁膜の上に、第1導電膜、強誘電体膜、及び第2導電膜を順に形成する工程と、
    次いで、前記第2導電膜の上にマスク材料膜を形成する工程と、
    次いで、前記マスク材料膜の上にレジストパターンを形成する工程と、
    次いで、前記レジストパターンをマスクにして前記マスク材料膜をエッチングすることにより、該マスク材料膜を補助マスクにする工程と、
    次いで、前記補助マスクと前記レジストパターンとをマスクにし、かつ前記レジストパターンの側面が後退するエッチング条件に設定して、前記補助マスクの上表面が露出するように前記レジストパターンの側面を後退させながら前記第2導電膜をエッチングすることにより、該第2導電膜を上部電極にする工程と、
    次いで、前記レジストパターンを除去する工程と、
    次いで、前記補助マスクを除去する工程と、
    次いで、前記強誘電体膜をパターニングしてキャパシタ誘電体膜にする工程と、
    次いで、前記第1導電膜をパターニングして下部電極にし、該下部電極、前記キャパシタ誘電体膜、及び前記上部電極でキャパシタを構成する工程と
    を有することを特徴とする半導体装置の製造方法。
  2. 前記エッチング条件におけるエッチングガスとして、ハロゲンガスと不活性ガスの混合ガスを使用することを特徴とする請求項1記載の半導体装置の製造方法。
  3. 前記第2導電膜をエッチングする工程は、チャンバの少なくとも一部に石英が用いられたICP(Inductively Coupled Plasma)エッチング装置を使用して行われることを特徴とする請求項1に記載の半導体装置の製造方法。
  4. 前記チャンバ内に、ハロゲンガスと不活性ガスの混合ガスをエッチングガスとして供給し、該エッチングガスにおいて前記不活性ガスが占める割合を流量比で60%以上とすることを特徴とする請求項3に記載の半導体装置の製造方法。
  5. 前記マスク材料膜を50nm以下の厚さに形成することを特徴とする請求項1に記載の半導体装置の製造方法。
  6. 前記マスク材料膜として、窒化チタン膜、又は窒化チタンアルミニウム膜を使用することを特徴とする請求項1に記載の半導体装置の製造方法。
  7. 前記補助マスクを除去する工程は、過酸化水素と水酸化アンモニウムとの混合溶液をエッチング液とするウエットエッチングにより行われることを特徴とする請求項1に記載の半導体装置の製造方法。
  8. 前記補助マスクを除去した後に、酸素プラズマに前記上部電極を曝して、該上部電極上の残渣を除去する工程を有することを特徴とする請求項1に記載の半導体装置の製造方法。
JP2005169377A 2005-06-09 2005-06-09 半導体装置の製造方法 Expired - Fee Related JP4746357B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2005169377A JP4746357B2 (ja) 2005-06-09 2005-06-09 半導体装置の製造方法
US11/224,029 US7550392B2 (en) 2005-06-09 2005-09-13 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005169377A JP4746357B2 (ja) 2005-06-09 2005-06-09 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2006344785A JP2006344785A (ja) 2006-12-21
JP4746357B2 true JP4746357B2 (ja) 2011-08-10

Family

ID=37524620

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005169377A Expired - Fee Related JP4746357B2 (ja) 2005-06-09 2005-06-09 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US7550392B2 (ja)
JP (1) JP4746357B2 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007281022A (ja) * 2006-04-03 2007-10-25 Toshiba Corp 半導体装置及びその製造方法
US8361811B2 (en) * 2006-06-28 2013-01-29 Research In Motion Rf, Inc. Electronic component with reactive barrier and hermetic passivation layer
JP2008159951A (ja) * 2006-12-25 2008-07-10 Fujitsu Ltd 半導体装置の製造方法
CN101647099B (zh) 2007-05-31 2011-08-10 株式会社爱发科 等离子体处理装置的干式清洁方法
JP2009266952A (ja) * 2008-04-23 2009-11-12 Seiko Epson Corp デバイスの製造方法及び製造装置
JP5292918B2 (ja) * 2008-05-20 2013-09-18 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5502302B2 (ja) 2008-09-26 2014-05-28 ローム株式会社 半導体装置およびその製造方法
JP2011179953A (ja) * 2010-03-01 2011-09-15 Rohm Co Ltd 赤外線センサ
JP5626405B2 (ja) * 2013-05-20 2014-11-19 富士通セミコンダクター株式会社 半導体装置の製造方法
US11825661B2 (en) * 2020-09-23 2023-11-21 Taiwan Semiconductor Manufacturing Company Limited Mobility enhancement by source and drain stress layer of implantation in thin film transistors

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0557937A1 (en) * 1992-02-25 1993-09-01 Ramtron International Corporation Ozone gas processing for ferroelectric memory circuits
JPH11220101A (ja) * 1998-01-30 1999-08-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6225156B1 (en) * 1998-04-17 2001-05-01 Symetrix Corporation Ferroelectric integrated circuit having low sensitivity to hydrogen exposure and method for fabricating same
US6420272B1 (en) * 1999-12-14 2002-07-16 Infineon Technologies A G Method for removal of hard mask used to define noble metal electrode
JP2001244436A (ja) * 2000-03-01 2001-09-07 Hitachi Ltd 半導体集積回路装置およびその製造方法
US20020123008A1 (en) * 2000-12-21 2002-09-05 Ning Xiang J. Isotropic etch to form MIM capacitor top plates
US6627493B2 (en) * 2001-03-28 2003-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned method for fabricating a capacitor under bit-line (cub) dynamic random access memory (DRAM) cell structure
US20030143853A1 (en) 2002-01-31 2003-07-31 Celii Francis G. FeRAM capacitor stack etch
DE10207130B4 (de) * 2002-02-20 2007-09-27 Infineon Technologies Ag Verfahren zur Herstellung eines Bauelements sowie Bauelement mit einer Edelmetallschicht, einer Edelmetallsilizidschicht und einer oxidierten Silizidschicht
JP2003257942A (ja) * 2002-02-28 2003-09-12 Fujitsu Ltd 半導体装置の製造方法
US6713310B2 (en) 2002-03-08 2004-03-30 Samsung Electronics Co., Ltd. Ferroelectric memory device using via etch-stop layer and method for manufacturing the same
JP2004047943A (ja) 2002-03-20 2004-02-12 Fujitsu Ltd 半導体装置
JP2004247324A (ja) 2002-12-19 2004-09-02 Fujitsu Ltd 強誘電体キャパシタの製造方法
JP4578777B2 (ja) 2003-02-07 2010-11-10 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP4230243B2 (ja) 2003-02-20 2009-02-25 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
JP2004273556A (ja) * 2003-03-05 2004-09-30 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6693017B1 (en) * 2003-04-04 2004-02-17 Infineon Technologies Ag MIMcap top plate pull-back
JP4260525B2 (ja) 2003-04-10 2009-04-30 富士通株式会社 半導体装置およびその製造方法
JP2005123392A (ja) * 2003-10-16 2005-05-12 Seiko Epson Corp 強誘電体キャパシタの製造方法
US7041511B2 (en) * 2004-08-20 2006-05-09 Sharp Laboratories Of America, Inc. Pt/PGO etching process for FeRAM applications

Also Published As

Publication number Publication date
US7550392B2 (en) 2009-06-23
US20060281316A1 (en) 2006-12-14
JP2006344785A (ja) 2006-12-21

Similar Documents

Publication Publication Date Title
JP4746357B2 (ja) 半導体装置の製造方法
JP5024046B2 (ja) 半導体装置とその製造方法
JP2005183842A (ja) 半導体装置の製造方法
JP2007115972A (ja) 半導体装置とその製造方法
JP4515333B2 (ja) 半導体装置の製造方法
JP4887802B2 (ja) 半導体装置とその製造方法
JP5170101B2 (ja) 半導体装置とその製造方法
KR100848240B1 (ko) 반도체 장치 및 그 제조 방법
JP5440493B2 (ja) 強誘電体メモリとその製造方法、及び強誘電体キャパシタの製造方法
JP4252537B2 (ja) 半導体装置の製造方法
JP4580284B2 (ja) 強誘電体素子の製造方法
JP3166746B2 (ja) キャパシタ及びその製造方法
JP3833580B2 (ja) 半導体装置の製造方法
JP3795882B2 (ja) 半導体装置およびその製造方法
CN109166852B (zh) 半导体器件及其制造方法
JP2008186926A (ja) 半導体装置とその製造方法
JP5239294B2 (ja) 半導体装置の製造方法
JP2004095866A (ja) 半導体装置及びその製造方法
KR100851480B1 (ko) 반도체 장치의 제조 방법
JP4809367B2 (ja) 半導体装置とその製造方法
JP4551725B2 (ja) 半導体装置の製造方法
JP5549219B2 (ja) 半導体装置の製造方法
JP2008159951A (ja) 半導体装置の製造方法
JP2008159952A (ja) 半導体装置の製造方法
JP2010087350A (ja) 半導体装置とその製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080731

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100408

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110510

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110513

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140520

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4746357

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees