JP2986121B2 - ロードロック装置及び真空処理装置 - Google Patents

ロードロック装置及び真空処理装置

Info

Publication number
JP2986121B2
JP2986121B2 JP8752791A JP8752791A JP2986121B2 JP 2986121 B2 JP2986121 B2 JP 2986121B2 JP 8752791 A JP8752791 A JP 8752791A JP 8752791 A JP8752791 A JP 8752791A JP 2986121 B2 JP2986121 B2 JP 2986121B2
Authority
JP
Japan
Prior art keywords
wafer
load lock
lock chamber
shift
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP8752791A
Other languages
English (en)
Other versions
JPH04298061A (ja
Inventor
哲 大沢
輝雄 浅川
憲司 根深
博夫 小野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP8752791A priority Critical patent/JP2986121B2/ja
Priority to US07/857,832 priority patent/US5340261A/en
Priority to DE69206295T priority patent/DE69206295T2/de
Priority to EP92105208A priority patent/EP0506045B1/en
Priority to KR1019920004985A priority patent/KR0165556B1/ko
Publication of JPH04298061A publication Critical patent/JPH04298061A/ja
Priority to US08/294,761 priority patent/US5435683A/en
Application granted granted Critical
Publication of JP2986121B2 publication Critical patent/JP2986121B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Specific Conveyance Elements (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は真空処理装置、及び例え
ばこの真空処理装置に適用できるウエハの受け渡しを行
うためのロードロック装置に関する。
【0002】
【従来の技術】半導体ウエハを、真空雰囲気で処理を行
うための処理槽内と外部(大気圧雰囲気)との間で受け
渡しする場合、真空排気の時間を短縮して作業効率を図
る点からロードロック装置が用いられている。このロー
ドロック装置によれば、例えば外部からウエハを処理槽
内に搬入する場合、ウエハを一旦ロードロック室内に入
れ、ここで所定の圧力まで真空排気した後ロードロック
室を処理槽内の雰囲気に開放し、次いでウエハを処理槽
内に搬入する。
【0003】ところでウエハには結晶の方向性があるた
めウエハを処理あるいは検査するときにウエハの中心位
置に加えてその向きについても位置合わせを必要とする
場合がある。
【0004】例えばイオン注入装置では、図5に示すよ
うにターミナルユニットTのイオン発生器Iから発生し
たイオンを分析マグネットMで曲げて加速管Aを通じ、
処理槽1内のターンテーブル1a(イオン注入時には起
立している。)上のウエハWに対し順次イオン注入を行
っている。一方各ウエハは外部から1aにおいて正確に
位置合わせした状態で載置されなければならない。
【0005】そこで従来では例えば図6に示すように処
理槽1の外の所定位置に置かれたキャリア3からウエハ
Wを大気側の搬送ロボットR1により一枚づつ一旦位置
合わせ装置ODに移し、ここでウエハの向き及び中心の
位置ずれを検出して、そのずれ分について例えば方向の
修正及び中心の修正の2ステップを行って位置決めし、
次いで搬送ロボットR1により位置合わせ装置OD内の
ウエハWをロードロック装置2内に移し、この中を真空
排気した後処理室1側の搬送ロボットR2によりウエハ
Wをロードロック装置2からターンテーブル1aに搬送
していた。
【0006】
【発明が解決しようとする課題】しかしながら従来の方
法では、キャリアからウエハを一枚づつロードロック装
置内に搬入する際に、一旦位置合わせ装置を経由しなけ
ればならないため、ウエハのハンドリング回数が増え、
この結果ウエハのダメージ、パーティクルなどが増加し
やすくなって歩留まりの低下につながると共に、ハンド
リング回数の増加に伴って搬入時間が増加し、装置の処
理スループットを下げる要因になっていた。 更に位置
合わせ装置に着目すると例えばウエハの中心位置の修正
をするためにX方向、Y方向のサーボ機構などが必要で
あり、このため装置が複雑でコスト的にも高価である
し、また装置の設置スペースが必要になるという不利益
があった。 本発明は、このような事情のもとになされ
たものであり、その目的は、スループットの向上、歩留
まりの向上及び装置の小形化を図ることができるロード
ロック装置を提供することにある。
【0007】本発明の他の目的は、このような目的に加
え、更にウエハの位置ずれの修正を効率よく行うことの
できる真空処理装置を提供することにある。
【0008】
【課題を解決する手段】請求項1の発明は、ウエハを一
の気体雰囲気から他の気体雰囲気に一枚づつ受け渡す時
に、一旦ウエハをロードロック室内に置いてこの気体雰
囲気を他の気体雰囲気と同じまたは近似した状態にした
後、他の気体雰囲気に開放するロードロック装置におい
て、ロードロック室内のウエハをほぼ水平に保持するた
めの保持部と、これに保持されたウエハをその面に沿っ
て回転させるための回転機構と、ロ−ドロック室の外に
設けられた発光部及び受光部と、 ロ−ドロック室の側壁
に設けられた窓と、 ロ−ドロック室内にてウエハの周縁
部の移動路の上下両側に夫々設けられた第1及び第2の
ミラ−と、ウエハを回転させたときに受光部により得ら
れた情報に基づいてウエハの中心のずれ及び回転角のず
れを検出する演算手段と、を備え、 前記発光部からの光
路が前記窓を通って、第1のミラ−、ウエハの周縁部の
移動路及び第2のミラ−を経て、前記窓を通って受光部
に達するように構成されていることを特徴とするロード
ロック装置である。
【0009】この発明においては、発光部及び受光部
と、窓と、第1及び第2のミラ−は光学ユニットとして
ユニット化され、この光学ユニットごとロ−ドロック室
に対して装着されていることが好ましい。
【0010】また請求項3の発明は、ウエハを大気雰囲
気から真空室内に一枚づつ受け渡す時に、大気雰囲気側
からウエハを一旦ロードロック室内に置いてこの中を真
空排気して大気雰囲気から真空雰囲気にした後、当該ウ
エハをロ−ドロック室から真空室に搬送する真空処理装
置において、 前記ロ−ドロック室内に設けられ、ウエハ
をほぼ水平に保持すると共に当該ウエハをその面に沿っ
て回転させるための保持部と、 ウエハの回転により得ら
れた情報に基づいてウエハの中心のずれ及び回転角のず
れを検出する位置ずれ検出手段と前記ロードロック室
内のウエハを真空室中の所定位置に搬送する搬送機構
と、ウエハの中心のずれ及び回転角のずれを修正した状
態でウエハを前記所定位置に置くように、位置ずれ検出
手段の検出結果に基づいて前記搬送機構を制御する制御
部と、を備え、ロ−ドロック室内を真空排気しながらウ
エハを回転させてウエハの中心のずれ及び回転角のずれ
を検出する真空処理装置である。
【0011】
【作用】ウエハを例えば大気雰囲気からロードロック装
置内に取り込み、ここで例えばウエハを1回転させて各
回転位置における、回転中心からのウエハの周縁までの
距離を光学的に検出し、その情報に基づいて、ウエハの
位置ずれ即ちウエハの中心のずれ及び回転角のずれを求
める。次いで例えば真空雰囲気側の搬送機構により前記
位置ずれを修正するようにウエハを搬送して所定位置に
置く。
【0012】
【実施例】図1は本発明の実施例であるロードロック装
置を示す図である。この実施例では、開口面を上にした
有底の筒状体4と前記開口面を塞ぐ例えばガラスよりな
る蓋板4aとによりロードロック室5を画成すると共
に、垂直な軸の回りに回転する回転軸4bを、筒状体4
の底部中央の下面から垂直に伸びる基筒部4c内の磁気
シールを介して前記底部中央位置にてロードロック室5
内に気密に挿入する。前記回転軸4bの下端には、モー
タ(図示せず)が連結されており、当該回転軸4bの上
端にはターンテーブル4dが設けられている。このター
ンテーブル4dの上面にはウエハを静電チャックするた
めの電極4eよりなるチャック装置が配設されており、
この例ではチャック装置とターンターブル4dにより保
持部が構成される。
【0013】また前記筒状体4の下部には、ロードロッ
ク室5内を排気するための排気路を備えた通気部6が例
えば2個所に設けられており、夫々例えば2段階の排気
ができるように排気管6a、6bが設けられている。
【0014】前記筒状体4の側面の一部には、ガラス板
よりなる窓7が形成され、この窓7の外面を覆うように
筐体7aが筒状体4に取り付けられている。この筐体7
aの底部には、レーザを発光する発光部8aが配置され
ており、更にここから上向きに発せられたレーザ光をロ
ードロック室5内に向けて直角に反射するミラー8b
と、そのレーザ光の断面形状を例えば楕円形とするため
の対物レンズ8cと、ロードロック室5内から戻ってき
たレーザ光を受光する受光部8dが筐体7a内に収納さ
れている。
【0015】一方前記ロードロック室5の中には窓7を
透過したレーザ光を直角に反射してウエハの通路と直交
する光路を形成するミラー8eと、更にそのレーザ光を
窓7の外へ向けて反射するミラー8fとが設置されてい
る。そしてこれら一対のミラー8e、8fについては、
ウエハの中心が回転軸4bの回転中心に一致した時に、
例えば図2に示すようにレーザ光の楕円形断面Sの長軸
の中点がウエハWの周縁(但しオリフラと呼ばれる直線
部lを除いた周縁)に位置するように、位置設定されて
いる。
【0016】ここで発光部8a、ミラー8b、対物レン
ズ8c及び8dは窓7及び筐体7aと共に一つのユニッ
トを構成しており、またロードロック室5内のミラー8
e、8fは共通のコ字形の保持部材8gに取り付けられ
て一つのユニットを構成していて、固定部材8hにより
窓7に固定されている。このようにユニット化すれば、
発光部8a、ミラー8b及び対物レンズ8cの相対的位
置関係と受光部8dの位置とを予め設定しておくと共
に、この設定とは別個に一対のミラー8e,8fを予め
位置設定しておくことによって、これらユニットをロー
ドロック装置に組み込む時には、ユニット間の位置設定
のみで済むから小さなロードロック装置に対して容易に
精度よく光路設定ができる。
【0017】また前記受光部8dには、ここからの受光
量に対応した電気信号に基づいてロードロック5内のウ
エハWの位置ずれ量、即ち中心位置及び方向(回転角)
のずれ量を演算する演算手段9が接続されており、この
例では、前記発光部8aや受光部8d及び当該演算手段
9によりウエハの位置ずれ検出手段が構成されている。
本発明実施例のロードロック装置は以上のように構成
されている。
【0018】次に上述実施例の作用について述べる。
【0019】先ず図示しない搬送機構によって大気中に
置かれている処理前のウエハWを、図示しない大気側の
ゲートを開いてその取入れ口からロードロック室5内に
搬入してターンテーブル4dに載せ、静電チャックによ
り当該ターンテーブル4d上に固定する。その後大気側
のゲートを閉じてからロードロック室5内を所定の真空
度まで真空排気する。次いで発光部8aからレーザ光を
発光させながら、図示しないモータを駆動してウエハW
を1回転させる。
【0020】ここで受光部8dにおけるレーザ光の受光
量は、レーザ光域におけるウエハWの周縁の位置に対応
するので、受光部8dの電気信号に基づいて演算手段9
により各回転位置における、回転中心からレーザ光域内
のウエハWの周縁までの距離が求められる。そしてウエ
ハWには、向きを決めるためのオリフラlが形成されて
いるので、ウエハWの回転位置に対応させて前記距離を
求めることにより、当該ウエハWについて、その中心が
例えば本来の位置からどれだけずれておりかつその向き
が例えば本来の向きからどれだけずれているか(回転角
のずれ量)を同時に検出することができる。
【0021】なおウエハの中心のずれとは、本来の中心
位置からのずれ量ではなく、ある基準点からの距離であ
ってのよく、また回転角のずれとは、ある基準線に対す
るオリフラの角度であってもよい。
【0022】その後静電チャックを解除し、処理槽内側
の図示しないゲートを開いて、その取出口からウエハが
処理槽内に図示しない搬送機構により搬入される。
【0023】このようにしてウエハの中心のずれと回転
角のずれとをロードロック装置内で検出できるが、この
実施例では、例えばロードロック装置内に位置合わせ機
構(図示せず)を組み込んでその位置ずれ量を修正して
しもよいし、あるいは次に述べる例のようにウエハをロ
ードロック装置から処理室内に搬送するときに位置合わ
せを行うようにしてもよい。
【0024】図3は、真空処理装置であるイオン注入装
置の処理槽1内のターンテーブル1aに外部大気圧)
からウエハを搬送する搬送システムの一部を示し、この
システムにおいては、図1に示したと同様の発光部、受
光部やミラーなどを含む光路ユニット10を備えたロー
ドロック装置11が2個互いに隣接して設置されてい
る。
【0025】そして処理槽1内には、例えば多関節ロボ
ットよりなる搬送機構12が設置されていると共に演算
手段9の出力側には、演算結果に基づいて前記搬送機構
12を制御する制御部13が接続されている。14は大
気側の搬送機構、15a、15bは所定位置に置かれた
ウエハのキャリアである。
【0026】次にこのシステムの作用について述べる。
先ず大気中のキャリア15aまたは15bにバッファリ
ングされている処理前のウエハWを搬送機構14によっ
て大気側ゲートG1を介して例えば図中下側のロードロ
ック装置11内に一枚づつ搬入し、一枚のウエハWを搬
入した後ゲートG1を閉じ、次いでロードロック11内
を真空排気する。そして真空排気中に既述したようにウ
エハWを回転させ、位置ずれ検出手段100の光路ユニ
ット10よりの電気信号に基づいて演算手段9によりウ
エハWの位置ずれを求める。
【0027】続いて処理槽1側の図示しないゲートを開
いてロードロック装置11内のウエハWを搬送機構12
によりターンテーブル1a上の所定位置に搬送する。こ
の時制御部13は演算手段9よりの演算結果(ウエハの
位置ずれ量)に基づき、ウエハWが前記所定位置に置か
れた時に、ロードロック装置11内に発生している中心
のずれ及び回転角のずれが修正されているように、搬送
機構12に対して制御信号を与える。
【0028】ここで搬送機構12の制御方法としては、
搬送機構12がロードロック装置11内のウエハWを受
け取りにいくときに、あるいはターンテーブル1a上に
置きに行くときに位置ずれ量を修正するように操作して
もよいし、ウエハWを受け取ってから置きに行く間の工
程で修正操作を行なわせるようにしてもよいまたロード
ロック装置内で検出した位置ずれを修正する方法として
は、ウエハの回転角のずれについてはロードロック装置
内の回転軸4bにより修正し、中心のずれについてのみ
搬送機構12により修正するようにしてもよい。
【0029】更に図3のシステムではロードロック装置
11を2個設置しているので、一方のロードロック装置
11から処理槽1内にウエハWを搬入している間に他方
のロードロック装置11内では次のウエハのアライメン
ト(位置ずれ検出)を行なうことができ、従ってアライ
メントの待ち時間をなくすことができるのでスループッ
トの向上が図れる。またウエハが置かれている最終位置
例えば先述したターンテーブルに近いところで位置ずれ
を修正しているので、精度の高い位置合わせを実行でき
る。
【0030】なおロードロック装置11のゲートG1に
関しては、図4に示すようにウエハの取入口を垂直軸に
対して例えば45度傾いた傾斜面16に形成すると共
に、この取入口を開閉するためのゲートG1を、水平な
軸Pのまわりを回動する回動部材17を取り付けた構成
とすれば、ゲートG1の移動路が横方向に広がらず、ま
たその移動距離も小さくて済むので、設置スペースを小
さくできるという利点がある。この場合ゲートG1を回
動させる代わりに上下方向に直線的に移動するようにし
てもよいし、更にこうした構成を処理槽側のゲートに適
応してもよい。
【0031】以上においてウエハの位置ずれを検出する
ためには、上述実施例の手法に限定されるものではな
く、例えばウエハの周縁部からの光の反射を利用するな
どの方法を採用してもよいまた本発明では、互いに異な
る気体雰囲気間のみならず、互いに気体の種類の異なる
気体雰囲気間におけるウエハの受け渡しを行なう場合に
ついても適応できる。
【0032】
【発明の効果】請求項1によれば、ロードロック装置内
でウエハの位置ずれを検出するようにしているため、従
来のようにウエハを一旦位置合わせ装置に置くというハ
ンドリングが不要になり、この結果ウエハのダメージ及
びパーティクルを低減することができ、歩留まりの向上
を図ることができる。しかも搬入に要する時間を短縮で
きるので、スループットの向上を図ることができる上、
位置合わせ装置が不要になることからその分装置全体の
小形化を図ることができる。しかもウエハの回転によっ
て得られた情報に基づいて位置ずれを検出しているの
で、TVカメラなどの大掛かりな装置を用いなくて済
み、ロードロック装置の大型化を抑えることができる。
更にウエハの位置ずれ検出手段をロードロック室の外に
設けているのでロードロック室を小型化できる。ロード
ロック室はウエハの搬入の度に真空排気を行うので、小
型化することにより真空排気の時間が短くなり、スル−
プットの向上が図れる。更にまた請求項2の発明によれ
位置ずれ検出手段をユニット化しているので、ロード
ロック室に対して容易に精度よく組み込むことができ
る。また請求項3の発明によれば、真空処理装置におい
て、大気側と真空室との間にあるロードロック室内にて
真空排気を行いながらウエハの位置合わせを行っている
のでスル−プットが高い。そしてロードロック装置で検
出したウエハの位置ずれを、例えば真空室内の搬送機構
により修正しているため、搬送と位置ずれの修正とを同
時に行うことができ、スループットを一層向上すること
ができる。
【図面の簡単な説明】
【図1】本発明の実施例に係るロードロック装置を示す
縦断面図である。
【図2】ウエハと光路との位置関係を示す説明図であ
る。
【図3】本発明の実施例に係るウエハの搬送システムを
示す説明図である。
【図4】図3に示したロードロック装置の一部の構成例
を示す断面図である。
【図5】イオン注入装置の全体構成を示す説明図であ
る。
【図6】イオン注入装置におけるウエハの搬送システム
の従来例を示す説明図である。
【符号の説明】
1 処理槽 5 ロードロック室 8a 発光部 8d 受光部 9 演算手段 11 ロードロック装置 13 制御部 12、14 搬送機構
───────────────────────────────────────────────────── フロントページの続き (72)発明者 小野 博夫 東京都新宿区西新宿2丁目3番1号 東 京エレクトロン株式会社内 (56)参考文献 特開 平2−94647(JP,A) 特開 昭63−60544(JP,A) 特開 昭62−35641(JP,A) (58)調査した分野(Int.Cl.6,DB名) H01L 21/68

Claims (3)

    (57)【特許請求の範囲】
  1. 【請求項1】 ウエハを一の気体雰囲気から他の気体雰
    囲気に一枚づつ受け渡す時に、一旦ウエハをロードロッ
    ク室内に置いてこの気体雰囲気を他の気体雰囲気と同じ
    または近似した状態にした後、他の気体雰囲気に開放す
    るロードロック装置において、 ロードロック室内のウエハをほぼ水平に保持するための
    保持部と、 これに保持されたウエハをその面に沿って回転させるた
    めの回転機構と、ロ−ドロック室の外に設けられた発光部及び受光部と、 ロ−ドロック室の側壁に設けられた窓と、 ロ−ドロック室内にてウエハの周縁部の移動路の上下両
    側に夫々設けられた第1及び第2のミラ−と、 ウエハを回転させたときに受光部により得られた情報に
    基づいてウエハの中心のずれ及び回転角のずれを検出す
    演算手段と、を備え、 前記発光部からの光路が前記窓を通って、第1のミラ
    −、ウエハの周縁部の移動路及び第2のミラ−を経て、
    前記窓を通って受光部に達するように構成されている
    とを特徴とするロードロック装置。
  2. 【請求項2】 発光部及び受光部と、窓と、第1及び第
    2のミラ−は光学ユニットとしてユニット化され、この
    光学ユニットごとロ−ドロック室に対して装着されてい
    ることを特徴とする請求項1記載のロ−ドロック装置。
  3. 【請求項3】 ウエハを大気雰囲気から真空室内に一枚
    づつ受け渡す時に、大気雰囲気側からウエハを一旦ロー
    ドロック室内に置いてこの中を真空排気して大気雰囲気
    から真空雰囲気にした後、当該ウエハをロ−ドロック室
    から真空室に搬送する真空処理装置において、 前記ロ−ドロック室内に設けられ、ウエハをほぼ水平に
    保持すると共に当該ウエハをその面に沿って回転させる
    ための保持部と、 ウエハの回転により得られた情報に基づいてウエハの中
    心のずれ及び回転角のずれを検出する位置ずれ検出手段
    前記ロードロック室内のウエハを真空室中 の所定位置に
    搬送する搬送機構と、 ウエハの中心のずれ及び回転角のずれを修正した状態で
    ウエハを前記所定位置に置くように、位置ずれ検出手段
    の検出結果に基づいて前記搬送機構を制御する制御部
    と、を備え、ロ−ドロック室内を真空排気しながらウエハを回転させ
    てウエハの中心のずれ及び回転角のずれを検出する こと
    を特徴とする真空処理装置。
JP8752791A 1991-03-26 1991-03-26 ロードロック装置及び真空処理装置 Expired - Lifetime JP2986121B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP8752791A JP2986121B2 (ja) 1991-03-26 1991-03-26 ロードロック装置及び真空処理装置
US07/857,832 US5340261A (en) 1991-03-26 1992-03-26 Load-lock unit and wafer transfer system
DE69206295T DE69206295T2 (de) 1991-03-26 1992-03-26 Ladungsschleuse und Wafertransportsysteme.
EP92105208A EP0506045B1 (en) 1991-03-26 1992-03-26 Load-lock unit and wafer transfer system
KR1019920004985A KR0165556B1 (ko) 1991-03-26 1992-03-26 로드록 장치 및 웨이퍼의 반송시스템
US08/294,761 US5435683A (en) 1991-03-26 1994-08-23 Load-lock unit and wafer transfer system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8752791A JP2986121B2 (ja) 1991-03-26 1991-03-26 ロードロック装置及び真空処理装置

Publications (2)

Publication Number Publication Date
JPH04298061A JPH04298061A (ja) 1992-10-21
JP2986121B2 true JP2986121B2 (ja) 1999-12-06

Family

ID=13917472

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8752791A Expired - Lifetime JP2986121B2 (ja) 1991-03-26 1991-03-26 ロードロック装置及び真空処理装置

Country Status (5)

Country Link
US (2) US5340261A (ja)
EP (1) EP0506045B1 (ja)
JP (1) JP2986121B2 (ja)
KR (1) KR0165556B1 (ja)
DE (1) DE69206295T2 (ja)

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2986121B2 (ja) * 1991-03-26 1999-12-06 東京エレクトロン株式会社 ロードロック装置及び真空処理装置
US5812261A (en) * 1992-07-08 1998-09-22 Active Impulse Systems, Inc. Method and device for measuring the thickness of opaque and transparent films
EP0591706B1 (de) * 1992-10-06 2002-04-24 Unaxis Balzers Aktiengesellschaft Kammer für den Transport von Werkstücken
CH686445A5 (de) * 1992-10-06 1996-03-29 Balzers Hochvakuum Kammer und Kammerkombination fuer eine Vakuumanlage und Verfahren zum Durchreichen mindestens eines Werkstueckes.
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5387067A (en) * 1993-01-14 1995-02-07 Applied Materials, Inc. Direct load/unload semiconductor wafer cassette apparatus and transfer system
KR100261532B1 (ko) * 1993-03-14 2000-07-15 야마시타 히데나리 피처리체 반송장치를 가지는 멀티챔버 시스템
DE4309092C2 (de) * 1993-03-22 1998-11-12 Joachim Dr Scheerer Verfahren und Vorrichtung zur Handhabung und zum Transport von Wafern in Reinst-Räumen
US5466117A (en) * 1993-06-10 1995-11-14 Xilinx, Inc. Device and method for programming multiple arrays of semiconductor devices
US5570987A (en) * 1993-12-14 1996-11-05 W. L. Gore & Associates, Inc. Semiconductor wafer transport container
US5586585A (en) * 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JPH09102530A (ja) * 1995-06-07 1997-04-15 Varian Assoc Inc ウェーハの向き検査システム
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6672819B1 (en) * 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US5700046A (en) * 1995-09-13 1997-12-23 Silicon Valley Group, Inc. Wafer gripper
JP4653263B2 (ja) * 1996-12-23 2011-03-16 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 真空処理装置
US5944476A (en) * 1997-03-26 1999-08-31 Kensington Laboratories, Inc. Unitary specimen prealigner and continuously rotatable multiple link robot arm mechanism
NL1006461C2 (nl) * 1997-07-03 1999-01-05 Asm Int Opslagsamenstel voor wafers.
US6063244A (en) * 1998-05-21 2000-05-16 International Business Machines Corporation Dual chamber ion beam sputter deposition system
NL1010317C2 (nl) 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
US6075334A (en) * 1999-03-15 2000-06-13 Berkeley Process Control, Inc Automatic calibration system for wafer transfer robot
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
US6275742B1 (en) 1999-04-16 2001-08-14 Berkeley Process Control, Inc. Wafer aligner system
GB2349204B (en) * 1999-04-19 2004-03-03 Applied Materials Inc A method of detecting the position of a wafer
US6244811B1 (en) * 1999-06-29 2001-06-12 Lam Research Corporation Atmospheric wafer transfer module with nest for wafer transport robot
US6229611B1 (en) * 1999-09-20 2001-05-08 United Microelectronics Corp. Method of detecting a transparent quartz wafer in a semiconductor equipment
US6364762B1 (en) 1999-09-30 2002-04-02 Lam Research Corporation Wafer atmospheric transport module having a controlled mini-environment
US6860965B1 (en) * 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US6591161B2 (en) * 2001-01-31 2003-07-08 Wafermasters, Inc. Method for determining robot alignment
US6556887B2 (en) * 2001-07-12 2003-04-29 Applied Materials, Inc. Method for determining a position of a robot
US6778258B2 (en) 2001-10-19 2004-08-17 Asml Holding N.V. Wafer handling system for use in lithography patterning
JP2005510055A (ja) * 2001-11-13 2005-04-14 エフエスアイ インターナショナル インコーポレイテッド マイクロエレクトロニクス基板の自動処理用の低減フットプリントツール
US6497734B1 (en) 2002-01-02 2002-12-24 Novellus Systems, Inc. Apparatus and method for enhanced degassing of semiconductor wafers for increased throughput
EP1585578B1 (en) 2003-01-02 2008-04-16 Loma Linda University Medical Center Configuration management and retrieval system for proton beam therapy system
DE10303460A1 (de) * 2003-01-29 2004-08-19 Infineon Technologies Ag Verfahren und Vorrichtung zum Handhaben von scheibenförmigen Gegenständen
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20070286710A1 (en) * 2003-11-10 2007-12-13 Van Der Meulen Peter Semiconductor manufacturing process modules
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8696298B2 (en) 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
TWI239933B (en) * 2004-03-16 2005-09-21 Powerchip Semiconductor Corp Positioning apparatus and positioning method using the same
US20060045668A1 (en) * 2004-07-19 2006-03-02 Grabowski Al W System for handling of wafers within a process tool
JP4892225B2 (ja) * 2005-10-28 2012-03-07 株式会社日立ハイテクノロジーズ 真空処理方法、真空搬送装置および半導体処理装置
US7387484B2 (en) * 2005-12-21 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer positioning systems and methods thereof
US20080101912A1 (en) * 2006-10-26 2008-05-01 Martin Todd W Deposition analysis for robot motion correction
JP2008192840A (ja) * 2007-02-05 2008-08-21 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体
US20080219807A1 (en) 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
JP5473820B2 (ja) * 2010-07-29 2014-04-16 株式会社日立ハイテクノロジーズ 基板保持具及び基板搬送システム
SG192678A1 (en) 2011-02-10 2013-09-30 Hysitron Inc Nanomechanical testing system
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8919210B2 (en) 2012-11-27 2014-12-30 Life Technologies Corporation Load cell lockouts and related fluid dispensing systems
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN108352289B (zh) * 2015-11-20 2019-10-29 株式会社岛津制作所 真空处理装置和质谱分析仪
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN113136565A (zh) 2020-01-20 2021-07-20 Asm Ip私人控股有限公司 形成薄膜的方法和改性薄膜的表面的方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4457664A (en) * 1982-03-22 1984-07-03 Ade Corporation Wafer alignment station
US4769523A (en) * 1985-03-08 1988-09-06 Nippon Kogaku K.K. Laser processing apparatus
US4713551A (en) * 1986-04-17 1987-12-15 Varian Associates, Inc. System for measuring the position of a wafer in a cassette
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4752898A (en) * 1987-01-28 1988-06-21 Tencor Instruments Edge finding in wafers
JPS63187644A (ja) * 1987-01-30 1988-08-03 Nec Corp 半導体製造装置
US4973217A (en) * 1987-02-09 1990-11-27 Svg Lithography Systems, Inc. Wafer handling system
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4880348A (en) * 1987-05-15 1989-11-14 Roboptek, Inc. Wafer centration device
JPH0620097B2 (ja) * 1987-10-20 1994-03-16 富士通株式会社 ウエハ位置決め装置
JPH025049A (ja) * 1988-06-24 1990-01-09 Fuji Photo Film Co Ltd フイルムユニットのエアー抜き通路形成方法
US5102280A (en) * 1989-03-07 1992-04-07 Ade Corporation Robot prealigner
JPH0736417B2 (ja) * 1989-10-24 1995-04-19 株式会社メツクス ウエハーの位置決め装置
JP2986121B2 (ja) * 1991-03-26 1999-12-06 東京エレクトロン株式会社 ロードロック装置及び真空処理装置

Also Published As

Publication number Publication date
US5340261A (en) 1994-08-23
EP0506045B1 (en) 1995-11-29
DE69206295T2 (de) 1996-05-02
EP0506045A3 (en) 1992-11-25
KR920018841A (ko) 1992-10-22
EP0506045A2 (en) 1992-09-30
JPH04298061A (ja) 1992-10-21
KR0165556B1 (ko) 1999-02-01
US5435683A (en) 1995-07-25
DE69206295D1 (de) 1996-01-11

Similar Documents

Publication Publication Date Title
JP2986121B2 (ja) ロードロック装置及び真空処理装置
KR0165555B1 (ko) 로드록장치 및 웨이퍼의 반송시스템 및 웨이퍼의 위치 검출장치
US5404894A (en) Conveyor apparatus
US20070092651A1 (en) Substrate processing apparatus and substrate processing method
US6395094B1 (en) Process system with transfer unit for object to be processed
US5474410A (en) Multi-chamber system provided with carrier units
JP4827913B2 (ja) リソグラフィのパターニングにおいて用いるウェハ処理の方法、および、リソグラフィシステム
US20050118000A1 (en) Treatment subject receiving vessel body, and treating system
KR20040014213A (ko) 레티클 핸들링 방법, 레티클 핸들링 장치 및 노광장치
JP2009218622A (ja) 基板処理装置及び基板処理装置における基板位置ずれ補正方法
JP3323797B2 (ja) 疎水化処理装置
WO2002007236A1 (fr) Detecteur de deplacement et systeme de traitement
JP3500455B2 (ja) 処理装置
JP3287646B2 (ja) 真空処理装置
JP2006287181A (ja) 基板処理装置及び基板処理方法
JP4386983B2 (ja) 基板処理装置、マルチチャンバー基板処理装置及び電子デバイス製作方法
JPH11145241A (ja) マルチチャンバシステムおよび基板検出方法
JP2002164416A (ja) 被検出体の検出装置とこれを用いた処理システム
JP3160691B2 (ja) 処理装置
JP2001068530A (ja) 基板処理装置
JP2003068829A (ja) 基板搬送システム及び基板処理装置
JPH05294405A (ja) 基板検出装置
JP2007042929A (ja) ロードロック装置とその方法及び半導体製造装置
JPH10135301A (ja) ウエハ処理装置
JP2001110872A (ja) 基板処理装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081001

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111001

Year of fee payment: 12

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111001

Year of fee payment: 12