JP2009094519A - Rc遅延を減少するために誘電体層にエアギャップを生成する方法及び装置 - Google Patents

Rc遅延を減少するために誘電体層にエアギャップを生成する方法及び装置 Download PDF

Info

Publication number
JP2009094519A
JP2009094519A JP2008263153A JP2008263153A JP2009094519A JP 2009094519 A JP2009094519 A JP 2009094519A JP 2008263153 A JP2008263153 A JP 2008263153A JP 2008263153 A JP2008263153 A JP 2008263153A JP 2009094519 A JP2009094519 A JP 2009094519A
Authority
JP
Japan
Prior art keywords
dielectric
dielectric layer
trench
depositing
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008263153A
Other languages
English (en)
Other versions
JP5501596B2 (ja
Inventor
Amir Al-Bayati
アルバヤティ アミール
Alexandros T Demos
ティー. デモス アレキサンドロス
Kang Sub Yim
サプ イム カン
Mehul Naik
ナイク メフル
Zhenjiang David Cui
デイヴィッド クーイ ツェンジャン
Mihaela Balseanu
バルセアヌ ミヘアラ
Meiyee Maggie Le Shek
マギー リー シェック メイイー
Li-Qun Xia
シア リンクン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009094519A publication Critical patent/JP2009094519A/ja
Application granted granted Critical
Publication of JP5501596B2 publication Critical patent/JP5501596B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 誘電率の低い誘電体材料を含む多レベル相互接続構造体を形成するための方法を提供する。
【解決手段】 相互接続構造体の誘電体材料にエアギャップを生成するための方法及び装置。一実施形態では、半導体構造体を形成する方法において、基板上に第1の誘電体層を堆積し、第1の誘電体層にトレンチを形成し、トレンチに導電性材料を充填し、導電性材料を平坦化して第1の誘電体層を露出させ、導電性材料及び露出された第1の誘電体層に誘電体バリア膜を堆積し、この誘電体バリア膜の上に硬質マスク層を堆積し、誘電体バリア膜及び硬質マスク層にパターンを形成して基板の選択された領域を露出させ、基板の選択された領域において第1の誘電体層の少なくとも一部分を酸化させ、第1の誘電体層の酸化された部分を除去して、導電性材料の周りに逆のトレンチを形成し、逆のトレンチに第2の誘電体材料を堆積しながら逆のトレンチにエアギャップを形成することを含む方法が提供される。
【選択図】 図5C

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的に、集積回路の製造に関する。より詳細には、本発明の実施形態は、誘電率の低い誘電体材料を含む多レベル相互接続構造体を形成するための方法に関する。
関連技術の説明
[0002]集積回路の幾何学形状は、このようなデバイスが数十年以前に最初に導入されて以来、そのサイズが劇的に減少した。それ以来、集積回路は、一般的に、2年/半サイズルール(しばしばムーアの法則と称される)をたどり、これは、チップ上のデバイスの数が2年ごとに倍増することを意味する。今日の製造設備は、特徴部サイズが0.1μmのデバイスを日常生産し、明日の設備は、まもなく、特徴部サイズが更に小さなデバイスを生産することになろう。
[0003]デバイスの幾何学形状が減少し続けることで、誘電率(k)値の低い膜の需要が生じた。というのは、集積回路上のデバイスのサイズを更に減少するために隣接する金属線間の容量性結合を減少しなければならないからである。例えば、CMOS(相補的電界効果トランジスタ)デバイスのスケールは、BEOL(線のバックエンド)相互接続部におけるRC(抵抗性容量性)遅延に対して減少し続けることが必要である。この要件を満足するためには、BEOLに使用される絶縁層の誘電率(k)を更に減少しなければならない。
[0004]最近の10年から15年にわたり、半導体産業は、絶縁層の誘電率を減少する上で、k=4.2をもつ純粋な二酸化シリコン(SiO)を使用することから、k=2.4をもつ、シリコン、炭素、酸素及び水素を含む(SiCOHと一般的に称される)今日の多孔性炭素ドープのシリコン酸素膜まで、多数のサイクルを経験した。従来の技術は、一般に、kを減少するための2つの方法、即ち(1)SiOマトリクスへの炭素の追加及び(2)多孔度の追加、を使用している。しかしながら、kを減少するこれらの方法は、SiOに比して低い機械的特性を生じさせる。これらの低い機械的特性、例えば、低い係数及び低い硬度は、BEOL相互接続部を形成する際に一般的に使用されるデュアルダマシンフローにおいてこのような膜を金属線、例えば、銅線に一体化することを困難にする。更に、将来の技術(32nmノード及びそれを越えるもの)は、SiCOH膜に高い多孔度を要求することになる。しかしながら、高い多孔度で機械的特性を失うことは、この形式の膜に対してk〜2.0の下限を指示する。
[0004]それ故、集積回路の特徴部サイズが減少し続けること、及び従来の方法における既存の問題に鑑み、誘電率が2.0より低い誘電体層を形成する方法が要望されている。
発明の概要
[0005]本発明は、一般に、相互接続材料において導電性の線の周りで誘電体にエアギャップを形成するための方法を提供する。
[0006]一実施形態では、半導体構造体を形成する方法において、基板上に第1の誘電体層を堆積するステップと、第1の誘電体層にトレンチを形成するステップと、トレンチに導電性材料を充填するステップと、導電性材料を平坦化して第1の誘電体層を露出させるステップと、導電性材料及び露出された第1の誘電体層に誘電体バリア膜を堆積するステップと、この誘電体バリア膜の上に硬質マスク層を堆積するステップと、誘電体バリア膜及び硬質マスク層にパターンを形成して基板の選択された領域を露出させるステップと、基板の選択された領域において第1の誘電体層の少なくとも一部分を酸化させるステップと、第1の誘電体層の酸化された部分を除去して、導電性材料の周りに逆のトレンチを形成するステップと、逆のトレンチに第2の誘電体材料を堆積しながら逆のトレンチにエアギャップを形成するステップと、を備えた方法が提供される。
[0007]別の実施形態では、多孔性の誘電体材料を使用してトレンチを形成し、又、電子ビーム処置を使用して多孔性誘電体材料を酸化させる。
[0008]更に別の実施形態では、エアギャップを有する誘電体構造体を形成する方法において、基板上に第1の誘電体層を堆積するステップと、この第1の誘電体層上に第2の誘電体層を堆積するステップと、これら第1及び第2の誘電体層にトレンチ−ビア構造体を形成するステップであって、第1の誘電体層にはビアを形成し、第2の誘電体層にはトレンチを形成するステップと、トレンチ−ビア構造体に導電性材料を充填するステップと、その導電性材料を平坦化して第2の誘電体層を露出させるステップと、導電性材料及び露出した第2の誘電体層に誘電体バリア膜を堆積するステップと、この誘電体バリア膜及び硬質マスク層にパターンを形成して、基板の選択された領域を露出させるステップと、基板の選択された領域において第2の誘電体層を除去して、トレンチに充填された導電性材料の周りに逆のトレンチを形成するステップと、その逆のトレンチに誘電体材料を堆積しながらその逆のトレンチにエアギャップを形成するステップと、を備えた方法が提供される。
[0009]本発明の前述した特徴を詳細に理解できるように、簡単に概要を前述した本発明について、幾つかを添付図面に例示した実施形態を参照して以下に詳細に説明する。しかしながら、添付図面は、本発明の典型的な実施形態のみを例示するもので、それ故、本発明の範囲をそれに限定するものではなく、本発明は、等しく有効な他の実施形態も包含することに注意されたい。
[0024]理解を容易にするため、図において共通な同一の要素を示すのに、可能な限り、同一の参照番号を使用している。1つの実施形態に開示した要素は、特に繰り返し述べなくとも、他の実施形態にも有益に利用できることが意図される。
詳細な説明
[0025]本発明の実施形態は、誘電率kを減少すると共にBEOL相互接続部のRC遅延を減少するために導電性の線と線との間にエアギャップを形成する方法を提供する。
[0026]本発明の実施形態は、相互接続部の製造中にトレンチレベルでエアギャップを形成する方法を提供する。この方法は、多孔性の低k誘電体材料に導電性の線を形成し、次いで、多孔性の低k誘電体材料の部分を除去して導電性の線の周りにトレンチを生成し、更に、非均一な誘電体材料を堆積しながら導電性の線の周りでトレンチにエアギャップを形成することを含む。誘電体材料におけるエアギャップ小数に基づいて、誘電体材料の誘電率を約25%から約50%減少することができる。本発明の方法は、多孔性低k誘電体材料の利用を、臨界寸法が22nm及びそれを越えるデバイスの製造へと拡張することができる。又、この方法は、いかなるトレンチレベルにも適用できると共に、エアギャップを形成するステップがダマシンプロセスのフローに容易に組み込まれるので経済的に遂行することができる。
[0027]図1は、本発明の一実施形態に基づいて相互接続部にエアギャップを形成するための方法100を示すフローチャートである。BEOL相互接続部は、一般に、多レベルの相互接続構造体を含むもので、典型的には、導電性材料及び誘電体の交互のトレンチ層及びビア層を含んでいる。トレンチ層とは、一般に、導電性の線が形成された誘電体膜を指す。ビア層とは、あるトレンチ層から別のトレンチ層へ電気的経路を与える小さな金属ビアを有する誘電体の層である。方法100は、いかなるレベルの相互接続部にも適用できる。
[0028]方法100のステップ110において、多孔性の低k誘電体材料に金属構造体を有するトレンチ層が形成される。トレンチ層は、例えば、半導体基板に形成されたデバイスのコンタクト層の上にそれ自体形成することができる。他の場合には、トレンチ層は、適当なプロセスシーケンス、例えば、通常使用されるダマシンプロセスを使用してビア層に沿って形成されてもよい。トレンチ層は、一般に、その後のエアギャップ形成のために除去できる低k誘電体ベースから形成される。一実施形態では、図2Aに示すプロセスシーケンス110aで例示するように、ビア層も低k誘電体層に形成される。別の実施形態では、ビア層は、図2Bに示すプロセスシーケンス110bで例示するように、異なる誘電体材料で形成される。
[0029]トレンチ層の形成の後に、ステップ130に示すように、多孔性の低k誘電体の選択された部分を除去し、トレンチ層の金属構造体の周りに逆のトレンチを形成することができる。一実施形態では、多孔性の低k誘電体材料は、図3Aのプロセスシーケンス130aに示されたように、多孔性の低k誘電体の制御された厚みを酸化した後にウェットエッチングステップを行うことにより除去することができる。別の実施形態では、トレンチ層及びその下のビア層が異なる誘電体材料に形成されたときに、誘電体層における多孔性の低k材料の選択された領域は、図3Bに示すプロセスシーケンス130bで例示するように、マスクされたエッチングプロセスにより除去することができる。
[0030]トレンチ層における多孔性の低k誘電体材料の選択された部分を除去した後に、図1のステップ150に示すように、誘電体材料の非従順層を堆積することによって逆のトレンチにエアギャップを形成することができる。一実施形態では、図4Aのプロセスシーケンス150aに示すように、誘電体バリアの非従順層を堆積することによりエアギャップを形成することができる。別の実施形態では、図4Bのプロセスシーケンス150bに示すように、逆のトレンチに層間誘電体材料を充填しながらエアギャップを形成することができる。
[0031]エアギャップが形成されると、トレンチ層の製造が完了となり、図1のステップ170に示すように、多孔性の低k誘電体材料の新たな層をトレンチ層上に堆積して直接的又は間接的に硬化することができる。
[0032]ステップ180では、多孔性の低k誘電体材料の新たな層に、金属構造を有する新たなトレンチ−ビア層を形成することができる。必要に応じて、ステップ130及び150を使用して、新たな多孔性の低k誘電体材料にエアギャップを形成してもよい。
[0033]エアギャップは、方法100を使用して誘電体層に形成することができる。ステップ110、130、150に対して異なるプロセスシーケンスの組合せを使用して異なる実施形態を得ることもできる。4つの実施形態を以下に例示する。
実施形態1
[0034]図5A−図5Gは、本発明の一実施形態に基づきエアギャップを有する基板スタック200aの形成を概略的に示す。基板スタック200aは、図2Aのプロセスシーケンス110aを使用し、その後、図3Aのプロセスシーケンス130aを使用し、その後、図4Aのプロセスシーケンス150aを使用して形成される。
[0035]図5Aを参照すれば、導電性の線210を含む既存の層201上にビア層202及びトレンチ層203が形成される。図2Aは、図示されたようにビア層202及びトレンチ層203を形成するのに使用できるステップ110を示している。
[0036]プロセスシーケンス110aのステップ111において、誘電体バリア膜211が既存層201の上に全体的に堆積される。この誘電体バリア膜211は、導電性の線210のための例えば金属のような導電性材料がその後の誘電体層へ拡散するのを防止するように構成される。誘電体バリア膜211は、一般に、バリア誘電体材料、例えば、窒化シリコン、シリコンオキシカーバイド、アモルファスの水素処理炭化シリコン、又は窒素ドープの炭化シリコン(BLOkTM)で構成される。
[0037]ステップ112において、誘電体バリア膜211の上に多孔性の低k誘電体材料212が形成される。多孔性の低k誘電体材料212は、ビア層202及びトレンチ層203の両方を形成するに充分な厚みを有する。多孔性の低k誘電体材料212の形成は、一般に、不安定な有機群を更に含むシリコン/酸素含有材料を堆積し、該シリコン/酸素含有材料を硬化して、層に均一に分散した極微のガスポケットを形成することを含む。多孔性の低k材料212tの硬化は、電子ビーム(e−ビーム)処置、紫外線(UV)処置、熱アニール処置(電子ビーム処置及び/又はUV処置が存在しない場合)、及びその組合せを含むことができる。
[0038]多孔性の低k誘電体材料212は、一般的に、誘電率が2.5より低い。多孔性の低k誘電体材料212を形成するための例示的方法の詳細な説明は、参考としてここに援用される“Techniques Promoting Adhesion of Porous Low K Film to UnderlyingBarrier Layer”と題する米国特許出願公告第2005/0233591号に見ることができる。
[0039]ステップ113において、多孔性の低k誘電体材料212にトレンチ−ビア構造体が形成される。トレンチ−ビア構造体は、ビア204の上に形成されたトレンチ205を備え、ダマシン方法を使用して形成することができる。1つの誘電体層にトレンチ−ビア構造体を形成するための例示的方法は、参考としてここに援用される“Integration Scheme for Dual Damascene Structure”と題する米国特許出願第6,753,258号に見ることができる。
[0040]ステップ114において、トレンチ−ビア構造体の表面に金属性拡散バリア213が並べられる。この金属性拡散バリア213は、トレンチ及びその付近の誘電体構造体にその後に堆積される金属線間での拡散を防止するように構成される。金属性拡散バリア213は、タンタル(Ta)及び/又は窒化タンタル(TaN)で構成されてもよい。
[0041]ステップ115において、トレンチ−ビア構造体には、1つ以上の金属を含む導電性の線214が充填される。一実施形態では、スパッタリングステップを行って、トレンチ−ビア構造体の底壁全体又はその一部分から金属性拡散バリア213を除去し、導電性の線214を既存層201の導電性の線210に直接接触させることができる。導電性の線214の堆積は、導電性の種層を形成し、その導電性の種層に金属を堆積することを含んでもよい。導電性の線214は、銅(Cu)、アルミニウム(Al)、又は望ましい電気導電率をもつ適当な材料を含んでもよい。
[0042]ステップ116では、図5Aに示したように、導電性の線214及び金属性拡散バリア213において化学的機械的研磨(CMP)プロセスが遂行されて、基板スタック200aの上面215に多孔性の低k誘電体212が露出される。
[0043]ビア層202及びトレンチ層203が形成されると、トレンチ層203における多孔性の低k誘電体212の一部分を除去して、導電性の線214の間にエアギャップを形成することができる。
[0044]図3Aに示すプロセスシーケンス130aを使用して、多孔性の低k誘電体212を除去することができる。
[0045]ステップ131では、図5Bに示すように、上面215の上に高密度誘電体バリア膜216が堆積される。この高密度誘電体バリア膜216は、導電性の線214における銅のような金属の拡散、及びその後のプロセスにおける導電性の線214へのウェットエッチング化学物質の移動を防止するように構成される。この高密度誘電体バリア216は、薄い低k誘電体バリア膜、例えば、炭化シリコン(SiC)、炭化窒化シリコン(SiCN)、窒化硼素(BN)、窒化シリコン硼素(SiBN)、炭化窒化シリコン硼素(SiBCN)、又はその組合せを含んでもよい。
[0046]ステップ133では、図5Bに示すように、高密度誘電体バリア膜216の上に硬質マスク層217が堆積される。硬質マスク層217は、熱プロセスにおいて基板スタックにパターン化を与えるように構成される。硬質マスク層217は、酸化シリコンを含んでもよい。
[0047]ステップ135では、図5Bに示すように、ホトレジスト218を使用して硬質マスク層217及び高密度誘電体バリア216にパターン219が形成される。このパターン219は、エアギャップが望まれる基板の部分のみを露出させる。導電性の線が高密度でパックされるエリアにエアギャップを形成することが望まれる。一実施形態では、隣接する導電性の線214間の距離が約100nmから約200nmであるエリアにエアギャップを形成することができる。
[0048]ステップ137では、図5Cに示すように、硬質マスク層217により露出された多孔性の低k誘電体材料212に対して酸化プロセスが遂行される。一実施形態では、この酸化プロセスは、不活性ガス及び/又は酸素の雰囲気中で電子ビーム(E−ビーム)を使用して多孔性の低k誘電体材料212へエネルギーを付与することにより行うことができる。E−ビーム処理された多孔性誘電体220は、ウェットエッチングレートが高くされていて、選択的に除去することができる。実験では、本発明の実施形態によるE−ビーム処置は、多孔性の低k誘電体材料212のウェットエッチングレート(WER)を約100倍も増加し得ることが示された。例えば、UV硬化(誘電体にナノサイズの気泡を生成する)後の多孔性の低k誘電体材料のエッチングレートは、100:1の希釈フッ化水素(DHF)溶液中で約0.219Å/分である。一方、E−ビーム処置後の同じ材料は、100:1のDHF溶液中でウェットエッチングレートが約30Å/分になることがある。従って、多孔性の低k誘電体材料212は、選択された部分をE−ビーム処置に露出した後にウェットエッチングプロセスを使用して選択的に除去することができる。
[0049]E−ビーム処置装置は、一般に、真空チャンバーと、大面積カソードと、無電界領域に配置される処置されるべきターゲット又は基板と、カソードから放射される電子の平均自由路長さより短いカソードからの距離においてターゲットとカソードとの間に置かれたアノードを備えている。E−ビーム装置は、更に、カソードに接続された高電圧電源と、アノードに接続された低電圧電源とを備えている。
[0050]処理中に、カソードとターゲットとの間のスペースにあるガスをイオン化して、電子の放射を開始することができる。これは、自然発生するガンマ線の結果として生じるか、又は高電圧スパークギャップによりチャンバー内で放射を人為的に開始することもできる。この初期イオン化が行われると、アノードに印加される若干負の電圧によってアノードに正のイオンが引き付けられる。これら正のイオンは、カソードとアノードとの間の加速電界領域へ通過し、カソードに印加される高電圧の結果としてカソード表面に向かって加速される。カソードの表面に当たると、これらの高エネルギーイオンは、二次電子を発生し、これら二次電子は、アノードに向かって加速されて戻される。(ここでカソード表面にほぼ垂直に走行する)これら電子の幾つかは、アノードに当たるが、その多くは、アノードを通過してターゲットへと続き、従って、基板に対するE−ビーム処置が遂行される。E−ビーム処置の装置及び方法に関する詳細な説明は、参考としてここに援用される“Method and Apparatus for E-beam Treatment Used to FabricateIntegrated Circuit Devices”と題する米国特許第6,936,551号に見ることができる。E−ビーム処置は、カリフォルニア州サンタクララのアプランドマテリアルズ社から入手できるEBkTM電子ビームチャンバーにおいて行うことができる。
[0051]E−ビーム処置は、アルゴンのような不活性雰囲気において行うことができる。別の実施形態では、E−ビーム処置は、酸素環境、例えば、純粋な酸素又は不活性ガスと酸素の混合物の雰囲気において行うこともできる。
[0052]本発明の一実施形態は、E−ビーム処置された多孔性誘電体220の深さを制御することを含む。E−ビーム処置された多孔性誘電体220の深さは、衝撃電子が吸収される前に誘電体層を貫通する深さによって決定される。この深さは、一般に、(処置される特定の材料を含む)多数のファクタに依存する。その最も重要な1つは、加速電圧により決定される電子ビームのエネルギーである。本発明の一実施形態では、E−ビーム処置の深さは、次の式を使用して制御することができる。
但し、深さは、処置深さ(Å)であり、Vaccは、カソードに印加される電圧(keV)であり、aは、定数であり、ρは、処理される膜の密度(gm/cm)である。一実施形態では、誘電率k=2.35及び密度ρ=1.08gm/cmの多孔性の低k誘電体材料212に対して、処置の深さは、a=1.80を使用して計算することができる。
[0053]或いは又、不活性ガス及び/又は酸素ガスを伴う雰囲気中で選択されたエリアを紫外線(UV)エネルギーに露出させることにより、酸化プロセスを遂行することができる。
[0054]任意のステップ139において、図5Dに示すように、導電性の線214上に、自己整合型キャップ層221が形成される。この自己整合型キャップ層221は、無電解堆積を使用して形成され、導電性の線214の露出表面上のみに形成されてもよい。又、自己整合型キャップ層221は、導電性の線214をエアギャップ形成に使用されるウェットエッチング化学物質から保護すると共に、導電性の線210の上面を横切る種の拡散を防止するためのバリアであるように構成される。自己整合型キャップ層221は、銅及び酸素の両方の拡散を防止することができる。導電性の線214が銅で構成される場合、自己整合型キャップ層221は、コバルト(Co)、タングステン(W)又はモリブデン(Mo)、燐(P)、硼素(B)、ルテニウム(Re)、及びその組合せを含む種々の組成物で構成されてもよい。自己整合型キャップ層221の形成についての詳細な説明は、参考としてここに援用される“Adhesion and Minimizing Oxidation on Electroless Co Alloy Films forIntegration with Low k Inter-Metal Dielectric and Etch Stop”と題する米国特許公告第2007/0099417号に見ることができる。
[0055]ステップ141において、E−ビーム処置された多孔性誘電体220及び硬質マスク層217は、図5Eに示すように、ウェットエッチング化学物質を使用して除去される。ウェットエッチング化学物質はDHF溶液でよい。又、緩衝剤処理されたフッ化水素(BHF、NHF+HF+HO)のような他のウェットエッチング化学物質が使用されてもよい。例示的なエッチング方法は、参考としてここに援用される“Etch Process for Etching Microstructures”と題する米国特許第6,936,183号に見ることができる。E−ビーム処置された多孔性誘電体220を除去した後に、導電性の線214の間に逆のトレンチ222が形成される。
硬化及びエッチングのための実施例
銅の導電性の線が、窒素ドープされた二酸化シリコン層に形成される。銅の導電性の線は、深さが約257nmのトレンチに堆積される。隣接する導電性の線間の距離は、約88nmである。CMP及びマスキングの後に、窒素ドープされた二酸化シリコン層は、150ドーズの電子ビームによって硬化される。この電子ビーム硬化中に、アルゴンが約50sccmの流量で処理チャンバーへ流される。硬化された構造体は、水/HFの比が100:1の希釈HFのエッチング溶液を受ける。エッチング深さは、1分のウェットエッチングの後に約150nmであり、2分のウェットエッチングの後に約180nmであり、約3分のウェットエッチングの後に約190nmである。
[0056]逆のトレンチ222を形成した後に、エアギャップを有する1つ以上の誘電体材料を逆のトレンチ222に充填することができる。図4Aに示すプロセスシーケンス150aを使用して、逆のトレンチ222を充填し、エアギャップを形成することができる。
[0057]ステップ151において、逆のトレンチ222には誘電体バリア223が充填される。誘電体バリア223の堆積中に逆のトレンチ222にエアギャップ224が均一に形成されてシールされる。エアギャップ224は、堆積プロセスの非従順性のために逆のトレンチ222に形成され、ここで、側壁の堆積速度は、逆のトレンチ222の入口付近の堆積速度に比して比較的ゆっくりであって、逆のトレンチ222が充填される前に入口を「絞り」、エアギャップ224を形成する。
[0058]一実施形態において、誘電体バリア223は、誘電体バリア216と同じであるか又は同様である。誘電体バリア223は、一般的に、逆のトレンチ222を絞る前にその側壁をカバーして、導電性の線214の拡散に対するバリアを形成する。
[0059]誘電体バリア223は、PECVDを使用して堆積されてもよい。誘電体バリア223の堆積プロセスは、逆のトレンチ222が絞られる前にその底部及び側壁がカバーされるように制御され、又、エアギャップは、その後のCMPプロセスがエアギャップ224を壊すことがないように高さ方向に均一にされる。一実施形態では、このプロセスは、チャンバー圧力、及び/又はプラズマ発生のバイアス電力を調整することにより制御されてもよい。別の実施形態では、このプロセスは、エアギャップ224の位置を制御するように逆のトレンチの形状及び/又はアスペクト比を調整することにより調整されてもよい。
[0060]誘電体バリア223は、高密度の低k(k=5.1)バリア誘電体で構成することができる。誘電体バリア223にエアギャップ224が存在することで、導電性の線214間の誘電体材料の有効誘電率を減少し、導電性の線214間のキャパシタンスを減少する。図10は、k=5.1のバリア誘電体に対するエアギャップ小数と、有効誘電率と、キャパシタンス減少比との関係を概略的に示す。導電性の線214の間で誘電体バリア223に約38%のエアギャップを導入することにより、有効誘電率を2に減少でき且つキャパシタンスを約58%減少できることが示される。
[0061]ステップ153では、図5Fに示すように、誘電体バリア223上でCMPプロセスを遂行して、過剰な材料を除去し、その後のトレンチ及びビア層のための平坦な上面225を得る。一実施形態では、誘電体バリア223は、トレンチ層203の上面215の上に所望の厚みを有するように平坦化されて、誘電体バリア223がトレンチ層203における導電性の線214に対してその後の層間誘電体のためのバリアをなすことができるようにする。一実施形態では、この平坦化は、エアギャップ224へ食い込む前に終了することができる。基板スタックの厚みの増加を回避するためには、エアギャップ224の高さを制御することが望ましい。
[0062]図5Gを参照すれば、新たな層間誘電体226、例えば、新たな多孔性低k誘電体層が、図1のステップ170で述べたように、誘電体バリア223の上面225に堆積される。その後、新たな層間誘電体226にビア層227及びトレンチ層228を形成することができる。次いで、トレンチ230及びビア229に導電性材料が充填される。もし必要であれば、トレンチ層228においてエアギャップ形成の新たなサイクルを遂行することができる。
[0063]図5Gに示すように、本発明の方法を使用して生成されるエアギャップは、非ランディングビアとで問題を生じないことに注意されたい。ビア229は、トレンチ層203の導電性の線214に完全にランディングしない。ビア229の部分は、多孔性の低k誘電体材料212に接触する。しかしながら、エアギャップは選択された領域にのみ形成されるので、ビア229の非ランディング部分とエアギャップ224との間の接触を回避することができる。
実施形態2
[0064]図6A−図6Cは、本発明の一実施形態に基づくエアギャップを有する基板スタック200bの形成を概略的に示す。この基板スタック200bは、図2Aのプロセスシーケンス110aを使用し、その後、図3Aのプロセスシーケンス130aを使用し、その後、図4Bのプロセスシーケンス150bを使用して、形成される。基板スタック200bのプロセスシーケンスは、図5A−5Dに示されたエアギャップ形成前の基板スタック200aと同様である。
[0065]逆のトレンチ222の形成後に、エアギャップを有する1つ以上の誘電体材料を逆のトレンチ222に充填することができる。図4Bに示すプロセスシーケンス150bを使用して、逆のトレンチ222に充填し、エアギャップを形成することができる。
[0066]ステップ155において、図6Aに示すように、逆のトレンチ222は、誘電体バリア材料240の薄い層で裏打ちされる。一実施形態では、誘電体バリア材料240は、誘電体バリア216と同じ又は同様である。誘電体バリア層240は、一般的に、逆のトレンチ222の側壁をカバーし、その後の誘電体材料に対して導電性の線214の拡散に対するバリアをなす。
[0067]ステップ157において、逆のトレンチ222には、図6Bに示すように、層間誘電体材料241が充填される。層間誘電体材料241の堆積中に逆のトレンチ222においてエアギャップ242が均一に形成されてシールされる。堆積プロセスの非従順性のために、逆のトレンチ222にエアギャップ242が形成され、ここで、側壁の堆積速度は、逆のトレンチ222の入口付近の堆積速度に比して比較的ゆっくりであって、逆のトレンチ222が充填される前に入口を「絞り」、エアギャップ242を形成する。
[0068]層間誘電体241は、PECVDを使用して堆積されてもよい。層間誘電体241の堆積プロセスは、逆のトレンチ222の入口付近の絞り作用からエアギャップ242が形成されるように制御される。一実施形態では、エアギャップ242は、その後のCMPプロセスがエアギャップ224を壊すことがないように高さ方向に均一にされる。一実施形態では、このプロセスは、チャンバー圧力、及び/又はプラズマ発生のバイアス電力を調整することにより制御されてもよい。別の実施形態では、このプロセスは、エアギャップ224の位置を制御するように逆のトレンチの形状及び/又はアスペクト比を調整することにより調整されてもよい。層間誘電体242の形成についての詳細な説明は、参考としてここに援用される“Method of Depositing a Low K Dielectric with Organo Silane”と題する米国特許第6,054,379号に見ることができる。
[0069]層間誘電体材料241は、低k(k=2.5)誘電体材料でよい。層間誘電体241にエアギャップ242が存在することで、導電性の線214間の誘電体材料の有効誘電率が減少され、従って、導電性の線214間のキャパシタンスが減少される。図11は、k=2.5の層間誘電体に対するエアギャップ小数と、有効誘電率と、キャパシタンス減少比との関係を概略的に示す。導電性の線214の間で層間誘電体241に約17%のエアギャップを導入することにより、有効誘電率を2に減少でき且つキャパシタンスを約20%減少できることが示される。
[0070]ステップ159では、図6Bに示すように、層間誘電体241上でCMPプロセスを遂行して、過剰な材料を除去し、その後のための平坦な上面243を得る。一実施形態では、層間誘電体241は、トレンチ層203の上面215の上に所望の厚みを有するように平坦化され、層間誘電体241にその後のビア層を形成できるようにする。一実施形態では、この平坦化は、エアギャップ242へと食い込む前に終了することができる。基板スタックの厚みの増加を回避するためには、エアギャップ242の高さを制御することが望ましい。この実施形態では、エアギャップ242の頂部がトレンチ層203の上面215より高い位置にあってもよい。というのは、層間誘電体214がビア層の厚み許容度を有するからである。
[0071]図6Cを参照すれば、層間誘電体241の上面243に新たな多孔性低k誘電体層246が堆積される。層間誘電体241にビア層244が形成され、新たな多孔性誘電体層246にトレンチ層245が形成される。次いで、トレンチ−ビア構造体に導電性材料を充填することができる。もし必要であれば、トレンチ層245においてエアギャップ形成の新たなサイクルを遂行することができる。
実施形態3
[0072]図7及び図8A−図8Bは、本発明の一実施形態によるエアギャップを有する基板スタック200cの形成を概略的に示す。この基板スタック200cは、図2Bのプロセスシーケンス110bを使用し、その後、図3Aのプロセスシーケンス130aを使用し、その後、図4Bのプロセスシーケンス150bを使用して、形成される。
[0073]図7を参照すれば、ビア層250及びトレンチ層251は、導電性の線210を含む既存層201の上に形成される。図2Bは、図示されたようにビア層250及びトレンチ層251を形成するのに使用できる1つのプロセスシーケンス110bを示す。
[0074]プロセスシーケンス110bのステップ120において、誘電体バリア膜252が既存層201の上に全体的に堆積される。この誘電体バリア膜252は、導電性の線210のための例えば金属のような導電性材料がその後の誘電体層へ拡散するのを防止するように構成される。誘電体バリア膜252は、一般に、バリア誘電体材料、例えば、窒化シリコン、シリコンオキシカーバイド、又はアモルファスの水素処理炭化シリコン(BLOkTM)で構成される。
[0075]ステップ121において、誘電体バリア膜252の上に層間誘電体材料253が堆積される。層間誘電体材料253は、ビア層250を形成するに充分な厚みを有する。層間誘電体材料253は、炭素ドープの二酸化シリコン又は窒素ドープの二酸化シリコンを含んでもよい。層間誘電体253の形成についての詳細な説明は、参考としてここに援用される“Method of Depositing a Low K Dielectric with Organo Silane”と題する米国特許第6,054,379号に見ることができる。
[0076]ステップ122において、層間誘電体253の上に多孔性の低k誘電体材料254が形成される。この多孔性の低k誘電体材料254は、トレンチ層251を形成するに充分な厚みを有する。
[0077]ステップ123において、層間誘電体材料253及び多孔性の低k誘電体材料254にトレンチ−ビア構造体が形成される。
[0078]ステップ124において、トレンチ−ビア構造体の表面に金属性の拡散バリア255が裏打ちされる。この金属性の拡散バリア255は、トレンチ及びその付近の誘電体構造体にその後に堆積される金属線間の拡散を防止するように構成される。金属性の拡散バリア255は、タンタル(Ta)及び/又は窒化タンタル(TaN)で構成されてもよい。
[0079]ステップ125において、トレンチ−ビア構造体には、1つ以上の金属で構成される導電性の線256が充填される。
[0080]ステップ126では、図7に示すように、導電性の線256、金属性の拡散バリア255上でCMPプロセスが遂行されて、多孔性の低k誘電体254が露出される。
[0081]ビア層250及びトレンチ層251が形成されると、トレンチ層251における多孔性の低k誘電体254の部分を除去し、誘電体バリア258及び硬質マスク259に形成されたパターンを経てE−ビーム処置を使用して導電性の線256間にエアギャップを形成することができる。図3Aに示すプロセスシーケンス130aを使用して、多孔性の低k誘電体254を除去し、図8Aに示すように逆のトレンチ260を形成することができる。
[0082]逆のトレンチ260が形成された後に、図4Aに示すプロセスシーケンス150a又は図4Bに示すプロセスシーケンス150bを使用してエアギャップ263を形成することができる。図8Bは、図4Bに示すプロセスシーケンス150bを使用して形成されたエアギャップ263を示す。逆のトレンチ260に誘電体バリア261の薄い層が裏打ちされている。層間誘電体262の堆積プロセスの非従順性のために、逆のトレンチ260にエアギャップ263が形成され、ここで、側壁の堆積速度は、逆のトレンチ260の入口付近の堆積速度に比して比較的ゆっくりであり、逆のトレンチ260が充填される前に入口を「絞る」。
実施形態4
[0083]図7及び図9A−図9Bは、本発明の一実施形態に基づくエアギャップを有する基板スタック200dの形成を概略的に示す。
[0084]図7に示すように、図2Bのプロセスシーケンス110bを使用してビア層250及びトレンチ層251が形成される。ビア層250は、層間誘電体253をベースとする。トレンチ層251は、多孔性の低k誘電体層254をベースとする。
[0085]層間誘電体253及び多孔性の低k誘電体層254の多孔度の差により、図9Aに示し、プロセスシーケンス130bのステップ143で述べたように、層間誘電体253をエッチングストッパとして使用しながら多孔性の低k誘電体層254を除去し、逆のトレンチ270を形成することができる。逆のトレンチ270は、マスク型ドライエッチングプロセスを使用して、選択された領域において多孔性の低k誘電体254を除去するようにして、形成されてもよい。
[0086]逆のトレンチ270の形成の後に、図4Aに示すプロセスシーケンス150a又は図4Bに示すプロセスシーケンス150bを使用してエアギャップ272を形成することができる。図9Bは、層間誘電体271の堆積プロセスの非従順性のために逆のトレンチ270にエアギャップ272が形成されることを示し、ここで、側壁の堆積速度は、逆のトレンチ270の入口付近の堆積速度に比して比較的ゆっくりであり、逆のトレンチ270が充填される前に入口を「絞る」。
[0087]別の実施形態では、エアギャップの形成を容易にするために傾斜壁をもつトレンチにおいてエアギャップを形成することができる。例えば、入口が底部より狭いトレンチに誘電体材料を充填しながらエアギャップを形成することができる。傾斜側壁をもつトレンチにエアギャップを形成することに関する詳細な説明は、参考としてここに援用される“Method for Forming an Air Gap in Multilevel Interconnect Structures”と題する2007年10月9日に出願された米国特許出願(代理人管理番号12054)に見ることができる。
[0088]以上、本発明の実施形態を説明したが、本発明の基本的な範囲から逸脱せずに他の実施形態及び更に別の実施形態を案出することができ、従って、本発明の範囲は、特許請求の範囲によって決定される。
本発明の一実施形態に基づいて相互接続部にエアギャップを形成するための方法を示すフローチャートである。 本発明の一実施形態に基づいてトレンチ−ビア構造体を形成するためのプロセスシーケンスを示すフローチャートである。 本発明の別の実施形態に基づいてトレンチ−ビア構造体を形成するためのプロセスシーケンスを示すフローチャートである。 本発明の一実施形態に基づいて誘電体材料の部分を除去するためのプロセスシーケンスを示すフローチャートである。 本発明の別の実施形態に基づいて誘電体材料の部分を除去するためのプロセスシーケンスを示すフローチャートである。 本発明の一実施形態に基づいてエアギャップを有する誘電体層を形成するためのプロセスシーケンスを示すフローチャートである。 本発明の別の実施形態に基づいてエアギャップを有する誘電体層を形成するためのプロセスシーケンスを示すフローチャートである。 本発明の一実施形態によるエアギャップを有する基板スタックの形成を概略的に示す。 本発明の一実施形態によるエアギャップを有する基板スタックの形成を概略的に示す。 本発明の一実施形態によるエアギャップを有する基板スタックの形成を概略的に示す。 本発明の一実施形態によるエアギャップを有する基板スタックの形成を概略的に示す。 本発明の一実施形態によるエアギャップを有する基板スタックの形成を概略的に示す。 本発明の一実施形態によるエアギャップを有する基板スタックの形成を概略的に示す。 本発明の一実施形態によるエアギャップを有する基板スタックの形成を概略的に示す。 本発明の別の実施形態によるエアギャップを有する基板スタックの形成を概略的に示す。 本発明の別の実施形態によるエアギャップを有する基板スタックの形成を概略的に示す。 本発明の別の実施形態によるエアギャップを有する基板スタックの形成を概略的に示す。 図2Bのプロセスシーケンスを使用して形成されたトレンチ−ビア構造体を有する基板スタックを概略的に示す。 本発明の一実施形態によるエアギャップを有する基板スタックの形成を概略的に示す。 本発明の一実施形態によるエアギャップを有する基板スタックの形成を概略的に示す。 本発明の一実施形態によるエアギャップを有する基板スタックの形成を概略的に示す。 本発明の一実施形態によるエアギャップを有する基板スタックの形成を概略的に示す。 k=5.1のバリア誘電体に対するエアギャップ小数と、有効誘電率と、キャパシタンス減少比との関係を概略的に示す。 k=2.5のバリア誘電体に対するエアギャップ小数と、有効誘電率と、キャパシタンス減少比との関係を概略的に示す。
符号の説明
100…方法、110…ステップ、110a…プロセスシーケンス、110b…プロセスシーケンス、111…ステップ、112…ステップ、113…ステップ、114…ステップ、115…ステップ、116…ステップ、120…ステップ、121…ステップ、122…ステップ、123…ステップ、124…ステップ、125…ステップ、126…ステップ、130…ステップ、130a…プロセスシーケンス、130b…プロセスシーケンス、131…ステップ、133…ステップ、135…ステップ、137…ステップ、139…ステップ、141…ステップ、150…ステップ、150a…プロセスシーケンス、150b…プロセスシーケンス、150a…プロセスシーケンス、150b…プロセスシーケンス、151…ステップ、153…ステップ、155…ステップ、157…ステップ、159…ステップ、170…ステップ、180…ステップ、200a…基板スタック、200b…基板スタック、200c…基板スタック、200d…基板スタック、201…既存層、202…ビア層、203…トレンチ層、204…ビア、205…トレンチ、210…導電性の線、211…誘電体バリア膜、212…多孔性の低k誘電体材料、212t…多孔性の低k材料、213…金属性拡散バリア、214…導電性の線、215…上面、216…高密度の誘電体バリア、217…硬質マスク層、218…ホトレジスト、219…パターン、220…E−ビーム処置された多孔性誘電体、221…自己整合されたキャップ層、222…逆のトレンチ、223…誘電体バリア、224…エアギャップ、225…上面、226…新たな層間誘電体、227…ビア層、228…トレンチ層、229…ビア、230…トレンチ、240…誘電体バリア材料、241…層間誘電体、242…エアギャップ、243…上面、244…ビア層、245…トレンチ層、246…多孔性の低k誘電体層、250…ビア層、251…トレンチ層、252…誘電体バリア膜、253…層間誘電体材料、254…多孔性の低k誘電体材料、255…金属性の拡散バリア、256…導電性の線、257…上面、258…誘電体バリア、259…硬質マスク、260…逆のトレンチ、261…誘電体バリア、262…層間誘電体、263…エアギャップ、270…逆のトレンチ、271…層間誘電体、272…エアギャップ

Claims (15)

  1. 半導体構造体を形成する方法において、
    基板上に第1の誘電体層を堆積するステップと、
    上記第1の誘電体層にトレンチを形成するステップと、
    上記トレンチに導電性材料を充填するステップと、
    上記導電性材料を平坦化して上記第1の誘電体層を露出させるステップと、
    上記導電性材料及び上記露出された第1の誘電体層に誘電体バリア膜を堆積するステップと、
    上記誘電体バリア膜の上に硬質マスク層を堆積するステップと、
    上記誘電体バリア膜及び上記硬質マスク層にパターンを形成して基板の選択された領域を露出させるステップと、
    基板の上記選択された領域において上記第1の誘電体層の少なくとも一部分を酸化させるステップと、
    上記第1の誘電体層の上記酸化された部分を除去して、上記導電性材料の周りに逆のトレンチを形成するステップと、
    上記逆のトレンチに第2の誘電体材料を堆積しながら上記逆のトレンチにエアギャップを形成するステップと、
    を備えた方法。
  2. 上記第1の誘電体層は多孔性の低k誘電体材料を含み、第1の誘電体層を堆積する上記ステップは、
    不安定な有機群を有するシリコン/酸素含有材料を堆積する段階と、
    上記シリコン/酸素含有材料を硬化して、上記第1の誘電体層に均一に分散された極微ガスポケットを形成する段階と、
    を含む請求項1に記載の方法。
  3. 第1の誘電体層を酸化させる上記ステップは、上記第1の誘電体材料を電子ビームで処置する段階を含む、請求項1に記載の方法。
  4. 電子ビームを使用して第1の誘電体材料を処置する上記段階は、処置される第1の誘電体の厚みを制御するようにカソード電圧を調整することを含む、請求項3に記載の方法。
  5. 第1の誘電体層を酸化させる上記ステップは、上記第1の誘電体材料を不活性雰囲気又は酸素雰囲気の1つにおいて紫外線(UV)エネルギーで処置する段階を含む、請求項1に記載の方法。
  6. 上記第2の誘電体材料は、上記逆のトレンチに非従順に堆積される誘電体バリア材料を含み、該誘電体バリア材料内にエアギャップが形成されてシールされるようにする、請求項1に記載の方法。
  7. エアギャップを有する誘電体構造体を形成する方法において、
    基板上に多孔性の誘電体層を堆積するステップと、
    上記多孔性の誘電体層にトレンチを形成するステップと、
    上記トレンチに導電性材料を充填するステップと、
    上記導電性材料を平坦化して上記多孔性の誘電体層を露出させるステップと、
    上記導電性材料及び上記露出された多孔性の誘電体層に誘電体バリア膜を堆積するステップと、
    上記誘電体バリア膜の上に硬質マスク層を堆積するステップと、
    上記誘電体バリア膜及び上記硬質マスク層にパターンを形成して基板の選択された領域を露出させるステップと、
    電子ビームを使用して基板を処置して、上記選択された領域において上記多孔性の誘電体層の少なくとも一部分を酸化させるステップと、
    上記多孔性の誘電体層の上記酸化された部分を除去して、上記導電性材料の周りに逆のトレンチを形成するステップと、
    上記逆のトレンチに第2の誘電体材料を堆積しながら上記逆のトレンチにエアギャップを形成するステップと、
    を備えた方法。
  8. 電子ビームを使用して基板を処置する前記ステップは、所望の厚みの上記多孔性の誘電体層を酸化する段階を含む、請求項7に記載の方法。
  9. 上記所望の厚みは、電子ビーム処理チャンバーのカソードに印加される電圧を調整することにより制御される、請求項8に記載の方法。
  10. 多孔性の誘電体層を堆積する上記ステップは、
    不安定な有機群を有するシリコン/酸素含有材料を堆積する段階と、
    上記シリコン/酸素含有材料を硬化して、上記第1の誘電体層に均一に分散された極微ガスポケットを形成する段階と、
    を含む請求項7に記載の方法。
  11. エアギャップを形成する上記ステップは、誘電体材料を上記逆のトレンチに非従順に堆積して、その誘電体バリア材料内にエアギャップが形成されシールされるようにする段階を含む、請求項7に記載の方法。
  12. エアギャップを有する誘電体構造体を形成する方法において、
    基板上に第1の誘電体層を堆積するステップと、
    上記第1の誘電体層上に第2の誘電体層を堆積するステップと、
    上記第1及び第2の誘電体層にトレンチ−ビア構造体を形成するステップであって、上記第1の誘電体層にはビアを形成し、上記第2の誘電体層にはトレンチを形成するステップと、
    上記トレンチ−ビア構造体に導電性材料を充填するステップと、
    上記導電性材料を平坦化して上記第2の誘電体層を露出させるステップと、
    上記導電性材料及び上記露出した第2の誘電体層に誘電体バリア膜を堆積するステップと、
    上記誘電体バリア膜及び硬質マスク層にパターンを形成して、基板の上記選択された領域を露出させるステップと、
    基板の上記選択された領域において上記第2の誘電体層を除去して、上記トレンチに充填された導電性材料の周りに逆のトレンチを形成するステップと、
    上記逆のトレンチに誘電体材料を堆積しながら上記逆のトレンチにエアギャップを形成するステップと、
    を備えた方法。
  13. 第2の誘電体層を堆積する上記ステップは、
    不安定な有機群を有するシリコン/酸素含有材料を堆積する段階と、
    上記シリコン/酸素含有材料を硬化して、上記第1の誘電体層に均一に分散された極微ガスポケットを形成する段階と、
    を含む請求項12に記載の方法。
  14. 第2の誘電体層を除去する上記ステップは、上記パターンにより露出された上記第2の誘電体層をエッチングする段階を含む、請求項12に記載の方法。
  15. 上記第1の誘電体層及び第2の誘電体層は、上記第1の誘電体層が、上記第2の誘電体層をエッチングする間にエッチングストッパとして働くように特性が異なる、請求項14に記載の方法。
JP2008263153A 2007-10-09 2008-10-09 Rc遅延を減少するために誘電体層にエアギャップを生成する方法及び装置 Active JP5501596B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/869,396 2007-10-09
US11/869,396 US7879683B2 (en) 2007-10-09 2007-10-09 Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay

Publications (2)

Publication Number Publication Date
JP2009094519A true JP2009094519A (ja) 2009-04-30
JP5501596B2 JP5501596B2 (ja) 2014-05-21

Family

ID=40523630

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008263153A Active JP5501596B2 (ja) 2007-10-09 2008-10-09 Rc遅延を減少するために誘電体層にエアギャップを生成する方法及び装置

Country Status (5)

Country Link
US (2) US7879683B2 (ja)
JP (1) JP5501596B2 (ja)
KR (1) KR101019356B1 (ja)
CN (1) CN101431046B (ja)
TW (1) TWI446486B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014086583A (ja) * 2012-10-24 2014-05-12 Toshiba Corp 半導体装置及びその製造方法
JP2017501591A (ja) * 2013-12-16 2017-01-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理システムを使用した空隙構造の組込

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008091900A1 (en) * 2007-01-26 2008-07-31 Applied Materials, Inc. Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
KR20090108721A (ko) * 2007-01-29 2009-10-16 어플라이드 머티어리얼스, 인코포레이티드 신규한 공기 갭 통합 방법
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
DE102008026134A1 (de) * 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
JP5396065B2 (ja) * 2008-10-28 2014-01-22 株式会社日立製作所 半導体装置の製造方法
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
KR20120025315A (ko) * 2010-09-07 2012-03-15 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8951911B2 (en) 2011-03-31 2015-02-10 Applied Materials, Inc. Process for damascene structure with reduced low-k damage
JP2012256785A (ja) * 2011-06-10 2012-12-27 Elpida Memory Inc 半導体装置及びその製造方法
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
CN103021929A (zh) * 2011-09-22 2013-04-03 中芯国际集成电路制造(北京)有限公司 半导体器件制造方法
CN103137550A (zh) * 2011-12-05 2013-06-05 中芯国际集成电路制造(上海)有限公司 在层间介质层自对准形成空隙的方法
KR101690392B1 (ko) * 2011-12-20 2016-12-27 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
CN107579038B (zh) * 2011-12-29 2021-02-26 英特尔公司 具有罩层的气隙互连以及形成的方法
KR101827893B1 (ko) 2012-02-22 2018-02-09 삼성전자주식회사 도전 라인 구조물 및 그 형성 방법
CN103367280B (zh) * 2012-03-26 2016-03-23 南亚科技股份有限公司 穿硅通孔结构及其制作方法
CN102969273A (zh) * 2012-10-25 2013-03-13 上海集成电路研发中心有限公司 一种具有空气隙的铜大马士革互连结构的形成方法
CN103871961B (zh) 2012-12-17 2017-08-25 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
CN104241249B (zh) * 2013-06-21 2017-03-22 中芯国际集成电路制造(上海)有限公司 硅通孔互连结构及其制作方法
KR102154112B1 (ko) * 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US10269634B2 (en) * 2013-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having voids and method of forming same
US20150162277A1 (en) 2013-12-05 2015-06-11 International Business Machines Corporation Advanced interconnect with air gap
US9214429B2 (en) * 2013-12-05 2015-12-15 Stmicroelectronics, Inc. Trench interconnect having reduced fringe capacitance
KR102146705B1 (ko) * 2013-12-23 2020-08-21 삼성전자주식회사 반도체 소자의 배선 구조물 및 그 형성 방법
US9230911B2 (en) * 2013-12-30 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
CN103839884A (zh) * 2014-03-10 2014-06-04 上海华虹宏力半导体制造有限公司 半导体器件结构及其形成方法
US9472453B2 (en) 2014-03-13 2016-10-18 Qualcomm Incorporated Systems and methods of forming a reduced capacitance device
US9370907B2 (en) * 2014-03-20 2016-06-21 Seagate Technology Llc Apparatuses and methods utilizing etch stop layers
US9385037B2 (en) * 2014-04-16 2016-07-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement comprising metal cap and dielectric layer defining air gap
US9679852B2 (en) * 2014-07-01 2017-06-13 Micron Technology, Inc. Semiconductor constructions
US9583380B2 (en) * 2014-07-17 2017-02-28 Globalfoundries Inc. Anisotropic material damage process for etching low-K dielectric materials
US9502293B2 (en) 2014-11-18 2016-11-22 Globalfoundries Inc. Self-aligned via process flow
KR102272553B1 (ko) * 2015-01-19 2021-07-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10096485B2 (en) * 2015-02-19 2018-10-09 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same
CN104795359A (zh) * 2015-04-13 2015-07-22 上海华力微电子有限公司 金属互连线间的介质层中形成空气隙的方法
KR20160122364A (ko) * 2015-04-14 2016-10-24 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102403741B1 (ko) * 2015-06-16 2022-05-30 삼성전자주식회사 반도체 장치
KR102383116B1 (ko) * 2015-08-27 2022-04-07 삼성디스플레이 주식회사 표시 장치 및 이를 포함하는 전자 기기
JP6828689B2 (ja) * 2015-10-16 2021-02-10 ソニー株式会社 半導体装置、および半導体装置の製造方法
US9728447B2 (en) 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-barrier deposition for air gap formation
KR102449199B1 (ko) 2015-12-14 2022-09-30 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102616823B1 (ko) * 2015-12-16 2023-12-22 삼성전자주식회사 반도체 장치
US9653348B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN106941091B (zh) * 2016-01-05 2021-03-05 联华电子股份有限公司 内连线结构、内连线布局结构及其制作方法
US9837355B2 (en) * 2016-03-22 2017-12-05 International Business Machines Corporation Method for maximizing air gap in back end of the line interconnect through via landing modification
JP6329199B2 (ja) * 2016-03-30 2018-05-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9831174B1 (en) * 2016-05-31 2017-11-28 Globalfoundries Inc. Devices and methods of forming low resistivity noble metal interconnect
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US11011371B2 (en) * 2016-12-22 2021-05-18 Applied Materials, Inc. SiBN film for conformal hermetic dielectric encapsulation without direct RF exposure to underlying structure material
US10727114B2 (en) * 2017-01-13 2020-07-28 International Business Machines Corporation Interconnect structure including airgaps and substractively etched metal lines
JP6685945B2 (ja) 2017-01-31 2020-04-22 キオクシア株式会社 半導体装置およびその製造方法
WO2018171399A1 (en) 2017-03-22 2018-09-27 The Hong Kong University Of Science And Technology Ic structure with air gaps and protective layer and method for manufacturing the same
TWI719316B (zh) 2017-06-12 2021-02-21 美商應用材料股份有限公司 利用鎢氧化還原之無縫鎢填充
US10937892B2 (en) 2018-09-11 2021-03-02 International Business Machines Corporation Nano multilayer carbon-rich low-k spacer
CN112582335B (zh) * 2019-09-29 2023-08-11 芯恩(青岛)集成电路有限公司 一种半导体器件及其制备方法
US11335638B2 (en) * 2020-04-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing RC delay in semiconductor devices

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09237834A (ja) * 1996-02-29 1997-09-09 Nec Corp 半導体装置の製造方法
JP2003297918A (ja) * 2002-03-29 2003-10-17 Hitachi Ltd 半導体装置およびその製造方法
JP2004172620A (ja) * 2002-11-15 2004-06-17 United Microelectronics Corp エアギャップを有する集積回路及びその製作方法
JP2004193431A (ja) * 2002-12-12 2004-07-08 Renesas Technology Corp 半導体装置およびその製造方法
JP2004221444A (ja) * 2003-01-17 2004-08-05 Nec Electronics Corp 半導体装置の製造方法
JP2005123607A (ja) * 2003-09-30 2005-05-12 Interuniv Micro Electronica Centrum Vzw エアーギャップを選択的に形成する方法及び当該方法により得られる装置
JP2005243695A (ja) * 2004-02-24 2005-09-08 Toshiba Corp 半導体装置の製造方法
JP2006344703A (ja) * 2005-06-08 2006-12-21 Hitachi Ltd 半導体装置およびその製造方法
JP2007027734A (ja) * 2005-07-12 2007-02-01 Stmicroelectronics (Crolles 2) Sas 相互接続エアキャビティの集積化制御および信頼性向上
WO2007032563A1 (ja) * 2005-09-16 2007-03-22 Nec Corporation 配線構造並びに半導体装置及びその製造方法
JP2007141985A (ja) * 2005-11-16 2007-06-07 Hitachi Ltd 半導体集積回路装置の製造方法

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6278798B1 (en) * 1993-08-09 2001-08-21 Texas Instruments Incorporated Image object recognition system and method
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
JPH11307633A (ja) 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
US6005607A (en) * 1995-06-29 1999-12-21 Matsushita Electric Industrial Co., Ltd. Stereoscopic computer graphics image generating apparatus and stereoscopic TV apparatus
AU736875B2 (en) 1997-01-21 2001-08-02 Georgia Tech Research Corporation Fabrication of a semiconductor device with air gaps for ultra-low capacitance interconnections
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6071805A (en) 1999-01-25 2000-06-06 Chartered Semiconductor Manufacturing, Ltd. Air gap formation for high speed IC processing
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
US6214719B1 (en) * 1999-09-30 2001-04-10 Novellus Systems, Inc. Method of implementing air-gap technology for low capacitance ILD in the damascene scheme
US6252290B1 (en) * 1999-10-25 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form, and structure of, a dual damascene interconnect device
FR2803092B1 (fr) * 1999-12-24 2002-11-29 St Microelectronics Sa Procede de realisation d'interconnexions metalliques isolees dans des circuits integres
US6287979B1 (en) 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20030176055A1 (en) * 2000-07-24 2003-09-18 United Microelectronics Corp. Method and structure for reducing capacitance between interconnect lines
KR100668810B1 (ko) * 2000-08-02 2007-01-16 주식회사 하이닉스반도체 알씨 딜레이를 개선한 반도체소자의 금속배선방법
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6984577B1 (en) 2000-09-20 2006-01-10 Newport Fab, Llc Damascene interconnect structure and fabrication method having air gaps between metal lines and metal layers
JP4850332B2 (ja) * 2000-10-18 2012-01-11 東京エレクトロン株式会社 デュアルダマシン構造のエッチング方法
US6753258B1 (en) 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
US6380106B1 (en) 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
US6403461B1 (en) * 2001-07-25 2002-06-11 Chartered Semiconductor Manufacturing Ltd. Method to reduce capacitance between metal lines
US6936183B2 (en) 2001-10-17 2005-08-30 Applied Materials, Inc. Etch process for etching microstructures
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6908829B2 (en) * 2002-03-11 2005-06-21 Intel Corporation Method of forming an air gap intermetal layer dielectric (ILD) by utilizing a dielectric material to bridge underlying metal lines
US7042095B2 (en) * 2002-03-29 2006-05-09 Renesas Technology Corp. Semiconductor device including an interconnect having copper as a main component
AU2003233470A1 (en) 2002-04-02 2003-10-20 Dow Global Technologies Inc. Process for making air gap containing semiconducting devices and resulting semiconducting device
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6780753B2 (en) 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US7138329B2 (en) * 2002-11-15 2006-11-21 United Microelectronics Corporation Air gap for tungsten/aluminum plug applications
US7449407B2 (en) * 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
US20040232552A1 (en) * 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US6838354B2 (en) 2002-12-20 2005-01-04 Freescale Semiconductor, Inc. Method for forming a passivation layer for air gap formation
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7060619B2 (en) * 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material
US7115517B2 (en) 2003-04-07 2006-10-03 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US7238604B2 (en) 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
KR20050008364A (ko) * 2003-07-15 2005-01-21 삼성전자주식회사 층간절연막 평탄화 방법
JP4427989B2 (ja) * 2003-07-22 2010-03-10 富士ゼロックス株式会社 微小構造体の製造方法
US6881668B2 (en) * 2003-09-05 2005-04-19 Mosel Vitel, Inc. Control of air gap position in a dielectric layer
JP2005109343A (ja) 2003-10-01 2005-04-21 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
US7125792B2 (en) * 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US7060638B2 (en) 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US7611996B2 (en) 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7547643B2 (en) 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7387939B2 (en) * 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
US7094689B2 (en) 2004-07-20 2006-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap interconnect structure and method thereof
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4410075B2 (ja) * 2004-09-28 2010-02-03 株式会社東芝 半導体装置およびその製造方法
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100745986B1 (ko) 2004-12-08 2007-08-06 삼성전자주식회사 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
DE102005020060B4 (de) * 2005-04-29 2012-02-23 Advanced Micro Devices, Inc. Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
US20070072412A1 (en) * 2005-09-27 2007-03-29 International Business Machines Corporation Preventing damage to interlevel dielectric
US20070099417A1 (en) 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
US20070134435A1 (en) 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
KR100707092B1 (ko) * 2005-12-29 2007-04-13 동부일렉트로닉스 주식회사 반도체 장치의 박막 및 금속 배선 형성 방법
KR100712813B1 (ko) * 2005-12-29 2007-04-30 동부일렉트로닉스 주식회사 반도체 장치의 제조 방법
AU2007227602A1 (en) * 2006-03-16 2007-09-27 Novartis Ag Heterocyclic organic compounds for the treatment of in particular melanoma
US20070249156A1 (en) * 2006-04-20 2007-10-25 Griselda Bonilla Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
EP2038928A2 (en) * 2006-06-21 2009-03-25 Nxp B.V. Semiconductor device and method of manufacturing a semiconductor device
KR100829603B1 (ko) * 2006-11-23 2008-05-14 삼성전자주식회사 에어 갭을 갖는 반도체 소자의 제조 방법
WO2008091900A1 (en) 2007-01-26 2008-07-31 Applied Materials, Inc. Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US7928003B2 (en) * 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09237834A (ja) * 1996-02-29 1997-09-09 Nec Corp 半導体装置の製造方法
JP2003297918A (ja) * 2002-03-29 2003-10-17 Hitachi Ltd 半導体装置およびその製造方法
JP2004172620A (ja) * 2002-11-15 2004-06-17 United Microelectronics Corp エアギャップを有する集積回路及びその製作方法
JP2004193431A (ja) * 2002-12-12 2004-07-08 Renesas Technology Corp 半導体装置およびその製造方法
JP2004221444A (ja) * 2003-01-17 2004-08-05 Nec Electronics Corp 半導体装置の製造方法
JP2005123607A (ja) * 2003-09-30 2005-05-12 Interuniv Micro Electronica Centrum Vzw エアーギャップを選択的に形成する方法及び当該方法により得られる装置
JP2005243695A (ja) * 2004-02-24 2005-09-08 Toshiba Corp 半導体装置の製造方法
JP2006344703A (ja) * 2005-06-08 2006-12-21 Hitachi Ltd 半導体装置およびその製造方法
JP2007027734A (ja) * 2005-07-12 2007-02-01 Stmicroelectronics (Crolles 2) Sas 相互接続エアキャビティの集積化制御および信頼性向上
WO2007032563A1 (ja) * 2005-09-16 2007-03-22 Nec Corporation 配線構造並びに半導体装置及びその製造方法
JP2007141985A (ja) * 2005-11-16 2007-06-07 Hitachi Ltd 半導体集積回路装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014086583A (ja) * 2012-10-24 2014-05-12 Toshiba Corp 半導体装置及びその製造方法
JP2017501591A (ja) * 2013-12-16 2017-01-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理システムを使用した空隙構造の組込

Also Published As

Publication number Publication date
KR20090036519A (ko) 2009-04-14
JP5501596B2 (ja) 2014-05-21
KR101019356B1 (ko) 2011-03-07
TWI446486B (zh) 2014-07-21
TW200929438A (en) 2009-07-01
US7879683B2 (en) 2011-02-01
CN101431046A (zh) 2009-05-13
US20110104891A1 (en) 2011-05-05
US20090093112A1 (en) 2009-04-09
CN101431046B (zh) 2011-03-30

Similar Documents

Publication Publication Date Title
JP5501596B2 (ja) Rc遅延を減少するために誘電体層にエアギャップを生成する方法及び装置
US20220173042A1 (en) Interconnect Structure with Vias Extending Through Multiple Dielectric Layers
JP4679193B2 (ja) 半導体装置の製造方法及び半導体装置
US7851384B2 (en) Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
KR101027216B1 (ko) 다중레벨 상호접속 구조물에서 공기 갭을 형성하는 방법
US7816253B2 (en) Surface treatment of inter-layer dielectric
TWI326903B (en) Method of manufacturing semiconductor device
JP5671253B2 (ja) 半導体装置の製造方法
JP2009194072A (ja) 半導体装置の製造方法
US10714344B2 (en) Mask formation by selectively removing portions of a layer that have not been implanted
US20070249164A1 (en) Method of fabricating an interconnect structure
US10128147B2 (en) Interconnect structure
US9502264B2 (en) Method for selective oxide removal
TW202111870A (zh) 用於混成特徵金屬化之方法與設備
JP4002704B2 (ja) 半導体装置の製造方法
US20220102143A1 (en) Metal Hard Masks for Reducing Line Bending
JP2007116167A (ja) 特徴画成部を形成する方法
TWI730165B (zh) 半導體結構與半導體結構的製造方法
JP2009141199A (ja) 半導体装置及びその製造方法
JP2005303191A (ja) 半導体装置の製造方法
JP2005327873A (ja) 半導体装置及びその製造方法
US20230041753A1 (en) Semiconductor Device and Method of Manufacture
JP4643975B2 (ja) 半導体装置の製造方法
CN116314013A (zh) 互连结构及其形成方法
JP2006059848A (ja) レジスト除去方法及び半導体装置の製造方法

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111007

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130711

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130716

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131015

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131018

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131025

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140312

R150 Certificate of patent or registration of utility model

Ref document number: 5501596

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250