JP4850332B2 - デュアルダマシン構造のエッチング方法 - Google Patents

デュアルダマシン構造のエッチング方法 Download PDF

Info

Publication number
JP4850332B2
JP4850332B2 JP2000317661A JP2000317661A JP4850332B2 JP 4850332 B2 JP4850332 B2 JP 4850332B2 JP 2000317661 A JP2000317661 A JP 2000317661A JP 2000317661 A JP2000317661 A JP 2000317661A JP 4850332 B2 JP4850332 B2 JP 4850332B2
Authority
JP
Japan
Prior art keywords
layer
hard mask
film
trench
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000317661A
Other languages
English (en)
Other versions
JP2002124568A (ja
Inventor
嘉英 木原
普 岡本
剛一郎 稲沢
智希 末正
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2000317661A priority Critical patent/JP4850332B2/ja
Priority to KR1020037005351A priority patent/KR100810788B1/ko
Priority to EP01970312A priority patent/EP1333483A4/en
Priority to PCT/JP2001/008623 priority patent/WO2002033747A1/ja
Priority to US10/399,626 priority patent/US7326650B2/en
Priority to TW090125009A priority patent/TW522490B/zh
Publication of JP2002124568A publication Critical patent/JP2002124568A/ja
Application granted granted Critical
Publication of JP4850332B2 publication Critical patent/JP4850332B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は,デュアルダマシン構造のエッチング方法に関する。
【0002】
【従来の技術】
近年,半導体集積回路の高集積化に伴い,多層構造を有する半導体装置の製造技術が急速に発展している。多層構造を有する半導体装置の場合には,水平方向に展開する各素子を接続するトレンチ配線とともに,垂直方向に展開する各素子を接続するビアホール配線を形成する必要がある。その際に,集積回路の高速化を図るために,最近では,配線材料としては,低抵抗でエレクトロマイグレーション耐性に優れた銅を用いている。層間絶縁材料としては,低誘電率を確保できるSiLKTM(米国ダウケミカル社製品)などの有機LowK材料がある。また,無機LowK材料である低誘電率の弗素添加酸化珪素膜(以下,FSG膜と称する)も使用されている。
【0003】
なお,蒸気圧が高い化合物を形成しにくい銅により配線パターンを形成する際には,金属CMP技術を利用して配線埋め込みを行う,いわゆるダマシン構造が採用されている。さらに,最近では,水平方向に展開する各素子を接続するトレンチ配線と垂直方向に展開する各素子を接続するビア配線とを同時に作りこむ,いわゆるデュアルダマシン構造の半導体素子が普及してきている。このデュアルダマシン構造を作りこむ際には,ハードマスクをパターン形成し,このハードマスクを用いて絶縁層をエッチングしてトレンチやビアを形成する。
【0004】
【発明が解決しようとする課題】
図7および図8に,従来のデュアルダマシン構造を形成する工程の一例を示す。図7(a)に示すように,保護膜としてのSiN層2の上部には層間絶縁膜層を構成する無機LowK膜としてのFSG層4,その上には有機LowK膜としてのSiLKTM層6,さらにトレンチおよびビアを形成するためのハードマスク層としての第1のハードマスクであるところのSiO層8および第2のハードマスクであるところのSiON層10,そしてトレンチ形成用のパターン形状を有するフォトレジスト(PR)層12が形成されている。
【0005】
まず,図7(b)に示すように,トレンチ用フォトレジスト(PR)層12を用いて,所定のリソグラフィ工程により,第2ハードマスクであるSiON層10をエッチングしてトレンチパターンを形成する。そして,図7(c)に示すように,ビア形成用のフォトレジスト(PR)層14を形成する。
【0006】
次に,図7(d)に示すように,ビア用フォトレジスト(PR)層14を用いて,所定のリソグラフィ工程により,第1ハードマスクであるSiO層8をエッチングしてビアパターンを形成する。
【0007】
そして,前工程で形成したビア用ハードマスクを用いて,図7(e)に示すように,有機LowK膜であるSiLKTM層6にビアをエッチングするとともに,フォトレジスト(PR)層14をアッシングして除去する。
【0008】
次に,図7(f)に示すように,第2ハードマスクであるSiON層10のトレンチパターンを用いて,第1ハードマスクであるSiO層8にトレンチパターンを形成し,さらにSiLKTM層6に形成されたビアをビアパターンとして利用して,FSG層4にビアを形成する。
【0009】
次に,図8(a)に示すように,第1ハードマスクであるSiO層8および第2ハードマスクであるSiON層10のトレンチパターンを用いて,SiLKTM層6にトレンチパターンを形成する。
【0010】
次に,図8(b)に示すように,FSG層4のビアパターンを利用して,SiN層2をエッチングして,ビアを貫通させる。以上のようにして,トレンチとビアを同時に造りこむデュアルダマシン構造が完成する。さらに,不図示のCuまたはCuを含む金属をトレンチとビアに埋め込むことにより,配線工程が完了する。
【0011】
しかしながら,上記で説明した工程の中で,ハードマスクが露出する工程では,ハードマスクの肩の部分が削れて斜めになる,いわゆる肩落ちが生じやすかった。例えば図7(f)に示す工程では,フォトレジスト(PR)層14を除去した後,オーバーエッチングにより,露出した第1ハードマスクのSiO層8および第2ハードマスクのSiON層10に,図9に示すような,肩落ちが生じる。
【0012】
このうち,第2ハードマスクのSiON層10に生じた肩落ちは,後工程で改善されることはなく,後工程でのエッチングでさらに悪化する傾向にある。ハードマスクに肩落ちが生じると,CMPなどの後工程でパターンの密な部分でオーバーポリッシュ(dishing)が発生し,配線ショートの原因になるという問題点があった。
【0013】
本発明は,上記問題点に鑑みてなされたものであり,ハードマスクの肩落ちを抑制可能なデュアルダマシン構造のエッチング方法を提供することを目的としている。
【0014】
【課題を解決するための手段】
上記課題を解決するために,本発明によれば,請求項1に記載のように,下層配線層上に順次積層された無機LowK膜と有機LowK膜と第1ハードマスクと第2ハードマスクとを被エッチング対象として,前記無機LowK膜層にビアが形成され前記有機LowK膜層にトレンチが形成されるデュアルダマシン構造のエッチング方法であって:第2ハードマスクにトレンチ用フォトレジスト層を用いたリソグラフィ工程によりトレンチパターンを形成する第1工程と;前記トレンチ用フォトレジスト層を除去する第2工程と;前記第2ハードマスクから成るトレンチパターンに,下層及び上層の2層構造からなる第3ハードマスクを被覆する第3工程と;前記第3ハードマスクおよび前記第1ハードマスクにビア用フォトレジスト層を用いたリソグラフィ工程によりビアパターンを形成する第4工程と;同一のエッチング条件により,前記第3ハードマスクおよび前記第1ハードマスクから成るビアパターンにより有機LowK膜にビアを形成するとともに,前記ビア用フォトレジスト層をアッシングして除去する第5工程と;前記第3ハードマスクの下層に対し高選択比が得られる条件で前記第3ハードマスクの上層を除去する第6工程と;同一のエッチング条件により,前記第3ハードマスクの下層を除去するとともに,前記第2ハードマスクから成るトレンチパターンにより前記第1ハードマスクにトレンチパターンを形成し,さらに前記有機LowK膜に形成されたビアをビアパターンとして利用して前記無機LowK膜にビアを形成する第7工程と;前記第1および第2ハードマスクから成るトレンチパターンにより前記有機LowK膜にトレンチを形成する第8工程と;から成ることを特徴とする,デュアルダマシン構造のエッチング方法が提供される。
【0015】
無機LowK膜としては,例えばFSGを用いることができ,有機LowK膜としては,例えばSiLKTMを用いることができる。
【0017】
かかる構成によれば,第3ハードマスクが露出する工程において,第3ハードマスクに肩落ちが生じても,第3ハードマスクの上層を除去し,肩落ちの無いハードマスクを再び表出することができる。また,第3ハードマスクが保護膜として働くため,第2ハードマスクの肩落ちを抑制できる。
【0018】
なお,請求項に記載のように,前記第3ハードマスクの上層はSiONから成り,下層はSiO から成るようにしてもよい。SiONは反射防止膜としても働くのでリソグラフィの際,寸法が安定するという効果もある。
【0023】
【発明の実施の形態】
以下に,添付図面を参照しながら,本発明にかかるエッチング方法の好適な実施形態について説明する。なお以下の説明および添付図面において,略同一の機能構成を有する部材については同一の符号を付することにより重複説明を省略することにする。
【0024】
まず,図1を参照しながら本実施の形態にかかるエッチング方法を実施するためのエッチング装置の一例として,平行平板型のプラズマエッチング装置の概略構成について説明する。
【0025】
同図に示すエッチング装置100の保安接地された処理容器102内には,処理室104が形成されており,この処理室104内には,上下動自在なサセプタを構成する下部電極106が配置されている。下部電極106の上部には,高圧直流電源108に接続された静電チャック110が設けられており,この静電チャック110の上面に被処理体,例えば半導体ウェハ(以下,ウェハと称する)Wが載置される。さらに,下部電極106上に載置されたウェハWの周囲には,絶縁性のフォーカスリング112が配置されている。また,下部電極106には,整合器118を介して高周波電源120が接続されている。
【0026】
また,下部電極106の載置面と対向する処理室104の天井部には,多数のガス吐出孔122aを備えた上部電極122が配置されている。上部電極122と処理容器102との間には絶縁体123が介装され電気的に絶縁されている。また,上部電極122には,整合器119を介してプラズマ生成高周波電力を出力する高周波電源121が接続されている。また,ガス吐出孔122aには,ガス供給管124が接続され,さらにそのガス供給管124には,プロセスガス供給系126が接続されている。プロセスガス供給系126には,開閉バルブ132と流量調整バルブ134を介してプロセスガスを供給するガス供給源136が接続されている。
【0027】
また,処理容器102の下方には,不図示の真空引き機構と連通する排気管150が接続されており,その真空引き機構の作動により,処理室104内を所定の減圧雰囲気に維持することができる。
【0028】
次に,上記エッチング装置を用いて,本発明の第1の実施の形態にかかるデュアルダマシン構造を形成する工程について,図2および図3を参照しながら説明する。図2(a)に示すように,保護膜としてのSiN層202(膜厚50nm)の上部には層間絶縁膜層を構成する無機LowK膜としてのFSG層204(膜厚500nm),その上には有機LowK膜としてのSiLKTM層206(膜厚400nm),さらにトレンチおよびビアを形成するためのハードマスク層としての第1のハードマスクであるところのSiO層208(膜厚100nm)および第2のハードマスクであるところのSiON層210(膜厚100nm),そしてトレンチ形成用のパターン形状を有するフォトレジスト(PR)層212が形成されている。
【0029】
まず,図2(b)に示すように(第1工程),トレンチ用フォトレジスト(PR)層212を用いて,所定のリソグラフィ工程により,第2ハードマスクであるSiON層210をエッチングしてトレンチパターンを形成する。このときのエッチング条件としては,例えば,処理室内の圧力雰囲気を50mT,電極に印加する電力を500W,混合ガスCH,O,Arの流量をそれぞれ20sccm,20sccm,100sccmとする。
【0030】
残余のフォトレジスト(PR)層212をアッシングして除去した後,図2(c)に示すように(第2工程),第2ハードマスクからなるトレンチパターンに,第3ハードマスクを被覆する。本実施の形態では,第3ハードマスクは下層のOxide層214(膜厚10nm未満),上層のSiON層216(膜厚10nm未満)の2層からなる薄膜である。ここで,Oxide層214,SiON層216はCVD(Chemical Vapor Deposition)で形成することができるが,スピンコートにより形成することもできる。スピンコートの場合は,非常に粘度の低い無機SOGを約5000rpmで塗布して400℃で硬化させることにより形成できる。さらに,図2(d)に示すように,その上にビア形成用のフォトレジスト(PR)層218を形成する。
【0031】
次に,図2(e)に示すように(第3工程),ビア用フォトレジスト(PR)層218を用いて,所定のリソグラフィ工程により,第3ハードマスクであるOxide層214,SiON層216および第1ハードマスクであるSiO層208をエッチングしてビアパターンを形成する。このときのエッチング条件としては,例えば,処理室内の圧力雰囲気を40mT,電極に印加する電力を1500W,混合ガスCF,O,Arの流量をそれぞれ80sccm,20sccm,160sccmとする。
【0032】
そして,第3工程で形成した第3ハードマスクおよび第1ハードマスクからなるビア用ハードマスクを用いて,図2(f)に示すように(第4工程),有機LowK膜であるSiLKTM層206にビアをエッチングするとともに,フォトレジスト(PR)層218をアッシングして除去する。このときのエッチング条件としては,例えば,処理室内の圧力雰囲気を100mT,電極に印加する電力を1000W,混合ガスN,Hの流量をそれぞれ100sccm,300sccmとする。この際に,フォトレジスト(PR)層218が除去された後,オーバーエッチングにより,露出した第3ハードマスクに肩落ちが生ずることがある。
【0033】
そこで,図3(a)に示すように(第5工程),肩落ちが生じてしまった第3ハードマスクの上層であるSiON層216を等方性エッチングで除去する。このエッチングではイオンのエネルギーはできるだけ小さくし,かつSiOに対し高選択比が得られる条件,あるいはウェットエッチングを選ぶ。上層のSiON層216を除去した後も,下層のOxide層214は残っているため,肩落ちのない第3ハードマスクを再び表出することができる。
【0034】
次に,図3(b)に示すように(第6工程),同一のエッチング条件で,第3ハードマスクの下層であるOxide層214を除去するとともに,第2ハードマスクであるSiON層210のトレンチパターンを用いて,第1ハードマスクであるSiO層208にトレンチパターンを形成し,さらにSiLKTM層206に形成されたビアをビアパターンとして利用して,FSG層204にビアを形成する。このときのエッチング条件としては,例えば,処理室内の圧力雰囲気を45mT,電極に印加する電力を1500W,混合ガスC,CO,Arの流量をそれぞれ12sccm,225sccm,400sccmとする。
【0035】
次に,図3(c)に示すように(第7工程),第1ハードマスクであるSiO層208および第2ハードマスクであるSiON層210のトレンチパターンを用いて,SiLKTM層206にトレンチパターンを形成する。
【0036】
次に,図3(d)に示すように,FSG層204のビアパターンを利用して,SiN層202をエッチングして,ビアを貫通させる。このときのエッチング条件としては,例えば,処理室内の圧力雰囲気を30mT,電極に印加する電力を500W,混合ガスCH,O,Arの流量をそれぞれ20sccm,20sccm,100sccmとする。以上のようにして,トレンチとビアを同時に造りこむデュアルダマシン構造が完成する。さらに,不図示のCuまたはCuを含む金属をトレンチとビアに埋め込むことにより,配線工程が完了する。
【0037】
なお,エッチングに用いた混合ガスの各膜に対する相対的なエッチング能力を図6に示す。図中の○,△,×は順にエッチング能力が高い,中位,低いを意味する。×は化学的なエッチング能力はないが,物理的なエッチング能力が若干ある。
【0038】
以上述べたように,第3ハードマスクをトレンチパターン用の第2ハードマスクに被覆することにより,従来の工程では露出していた第1および第2ハードマスクを保護し,両ハードマスクに肩落ちができるのを抑制することができる。また,第3ハードマスクを2層構造としたことにより,工程中で肩落ちの生じた第3ハードマスクの上層のみを除去し,再び肩落ちの無いハードマスクを表出することができる。これらのことは,従来であれば肩落ちの影響が大きいトレンチ幅0.18μm,ビア径0.13μm程度以下の場合に,一段と有効である。加えて,第3ハードマスクの上層であるSiONは反射防止膜としても働くのでリソグラフィの際,寸法が安定するという効果もある。
【0039】
なお,変形例として,第5工程で第3ハードマスクの上層であるSiON層216を除去しないで,あるいは一部のみ除去し,第6工程で残余のSiON層216全てを下層のOxide層214とともに除去する方法も考えられる。SiON層216の一部を残して,第6工程を行うことにより,第6工程でのエッチングの際,第1ハードマスクがあまりエッチングされない。よって,上記の効果に加えて,SiLKTM層206に形成されたビアの肩落ちを抑制する効果も得られる。
【0040】
以下に,図4および図5を参照しながら,図1のエッチング装置を用いて,本発明の第2の実施の形態にかかるデュアルダマシン構造を形成する工程について説明する。図4(a)に示すように,保護膜としてのSiN層202(膜厚50nm)の上部には層間絶縁膜層を構成する無機LowK膜としてのFSG層204(膜厚500nm),その上には有機LowK膜としてのSiLKTM層206(膜厚400nm),さらにトレンチおよびビアを形成するためのハードマスク層としての第1のハードマスクであるところのSiON層308(膜厚200nm),そしてトレンチ形成用のパターン形状を有するフォトレジスト(PR)層212が形成されている。
【0041】
まず,図4(b)に示すように(第1工程),トレンチ用フォトレジスト(PR)層212を用いて,所定のリソグラフィ工程により,第1ハードマスクであるSiON層308の一部をエッチングしてトレンチパターンを形成する。このときのエッチング条件としては,例えば,処理室内の圧力雰囲気を50mT,電極に印加する電力を500W,混合ガスCH,N,Arの流量をそれぞれ20sccm,100sccm,100sccmとする。
【0042】
残余のフォトレジスト(PR)層212をアッシングして除去した後,図4(c)に示すように(第2工程),第1ハードマスクからなるトレンチパターンに,第2のハードマスクを被覆する。本実施の形態では,第2ハードマスクはSiON層316(膜厚10nm未満)からなる薄膜である。さらに,図4(d)に示すように,その上にビア形成用のフォトレジスト(PR)層218を形成する。第1工程において第1ハードマスクの一部がオーバーエッチングされてSiLKTM層206が露出していても,この第2工程において,第1ハードマスクと同一材料の第2ハードマスクを第1ハードマスクに被覆することにより,露出したSiLKTM層206を再び覆うことができる。したがってSiLK−Resistのミキシングが生じないという利点がある。
【0043】
次に,図4(e)に示すように(第3工程),ビア用フォトレジスト(PR)層218を用いて,所定のリソグラフィ工程により,第2ハードマスクであるSiON層316および第1ハードマスクのSiON層308の残余部分をエッチングしてビアパターンを形成する。
【0044】
そして,第3工程で形成した第2ハードマスクおよび第1ハードマスクからなるビア用ハードマスクを用いて,図4(f)に示すように(第4工程),有機LowK膜であるSiLKTM層206にビアをエッチングするとともに,フォトレジスト(PR)層218をアッシングして除去する。このときのエッチング条件としては,例えば,処理室内の圧力雰囲気を100mT,電極に印加する電力を1000W,混合ガスN,Hの流量をそれぞれ100sccm,300sccmとする。この際に,フォトレジスト(PR)層218が除去された後,オーバーエッチングにより,露出した第2ハードマスクに肩落ちが生ずることがある。
【0045】
そこで,図5(a)に示すように(第5工程),肩落ちが生じてしまった第2ハードマスクのSiON層316を除去する。この工程では,第2ハードマスクであるSiON層316の除去とともに,第1ハードマスクであるSiON層308のビアパターン部分をエッチングしてトレンチパターンを形成する。
【0046】
次に,図5(b)に示すように(第6工程),有機LowK膜であるSiLKTM層206に形成されたビアをビアパターンとして利用して,FSG層204にビアを形成する。このときのエッチング条件としては,例えば,処理室内の圧力雰囲気を45mT,電極に印加する電力を1500W,混合ガスC,CO,Arの流量をそれぞれ12sccm,225sccm,400sccmとする。
【0047】
次に,図5(c)に示すように(第7工程),第1ハードマスクであるSiON層308のトレンチパターンを用いて,SiLKTM層206にトレンチパターンを形成する。
【0048】
次に,図5(d)に示すように,FSG層204のビアパターンを利用して,SiN層202をエッチングして,ビアを貫通させる。このときのエッチング条件としては,例えば,処理室内の圧力雰囲気を30mT,電極に印加する電力を500W,混合ガスCH,O,Arの流量をそれぞれ20sccm,20sccm,100sccmとする。以上のようにして,トレンチとビアを同時に造りこむデュアルダマシン構造が完成する。さらに,不図示のCuまたはCuを含む金属をトレンチとビアに埋め込むことにより,配線工程が完了する。
【0049】
以上述べたように,本実施の形態によれば,第1ハードマスクのトレンチパターンに第2ハードマスクを被覆している。よって,工程の途中まで,第2ハードマスクは第1ハードマスクの保護膜として働き,第1ハードマスクに肩落ちが生じるのを抑制することができる。このことは,従来であれば肩落ちの影響が大きいトレンチ幅0.18μm,ビア径0.13μm程度以下の場合に,一段と有効である。また,第1および第2ハードマスクを同一材料とすることにより,第1工程において,第1ハードマスクの一部がオーバーエッチングされてSiLK 層206が露出しても,SiLK-Resistのミキシングが生じないという利点がある。加えて,第2ハードマスクであるSiONは反射防止膜としても働くのでリソグラフィの際,寸法が安定するという効果もある。
【0050】
なお,変形例として,次のような方法も考えられる。前記の第5工程で第2ハードマスクのSiON層316を除去せず,また,第6工程でのFSG層204のビア形成用エッチング時にもSiON層316を残しておく。そして,SiN層202をエッチングして,ビアを貫通させる。エッチング終了後,等方性エッチングでSiON層316を除去し,その後異方性のエッチバックを行い,トレンチ部に残ったSiON層308を除去する。この場合,最下層のSiN層202のエッチングをSiON層308がトレンチ部に残っている段階で行うことができ,SiONはSiLKTMよりもSiNエッチに対する選択比が高いため,前記の方法での図5(c)から図5(d)の際に発生するビア開孔上部の肩落ちが抑えられるという利点がある。
【0051】
以上,添付図面を参照しながら,本実施の形態にかかる好適な実施の形態について説明したが,本発明はかかる例に限定されない。当業者であれば,特許請求の範囲に記載された技術的範囲の範疇内において各種の変更例または修正例に想到し得ることは明らかであり,それらについても当然に本発明の技術的範囲に属するものと了解される。
【0052】
例えば,本実施の形態にかかるエッチング方法を実施するための装置として図1に示すプラズマエッチング装置を例にあげて説明したが,本発明はかかる例に限定されない。例えば,平行平板型エッチング装置のほかにも,各種プラズマ源を利用したエッチング装置を適用することが可能であることはいうまでもない。
【0053】
また,上記実施の形態においては,有機LowK膜としてSiLKTMを用い,無機LowK膜としてFSGを用いた例を挙げて説明したが,本発明はかかる例に限定されない。有機LowK膜としては,ポリフッ化ナフタレンポリマー膜,マレイミドベンゾシクロブテンポリマー膜,ポリパーフロロシクロブテンアロマティックエーテル膜,ポリイミド膜,ポリアリルエーテル膜,パリレン膜,水素化ダイアモンド膜あるいはポリテトラフルオロエチレンにも適用できる。さらに,有機高分子膜中にシリカが一部置換添加されているようなジビニルシロキサンベンゾシクロブテンポリマー膜,シリカ添加ポリイミド膜などにも適用できる。
【0054】
さらに,上記の第1の実施の形態においては,有機LowK膜の上に形成されるマスク層として,第1のハードマスクとしてSiOおよび第2のハードマスクとしてSiONを例に挙げて説明したが,本発明はかかる例に限定されない。有機LowK膜の上に形成されるマスク層,いわゆるハードマスクとしては,第1のハードマスクとしてシリコン酸化膜(SiO)以外に,シリコン窒化膜(SiN),シリコンカーバイト(SiC),ポーラスシリコン窒化膜,シリコン酸窒化膜(SiON),アルミナイトライド(AlN)あるいはシリカ膜といった絶縁膜の他に,チタンナイトライド(TiN),タンタルナイトライド(TaN)といった金属窒化膜やチタンカーバイト膜(TiC)を用いることができる。但し,TiN膜やTaN膜といった導電性窒化膜を用いた場合には,かかる配線溝とビアに銅を埋め込んだ後,導電性窒化膜を化学機械研磨法やドライエッチング法で除去する必要がある。また,第2のハードマスクとしてSiON以外に,シリコン酸化膜(SiO),シリコン窒化膜(SiN)ポーラスシリカ膜,シリコンカーバイト膜といった絶縁膜やチタンナイトライド(TiN),タンタルナイトライド(TaN)といった金属窒化膜やチタンカーバイト膜(TiC)を用いることができる。これらのハードマスクの選定において肝要なことは,第1のハードマスクと第2のハードマスクの材料が異なることである。
【0055】
さらに,上記2つの実施の形態においては,第7工程において,SiLKTM層206にトレンチパターンを形成した後,SiN層202にビアを貫通させた場合を例に挙げて説明したが,本発明はかかる例に限定されない。先にSiN層202にビアを貫通させてから,SiLKTM層206にトレンチパターンを形成してもよい。また,エッチング条件,膜厚等も上記例に限定されるものではない。
【0056】
【発明の効果】
以上説明したように,本発明にかかる構成によれば,デュアルダマシン構造形成時に,従来のトレンチ形成用のハードマスクの上に,保護用の薄膜のハードマスクを被覆し,後に除去する。これより,工程中で従来のトレンチ形成用のハードマスクが露出して肩落ちが生じるのを抑制する効果が得られる。その結果,ハードマスクの肩部は理想的な直角に近い形状に形成され,所望の配線構造を得ることができる。
【図面の簡単な説明】
【図1】本発明を適用可能なエッチング装置の概略構成図である。
【図2】本実施形態にかかるエッチング方法の工程図である。
【図3】本実施形態にかかるエッチング方法の工程図である。
【図4】本実施形態にかかるエッチング方法の工程図である。
【図5】本実施形態にかかるエッチング方法の工程図である。
【図6】混合ガスの各膜に対するエッチング能力を示す図である。
【図7】従来のエッチング方法の工程図である。
【図8】従来のエッチング方法の工程図である。
【図9】ハードマスクの肩落ちを示す部分拡大図である。
【符号の説明】
100 エッチング装置
102 処理容器
104 処理室
106 下部電極
108 高圧電流電源
110 静電チャック
112 フォーカスリング
118 整合器
119 整合器
120 高周波電源
121 高周波電源
122 上部電極
122a ガス供給孔
123 絶縁体
124 ガス供給管
126 ガス供給系
132 開閉バルブ
134 流量調整バルブ
136 ガス供給源
150 排気管
202 SiN層
204 FSG層
206 SiLKTM
208 SiO層(第1ハードマスク層)
210 SiON層(第2ハードマスク層)
212 トレンチ用フォトレジスト(PR)層
214 Oxide層(第3ハードマスク下層)
216 SiON層(第3ハードマスク上層)
218 ビア用フォトレジスト(PR)層
W ウェハ

Claims (2)

  1. 下層配線層上に順次積層された無機LowK膜と有機LowK膜と第1ハードマスクと第2ハードマスクとを被エッチング対象として,前記無機LowK膜層にビアが形成され前記有機LowK膜層にトレンチが形成されるデュアルダマシン構造のエッチング方法であって:
    第2ハードマスクにトレンチ用フォトレジスト層を用いたリソグラフィ工程によりトレンチパターンを形成する第1工程と;
    前記トレンチ用フォトレジスト層を除去する第2工程と;
    前記第2ハードマスクから成るトレンチパターンに,下層及び上層の2層構造からなる第3ハードマスクを被覆する第3工程と;
    前記第3ハードマスクおよび前記第1ハードマスクにビア用フォトレジスト層を用いたリソグラフィ工程によりビアパターンを形成する第4工程と;
    同一のエッチング条件により,前記第3ハードマスクおよび前記第1ハードマスクから成るビアパターンにより有機LowK膜にビアを形成するとともに,前記ビア用フォトレジスト層をアッシングして除去する第5工程と;
    前記第3ハードマスクの下層に対し高選択比が得られる条件で前記第3ハードマスクの上層を除去する第6工程と;
    同一のエッチング条件により,前記第3ハードマスクの下層を除去するとともに,前記第2ハードマスクから成るトレンチパターンにより前記第1ハードマスクにトレンチパターンを形成し,さらに前記有機LowK膜に形成されたビアをビアパターンとして利用して前記無機LowK膜にビアを形成する第7工程と;
    前記第1および第2ハードマスクから成るトレンチパターンにより前記有機LowK膜にトレンチを形成する第8工程と;
    から成ることを特徴とする,デュアルダマシン構造のエッチング方法。
  2. 前記第3ハードマスクの上層はSiONから成り,下層はSiO から成ることを特徴とする,請求項1に記載のデュアルダマシン構造のエッチング方法。
JP2000317661A 2000-10-18 2000-10-18 デュアルダマシン構造のエッチング方法 Expired - Fee Related JP4850332B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2000317661A JP4850332B2 (ja) 2000-10-18 2000-10-18 デュアルダマシン構造のエッチング方法
KR1020037005351A KR100810788B1 (ko) 2000-10-18 2001-10-01 듀얼 다마신 구조의 에칭 방법
EP01970312A EP1333483A4 (en) 2000-10-18 2001-10-01 DOUBLE DAMASCELLING STRUCTURE ATTACK METHOD
PCT/JP2001/008623 WO2002033747A1 (fr) 2000-10-18 2001-10-01 Procede d'attaque de structure en double damasquinage
US10/399,626 US7326650B2 (en) 2000-10-18 2001-10-01 Method of etching dual damascene structure
TW090125009A TW522490B (en) 2000-10-18 2001-10-09 Etching method with dual-damascene structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000317661A JP4850332B2 (ja) 2000-10-18 2000-10-18 デュアルダマシン構造のエッチング方法

Publications (2)

Publication Number Publication Date
JP2002124568A JP2002124568A (ja) 2002-04-26
JP4850332B2 true JP4850332B2 (ja) 2012-01-11

Family

ID=18796413

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000317661A Expired - Fee Related JP4850332B2 (ja) 2000-10-18 2000-10-18 デュアルダマシン構造のエッチング方法

Country Status (6)

Country Link
US (1) US7326650B2 (ja)
EP (1) EP1333483A4 (ja)
JP (1) JP4850332B2 (ja)
KR (1) KR100810788B1 (ja)
TW (1) TW522490B (ja)
WO (1) WO2002033747A1 (ja)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5023413B2 (ja) * 2001-05-11 2012-09-12 ソニー株式会社 半導体装置およびその製造方法
JP3944838B2 (ja) 2002-05-08 2007-07-18 富士通株式会社 半導体装置及びその製造方法
JP2004055781A (ja) * 2002-07-19 2004-02-19 Sony Corp 半導体装置の製造方法
CN1327508C (zh) * 2003-04-28 2007-07-18 富士通株式会社 半导体装置的制造方法
US7125792B2 (en) * 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US7091612B2 (en) 2003-10-14 2006-08-15 Infineon Technologies Ag Dual damascene structure and method
KR100519250B1 (ko) 2003-12-04 2005-10-06 삼성전자주식회사 반도체 소자의 금속배선용 패턴 형성방법
JP2005203672A (ja) 2004-01-19 2005-07-28 Sony Corp 半導体装置の製造方法
JP2006179515A (ja) 2004-12-20 2006-07-06 Oki Electric Ind Co Ltd 半導体素子の製造方法、及びエッチング方法
KR100695431B1 (ko) * 2005-06-22 2007-03-15 주식회사 하이닉스반도체 반도체 소자의 컨택홀 형성방법
KR100674982B1 (ko) * 2005-07-06 2007-01-29 삼성전자주식회사 반도체 소자의 제조방법
US7381343B2 (en) * 2005-07-08 2008-06-03 International Business Machines Corporation Hard mask structure for patterning of materials
KR100739530B1 (ko) 2006-06-07 2007-07-13 삼성전자주식회사 큰 종횡비의 콘택홀을 갖는 반도체장치의 제조 방법
US7884026B2 (en) * 2006-07-20 2011-02-08 United Microelectronics Corp. Method of fabricating dual damascene structure
JP2008089817A (ja) 2006-09-29 2008-04-17 Oki Electric Ind Co Ltd フォトマスク及びそれを用いた半導体素子の配線パターン形成方法
US8420670B2 (en) * 2007-08-22 2013-04-16 Abbott Laboratories 4-benzylaminoquinolines, pharmaceutical compositions containing them, and their use in therapy
JP2009059903A (ja) * 2007-08-31 2009-03-19 Sharp Corp 半導体装置の製造方法
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
MX2010010773A (es) 2008-04-01 2011-04-11 Abbott Gmbh & Co Kg Tetrahidroisoquinolinas, composiciones farmaceuticas que las contienen y su uso en terapia.
TW201038569A (en) 2009-02-16 2010-11-01 Abbott Gmbh & Co Kg Heterocyclic compounds, pharmaceutical compositions containing them, and their use in therapy
AR075442A1 (es) 2009-02-16 2011-03-30 Abbott Gmbh & Co Kg Derivados de aminotetralina, composiciones farmaceuticas que las contienen y sus usos en terapia
JP2010283213A (ja) * 2009-06-05 2010-12-16 Tokyo Electron Ltd 基板処理方法
JP5600447B2 (ja) * 2010-03-05 2014-10-01 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8846743B2 (en) 2010-08-13 2014-09-30 Abbott Laboratories Aminoindane derivatives, pharmaceutical compositions containing them, and their use in therapy
US8877794B2 (en) 2010-08-13 2014-11-04 Abbott Laboratories Phenalkylamine derivatives, pharmaceutical compositions containing them, and their use in therapy
US9051280B2 (en) 2010-08-13 2015-06-09 AbbVie Deutschland GmbH & Co. KG Tetraline and indane derivatives, pharmaceutical compositions containing them, and their use in therapy
US8883839B2 (en) 2010-08-13 2014-11-11 Abbott Laboratories Tetraline and indane derivatives, pharmaceutical compositions containing them, and their use in therapy
US9045459B2 (en) 2010-08-13 2015-06-02 AbbVie Deutschland GmbH & Co. KG Phenalkylamine derivatives, pharmaceutical compositions containing them, and their use in therapy
US8586478B2 (en) * 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
US9309200B2 (en) 2011-05-12 2016-04-12 AbbVie Deutschland GmbH & Co. KG Benzazepine derivatives, pharmaceutical compositions containing them, and their use in therapy
EP2739614B1 (en) 2011-08-05 2017-02-22 AbbVie Deutschland GmbH & Co KG AMINOCHROMANEs, PHARMACEUTICAL COMPOSITIONS CONTAINING THEM, AND THEIR USE IN THERAPY
MX2014006004A (es) 2011-11-18 2015-04-16 Abbvie Deutschland Derivados de aminobenzociclohepteno, aminotetralina, aminoindano y fenalcilamina n-sustituidas, composiciones farmaceuticas que los contienen, y su uso en terapia.
US9365512B2 (en) 2012-02-13 2016-06-14 AbbVie Deutschland GmbH & Co. KG Isoindoline derivatives, pharmaceutical compositions containing them, and their use in therapy
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US9656955B2 (en) 2013-03-15 2017-05-23 Abbvie Inc. Pyrrolidine derivatives, pharmaceutical compositions containing them, and their use in therapy
US9650334B2 (en) 2013-03-15 2017-05-16 Abbvie Inc. Pyrrolidine derivatives, pharmaceutical compositions containing them, and their use in therapy
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
KR20160062165A (ko) 2013-10-17 2016-06-01 아비에 도이치란트 게엠베하 운트 콤파니 카게 아미노테트랄린 및 아미노인단 유도체, 이들을 포함하는 약제학적 조성물, 및 치료에서 이들의 용도
AU2014336153A1 (en) 2013-10-17 2016-04-28 AbbVie Deutschland GmbH & Co. KG Aminochromane, aminothiochromane and amino-1,2,3,4-tetrahydroquinoline derivatives, pharmaceutical compositions containing them, and their use in therapy

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5821169A (en) * 1996-08-05 1998-10-13 Sharp Microelectronics Technology,Inc. Hard mask method for transferring a multi-level photoresist pattern
JPH1065003A (ja) * 1996-08-13 1998-03-06 Sony Corp 微細接続孔の形成方法
JPH10294367A (ja) * 1997-04-21 1998-11-04 Sony Corp 半導体装置の製造方法
US6066569A (en) 1997-09-30 2000-05-23 Siemens Aktiengesellschaft Dual damascene process for metal layers and organic intermetal layers
JP3078812B1 (ja) * 1998-03-26 2000-08-21 松下電器産業株式会社 配線構造体の形成方法
JP3501280B2 (ja) * 1998-08-31 2004-03-02 富士通株式会社 半導体装置の製造方法
US6060380A (en) * 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
US6312874B1 (en) * 1998-11-06 2001-11-06 Advanced Micro Devices, Inc. Method for forming a dual damascene trench and underlying borderless via in low dielectric constant materials
JP2001077196A (ja) 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6331479B1 (en) * 1999-09-20 2001-12-18 Chartered Semiconductor Manufacturing Ltd. Method to prevent degradation of low dielectric constant material in copper damascene interconnects
JP2001156170A (ja) * 1999-11-30 2001-06-08 Sony Corp 多層配線の製造方法
JP2002026122A (ja) * 2000-07-04 2002-01-25 Sony Corp 半導体装置の製造方法
US6380073B1 (en) * 2000-08-29 2002-04-30 United Microelectronics Corp. Method for forming metal interconnection structure without corner faceted
US6531407B1 (en) * 2000-08-31 2003-03-11 Micron Technology, Inc. Method, structure and process flow to reduce line-line capacitance with low-K material

Also Published As

Publication number Publication date
TW522490B (en) 2003-03-01
EP1333483A4 (en) 2006-02-08
WO2002033747A1 (fr) 2002-04-25
US20040026364A1 (en) 2004-02-12
EP1333483A1 (en) 2003-08-06
JP2002124568A (ja) 2002-04-26
US7326650B2 (en) 2008-02-05
KR20030051720A (ko) 2003-06-25
KR100810788B1 (ko) 2008-03-06

Similar Documents

Publication Publication Date Title
JP4850332B2 (ja) デュアルダマシン構造のエッチング方法
JP5038567B2 (ja) エッチング方法
JP5134363B2 (ja) プラズマ加工システムによる基板エッチング法
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
KR100338477B1 (ko) 반도체 장치 제조방법
US6670278B2 (en) Method of plasma etching of silicon carbide
TWI495010B (zh) 用於互連圖案化之硬遮罩移除時之側壁及倒角保護
JP4477750B2 (ja) エッチング方法
JP2006013190A (ja) 半導体装置の製造方法
KR20140095031A (ko) 다중-층 필름 스택에서 자기-정렬 비아 및 트렌치를 에칭하는 방법
JP2000091422A (ja) 多層配線構造の製造方法
JP2004055781A (ja) 半導体装置の製造方法
US6524944B1 (en) Low k ILD process by removable ILD
JP4092902B2 (ja) 半導体装置の製造方法
JP2003303880A (ja) 積層層間絶縁膜構造を利用した配線構造およびその製造方法
KR100657166B1 (ko) 구리 금속 배선의 형성 방법
JPH1131678A (ja) 半導体装置の製造方法
JP2004119539A (ja) レジストパターンの除去方法
JP2004071731A (ja) エッチング方法
JP4643975B2 (ja) 半導体装置の製造方法
KR20060075887A (ko) 반도체 소자의 금속 배선 형성 방법
JP2006049534A (ja) 半導体装置の製造方法及び半導体装置
KR20010059538A (ko) 반도체소자의 금속배선 형성방법
JP2006108336A (ja) 半導体装置の製造方法
JP2006294965A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070911

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110701

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110809

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110921

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111018

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111019

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141028

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees