JP2002512307A - Cvd室をパッシベーションする方法 - Google Patents

Cvd室をパッシベーションする方法

Info

Publication number
JP2002512307A
JP2002512307A JP2000544848A JP2000544848A JP2002512307A JP 2002512307 A JP2002512307 A JP 2002512307A JP 2000544848 A JP2000544848 A JP 2000544848A JP 2000544848 A JP2000544848 A JP 2000544848A JP 2002512307 A JP2002512307 A JP 2002512307A
Authority
JP
Japan
Prior art keywords
chamber
gas
titanium
reactor
introducing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000544848A
Other languages
English (en)
Other versions
JP3730865B2 (ja
Inventor
アミーン、マイケル、エス
ヒルマン、ジョセフ、ティ
ルージンク、ガート
ウォード、マイケル
ヤサー、タグラル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2002512307A publication Critical patent/JP2002512307A/ja
Application granted granted Critical
Publication of JP3730865B2 publication Critical patent/JP3730865B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 プラズマ増速Ti−CVD膜を蒸着するのに用いられる処理室を、湿式清浄化(10)又はその場での化学的清浄化(20)後、又は順次蒸着工程(40)を行う度び毎に、効果的に調整及びパッシベーションすることができる方法が記載されている。その方法は、例えば、Ti−PECVD法のようなCVD法が、最小限の時間で且つ最小限の数の調整用ウエーハを用いて、抵抗率、均一性、及び蒸着速度のような膜特性を回復することができるようにしている。この方法は、連続的操作中、装置の安定性を維持する。このことは、室のその場での清浄化を行うまでの間に数千のウエーハの処理を可能にしている。室清浄化直後で、ウエーハにTi−CVD法を行う前に、その方法は、反応性ガスを用いたプラズマを形成して反応器部品を加熱し(16、34)、次に被覆材料含有反応物を添加して反応器部品上に被覆材料を蒸着し(18)、次に酸化性又は還元性ガスを室中へ導入して反応器部分上の被覆を安定化し(20)、次にウエーハ被覆工程を再開する(40)ことを含む。ウエーハのTi−CVDで連続的操作(40)を行う間、その方法は、必要に応じ、ArとH2ガスとの混合物を導入してプラズマを形成し、反応器部品を加熱し(43)、次にTiCl4を導入してそれを化学的に還元し(44)、加熱された反応器部品上にTを蒸着し(45)、次に酸化性又は還元性ガスを室中へ、Ti膜を安定化するのに必要な時間導入する(50)ことを含む。好ましくは、N2及びNH3を導入し、ウエーハのパッシベーションと反応器安定化を同時に行う。反応器だけの安定化及び或る場合にはウエーハの安定化は、NH3、H2O、O2、又は他のガスを使用してもよい。

Description

【発明の詳細な説明】
【0001】 本発明は、チタン被覆を、特にプラズマ増速化学蒸着(PECVD)法により
適用することにより半導体基体を処理することに関する。本発明は、特にそのよ
うな処理で用いられるCVD反応器の清浄化及び安定化に関し、そのような清浄
化後のそのような反応器のパッシベーション(passivating)及び調整、及び半導
体基体のTi−PECVD処理のために反応器を続いて使用する間反応器を安定
な状態に維持することに関する。 (背景技術)
【0002】 化学蒸着(CVD)、特にプラズマ増速化学蒸着(PECVD)は、半導体製
造でチタン(Ti)又はチタン含有膜を基体上に適用するのに益々使用されるよ
うになってきている方法である。そのようなTi−PECVD法の一つは、少な
くとも理論的には、半導体ウエーハ上に種々の形態、特に大きな縦横比を持つ形
態の接点上にチタンを蒸着するのに利用することができる。本発明で到達する過
程で接点レベル冶金を研究している時に、本出願人は、そのような方法による製
造への応用は、処理の均一性、処理の反復可能性、及び処理の安定性の問題が含
まれており、それらが基本的膜の性質及び蒸着特性と同様に重要であることを確
認した。
【0003】 そのような問題の一つは、CVDを行なった反応器の室を清浄化する方法であ
る。そのような反応器は、蓄積した反応物、反応生成物、及び反応副生成物を反
応器表面から除去するように処理しなければならない。Ti−CVDのために反
応器を使用している間、それらの表面上に集まった材料は、屡々剥離及び室中の
汚染の原因になり、処理されるウエーハの表面を汚染する多数の粒子を与え、ウ
エーハ上の臨界的工程反応を妨害する結果になる。また、反応器表面上にこの材
料が蓄積すると、工程パラメーターに長期間の変動を起こし、不安定又は不測の
工程性能及び悪化した処理結果を与えることになることがある。更に、Ti−C
VDに利用できる多くのCVD反応器には、ニッケル合金サセプタが配備されて
おり、その上にウエーハを支持して処理する。珪素ウエーハは、サセプタの清浄
化後、ニッケル合金サセプタに付着する大きな傾向を有する。
【0004】 Ti−PECVDのために用いられるCVD反応器中の表面状態が、例えば、
蒸着中に蓄積した付着物を除去するために反応器を清浄化することにより、変化
すると、或る室調整方式後だけ安定化する蒸着過程に変動が起きる。本出願人の
経験では、そのような反応器状態の変化後、工程が安定化するまで、経過させな
ければならない或る長さの反応器作動時間、又は反応器中で処理しなければなら
ない或る数のウエーハが存在することが観察されている。本出願人は、この効果
は反応器表面の状態の変化によるものであり、一つには熱発散性、接着性、電気
伝導性、或は処理されるウエーハ上の結果に直接影響を与える他の性質を変動さ
せる表面上の膜付着によるものであると考えている。ウエーハを処理するために
反応器中へ導入する前に反応器を清浄化した後、或は他のそのような状態の変化
、特に製造環境中での変化の後に、反応器の初期調整に費やされる時間の長さを
最小にすることが望ましい。
【0005】 蒸着室は、典型的には二つの方法のいずれかで清浄化される:1)その場での
清浄化、これにより反応器表面は、装置を空気中へ開放することなく、好ましく
は室のどの部分も冷却することなく、清浄化される;2)湿式清浄化、これは一
般に反応器部品を冷却し、系を開放し、水又は他の化学薬品で反応器部品を拭く
か又は擦り、それらから付着物を除去する。これらの方法の両方について、本出
願人は工程を再び元に回復させなければならず、即ち、室を再調整し、清浄化処
理が行われた後、基準性能を安定化しなければならないことを認めている。
【0006】 Ti−PECVD法は、プラズマ環境中で行われる還元反応でTiCl4及び
H2を用い、主反応生成物として金属Tiを形成し、主反応副生成物としてHC
lを形成する。この還元反応過程中、TiClx(x<4)のような他の副生成
物も形成されることがある。これらの生成物は、金属Tiと共に、反応器表面上
に付着し、その程度は室の幾何学性及び反応室表面の温度分布に大きく関係して
いる。例えば、直接TiCl4に曝された場合の高温ニッケル合金表面のような
高温金属表面は、その上に金属塩化物を形成する傾向をもち、それは工程性能に
有害な影響を与える。例えば、本出願の譲受け人のフェニックス(PHOENIX)(商
標名)装置の場合、そのような望ましくない蒸着が起きる傾向を持つそのような
反応器表面は、基体支持サセプタの表面、処理ガス分散用シャワーヘッドの表面
、及びウエーハの平面及び下に近く位置する反応器壁上の限定された領域である
。蒸着した材料の組成は、それが蒸着される表面の温度及び種々の反応物質、反
応物、反応生成物、及びそのような表面での反応副生成物の濃度に関係している
【0007】 例えば、Ti−PECVD法の連続的操作中、Ti含有膜が反応器の内部表面
上に蓄積する。これらの膜の組成は、温度の高い表面上のTiに富むものから、
反応器の低温表面上のClに富むものまで変化する。これら膜は本質的に不安定
である。Tiに富む膜は、室中に存在する残留水及び酸素に曝されて時間と共に
酸化する。この酸化は、従来法では極めて抑制できない過程であったので、望ま
しくないものと見做されていた。これらのTiに富む膜の酸化中、それらの物理
的性質は電気伝導性から電気絶縁性へ変化し、被覆工程を実施する間、室内のプ
ラズマ及び他の特性を不安定にするか、さもなければ変化させる結果を与えてい
た。一方、Clに富む膜は、比較的蒸気圧が高く、室中に調節できないTiCl
x(x<4)のバックグラウンドを与える結果になる。これらのTiClx物質
は蒸着反応に関与し、不安定な工程特性をもたらす。
【0008】 チタン形成中に壁及び他の反応器表面上に付着する材料は、非常に吸湿性で潮
解性であり、残留水蒸気及びO2が存在する場合にはそれらと反応し、TiO2
系膜を形成する。そのようなTi膜のゲッター性はよく知られている。TiO2
膜は化学的に安定で電気的非伝導性の性質を有する。内部部品上にチタンに富む
被覆を有する反応器を、清浄にするため開放しなければならない場合、これらの
反応が加速されて空気中に浮遊する反応副生成物及び熱を生じ、それらは抑制し
なければならない危険なものになる。
【0009】 半導体ウエーハ上に蒸着されたチタン膜は、通常パッシベーション法が次に適
用され、その方法により窒化チタンのような安定な物質の不動態層がチタン膜の
表面上に蒸着される。Ti蒸着工程がCVDの一つである場合、TiN膜は、チ
タンとアンモニアとの反応により専用の反応器中で形成される。ウエーハをTi
−CVDモジュールからTiN−CVDモジュールへ、連続的に処理するために
移動させる時に通過する移動モジュールに接続された、Ti−CVD反応器及び
TiN−CVD反応器を有する多段反応器装置中でTi膜とその上のTiN膜の
形成が行われている。
【0010】 反応器を清浄化した後、反応器、特にチタンのPECVDを行うのに用いられ
る反応器を、一層効率的及び効果的に調整することが必要である。
【0011】 (発明の開示) 本発明の主たる目的は、Ti−PECVD装置で、安定な処理性能を与えるこ
とにある。
【0012】 本発明の特別な目的は、反応器を安定な状態に維持し、連続的操作、特に半導
体製造操作中に、Ti−PECVD法の安定な性能を維持するTi−PECVD
法及び装置を与えることである。
【0013】 本発明の特別な目的は、反応器状態に変化が起きた後、特に内部反応器表面を
、例えば湿式クリーニング又はその場でのクリーニングにより清浄化することに
より引き起こされた状態変化の後、清浄化操作中、反応器を開放した場合でも、
或は閉じたままにしておいた場合でも、Ti−PECVD処理のためのPECV
D反応器を再使用できるようにし、安定化することにある。
【0014】 本発明の更に別な目的は、反応器の再使用、反応器及び工程の回復、及び工程
の安定化を促進する、その場でのPECVD反応器清浄化法を与えることにある
【0015】 本発明の或る態様は、一つには、内部反応器表面の酸化又は還元/パッシベー
ションによる室の安定化が、ウエーハ表面に到達するのに充分な揮発性を持つT
i含有物質の制御できない源を排除することになると言う決定に基づいている。
【0016】 本発明の特別な目的は、反応器を清浄化した後、特にそのような反応器をチタ
ンのPECVDのために用いる場合、反応器を一層効率的及び効果的に調整する
ことである。
【0017】 本発明の更に別な目的は、連続的Ti−CVD及びTiN−CVD法の効率を
向上させ、それら方法のために必要な設備を縮小し、それら方法の性能を向上さ
せることにある。
【0018】 本発明の原理に従い、Ti−PECVD反応器で、反応器状態の変化が起きた
時でも、安定化したチタン含有膜が与えられる。そのような反応器状態の変化に
は、例えば、反応器部品上にTi含有膜付着物を形成する結果になるウエーハ上
でのTi−PECVD工程実施のために反応器を使用することによりもたらされ
る変化と同様、例えば、反応器部品の表面からチタン含有付着物を除去する内部
反応器部品清浄化によりもたらされる変化が含まれる。
【0019】 本発明の或る態様に従い、反応器部品上のTi含有膜の性質又は程度の変化に
従い、Ti−PECVD反応器中でTi含有膜の制御された酸化又は還元/パッ
シベーションが行われる。本発明の或る態様では、室清浄化後、その室中で反応
物、反応生成物、反応副生成物、又は関連する物質の制御された蒸着が与えられ
る。本発明の別の態様では、室の清浄化後、回復の早い段階で室中に一種類以上
の酸化剤又は還元剤を制御して存在させる。本発明の更に別の態様では、反応器
部品の表面上に形成された膜を、反応器中でのTi−PECVD法を実施した後
、制御されたやり方で酸化又は還元するか、又はパッシベーションし、そのよう
な方法を実施する間に部品上に付着した膜を安定した状態に維持する。この制御
された酸化又は還元及びパッシベーションは、単一の基体上にTi−PECVD
蒸着を行なった度び毎に行うか、又は一連の基体上に選択された数のTi−PE
CVD蒸着を少なくとも行なった後に行うのが好ましい。
【0020】 本発明の一つの好ましい態様では、反応器を大気中に開放して湿式クリーニン
グし、反応器部品の表面上に蓄積していたTi含有膜を除去する。次に反応器を
閉じ、反応器内を再び真空にする。次に反応器の部品を、室内でアルゴンと水素
ガスとの混合物中に形成したプラズマに暫く曝す。特にガス導入装置、好ましく
はシャワーヘッドの形の装置を、暫く、例えば、1〜5分間、H2/Arプラズ
マに曝す。シャワーヘッド及び他の部品をプラズマに曝すことにより、部品表面
から汚染物が除去される。
【0021】 反応器はTi−PECVD法の諸工程中作動させるが、反応器部品をTi含有
膜で予め被覆するためには、室中にウエーハを入れずに行うのが好ましい。サセ
プタがニッケル合金のような金属から作られている場合、そのサセプタ表面上に
そのような被覆を予め形成しておくと、珪素ウエーハがその高温サセプタに付着
するのを防ぐ。Ti−PECVD反応器又は方法の場合、好ましくはシャワーヘ
ッドは約425℃より高いのが好ましい温度へ予熱する。シャワーヘッド加熱の
効果は、H2/Arプラズマ清浄化直後の反応器中で、この初期Ti−PECV
D法を行なった時に蒸着されるTi含有膜の付着が改良されることである。
【0022】 反応器部品を予め被覆した後、TiCl4を反応器中に導入する前に、反応器
中でH2/Arプラズマを形成することにより、反応器の早い工程再開を達成す
ることができる。先ずH2/Arプラズマを形成する一つの利点は、高温金属表
面がTiCl4ガスに直接曝されるのを防ぐことができることである。
【0023】 本発明の或る態様に従い、反応器部品上のTi含有膜の性質又は程度の変化後
、膜を窒化又は他のやり方でパッシベーションするなどして、Ti含有膜の制御
された酸化又は還元をTi−PECVD反応器中で行う。本発明の或る態様では
、室の清浄化後、室中に反応物、反応生成物、反応副生成物、又は関連材料の制
御された蒸着が与えられる。本発明の別の態様では、室清浄化後、回復の早い段
階で室中に一種類以上の酸化剤又は還元剤を制御させて存在させる。本発明の更
に別の態様では、反応器部品の表面上に形成された膜を、反応器中でTi−PE
CVD法を実施した後、制御されたやり方で酸化又は還元し、そのような方法を
実施する間に部品上に付着した膜を安定化する。制御された酸化又は還元及びパ
ッシベーションは、単一の基体上にTi−PECVD蒸着を行なった度び毎に行
うか、又は一連の基体上に選択された数のTi−PECVD蒸着を行なった後に
行うのが好ましい。
【0024】 本発明の別の好ましい態様として、反応器を大気中へ開放することなく、その
場で清浄化する。そのような清浄化は、通常フッ素又は塩素含有ガスを室中に導
入し、屡々それと共にプラズマを形成することにより行う。そのようなガスの例
は、三フッ化窒素(NF3)、三フッ化塩素(ClF3)、又は塩素(Cl2)
である。その場での清浄化法は、反応器部品の表面に蓄積していたTi含有膜及
び他の汚染物を除去するために行う。そのようなその場での清浄化後、そのよう
な清浄化用ガス及び反応生成物を全て室から除去する。清浄化は、反応器部品を
、例えば、上で述べた湿式清浄化法に従って説明したように、アルゴン、水素及
びアンモニアガスの混合物中で形成したプラズマに暫く曝すことを含んでいる。
このプラズマ清浄化は、1〜10分間行うか、又は室からフッ素及び塩素含有物
質を除去するのに必要なだけ行う。次に反応器室を少なくとも5回ポンプでパー
ジする。
【0025】 上で述べた湿式清浄化工程後に行われるものとして、反応器を次にTi−PE
CVD法の諸工程中、室中にウエーハを入れずに操作し、反応器部品をTi含有
膜で予め被覆するのが好ましい。また、反応器部品を予め被覆した後、TiCl
4を反応器中に導入する前に、反応器中でH2/Arプラズマを形成することに
より、反応器の早い工程再開を達成することができる。先ずH2/Arプラズマ
を形成する一つの利点は、高温金属表面がTiCl4ガスに直接曝されるのを防
ぐことができることである。
【0026】 本発明の更に好ましい態様として、ウエーハへの個々の蒸着後、安定化工程を
行うことにより、Ti−PECVD法及び反応器が、反応器の連続的操作及びそ
の方法の実施中安定に維持される。Ti−PECVD法を連続的に操作する間、
反応器部品上に蓄積したTi含有膜は、組成が変化し、反応器及び工程条件の一
定した変化を与える結果になることがあるが、室中のウエーハに個々の蒸着をし
た後、選択された数の蒸着後か、又は好ましくは一つのウエーハ上にTi−PE
CVD法を実施した度び毎に、新しいウエーハを室中に入れて同様なTi−PE
CVD処理を行う前に、制御されたやり方で適切に膜を酸化又は還元し、膜をパ
ッシベーションすることにより安定化される。
【0027】 安定化工程は、例えば、反応器表面上に新たに蒸着した膜との酸化反応を行わ
せるため、酸素(O2)又は水蒸気(H2O)のようなガスを制御された量で導
入すること、又は還元反応を行わせるため水素(H2)、アンモニア(NH3)
、シラン(SiH4)、メタン(CH4)、又はジボラン(B2H6)のような
ガスを制御された量導入することを含んでいる。ガスは室中で流動させる。プラ
ズマはそのガスと共に形成され、反応を促進する。特にNH3は安定化法に好ま
しく、室中へアルゴンと共に導入され、RFプラズマを励起し、サセプタ及びシ
ャワーヘッドが加熱される。ウエーハの各Ti−PECVD処理後の安定化工程
は、条件により10〜60秒の範囲にあるのが典型的である。
【0028】 本発明の好ましい態様として、各Ti蒸着後、反応器中でパッシベーション工
程を行う。パッシベーション工程は、Ti膜を蒸着したウエーハを室から除去す
る前に行い、反応器部品上に蒸着したTi膜に対しパッシベーション工程を行う
と同時に、ウエーハ上に蒸着したTi膜にTiパッシベーション工程が続いて行
われるようにする。TiN膜の形成によるウエーハのパッシベーションが望まれ
る場合、Ti蒸着反応後、NH3をTi−PECVD反応器室中へ導入し、反応
させて、ウエーハ及び反応器部品上に、好ましくはプラズマ増速法で、Ti膜上
にTiN膜を形成させる。反応器シャワーヘッドの温度が少なくとも425℃に
なり、サセプタ温度が約630℃、ウエーハが約590℃、反応器壁が100℃
〜200℃になった時に、NH3を導入しながら反応器中に水素・アルゴンプラ
ズマを形成するのが好ましい。
【0029】 室パッシベーション処理のために別のパッシベーションガスを用いることもで
きる。そのようなガスがウエーハの処理と両立しない場合、ウエーハを室から取
り出し、ウエーハを存在させずに室中でパッシベーションを行なってもよい。そ
のような場合、室中に蓄積した膜が僅かである場合、一連の幾つかのウエーハ上
にTi蒸着を行なった後、間隔を開けて室のパッシベーションを行なってもよい
【0030】 特に好ましい特定の工程のパラメーターは、下に記載する実施例及び特定の態
様で詳細に記載する。
【0031】 室中の反応器部品上に蒸着した材料の安定化を制御されたやり方で行うことに
より、反応器表面は非常に短時間で、他の方法で必要になるより遥かに短い時間
で反応器中で調整された状態に到達し、Ti−PECVD法を実施する間の処理
条件の変動が回避される。室中の残留水蒸気との反応により、安定化は放置して
おくとゆっくり行われるが、工程中酸化又は還元剤及びパッシベーション剤を制
御して使用することにより、膜が迅速に且つ反復可能なやり方で安定化する。特
に、安定化TiClX系被覆を反応器表面上に形成するのに必要な時間は制御さ
れ、かなり短縮される。更に、反応器の連続的操作中、各蒸着後、蓄積膜を安定
化すると、工程は数千のウエーハを処理する間安定化される。この方法は、頻繁
なその場での清浄化法及びその場での清浄化からの回復に依存した方法よりも好
ましい。
【0032】 特に、NF3を用いるような湿式清浄化又は化学的清浄化の後、例えば、Ti
−CVD等の蒸着の開始で材料は室壁上に蒸着され、酸化又は還元/窒化されて
完全に絶縁性で化学的に安定な膜になり、不安定な操作又は別法としての長い再
開時間が必要になるのを回避することができる。典型的には、反応器清浄化後、
5枚より少ないウエーハ、典型的には1枚のウエーハをCVD処理するのにかか
る時間に匹敵する時間で安定化が行われ、従来であればウエーハの商業的製造処
理を再び取り戻す前に約75枚の調整用ウエーハが必要であった。長い空白時間
の後でも、例えば、装置を一晩停止していた後でも、再開時間は典型的にはウエ
ーハ数0まで短縮される。
【0033】 また、個々のウエーハを処理した後、本発明の安定化工程を行わないと、反応
器の連続的操作全体に亙って不安定性が存続する。商業的方法でウエーハ上に蒸
着する間に反応器部品上に蒸着した被覆のそのようなパッシベーションは、工程
及び反応器の安定化を促進する。ウエーハへの各蒸着が反応器部品上への付加的
金属チタンの蒸着をもたらすTi−CVDの場合、反応器部品上のチタン上にパ
ッシベーション膜を形成するのは、ウエーハに対するTi−CVDの連続した工
程の夫々の間でNH3プラズマで約10〜60秒、典型的には30秒処理するこ
とにより行うことができる。これは、一定した蒸着速度と同様、抵抗率及び膜厚
さの均一性のような一定した膜特性を維持する。
【0034】 上に記載した概念は他の無機金属CVD法、特にチタンの外にタンタルのよう
な反応性界面材料を適用するのに用いられる方法に対しても有用である。
【0035】 本発明のこれら及び他の目的は、本発明の好ましい態様についての次の詳細な
記載から容易に明らかになるであろう。
【0036】 (好ましい態様についての詳細な説明) 本発明の好ましい態様は、化学蒸着(CVD)、特に米国特許第5,370,
739号明細書(特に参考のためここに入れてある)に記載されているようなC
VD反応器で、プラズマ増速化学蒸着(PECVD)により半導体ウエーハを処
理することを含む。本発明を適用するのに特に関係のある方法には、H2との反
応によるTiCl4の還元により珪素ウエーハ上にチタン(Ti)を蒸着するた
めの反応が含まれ、それは副生成物としてHClガスを生ずる。CVD反応器中
でそのような反応を実施すると、反応器部品表面上にTiが蒸着する外、他のT
iClx化合物(x<4)も蒸着する。これらの蒸着物は反応器部品表面上に蓄
積し、周期的に除去しないと、剥離し、室中で処理されるウエーハを汚染する傾
向がある。
【0037】 そのような反応器室の清浄化は周期的に行われ、蓄積した反応物、反応生成物
、反応副生成物を反応器表面から除去する。反応器の清浄化は、装置を空気中に
開放することなく、好ましくは室のどの部分でも冷却することなく、その場で行
われるか、又は湿式清浄化により達成され、それは反応器部品を冷却し、装置を
開放し、反応器部品を水又は他の薬品で拭くか又は擦り、それらから付着物を除
去することにより行われる。清浄化は、付着物を除去することによりCVD反応
器中の表面状態を変化する。この状態の変化は反応器中で行われる蒸着工程に影
響を与え、清浄化直後では、その清浄化直前の時とは幾らか異なった蒸着が行わ
れることになる。そのような工程条件の変化は、少なくとも膜が反応器部品上に
蒸着して安定化されるまで、ウエーハに対して行われる被覆の一貫性及び品質に
悪影響を与える。図1及び2に概略示した本発明の態様では、これらの影響は、
更にウエーハ上に蒸着を行うために反応器を使用する前に除かれる。
【0038】 図1に描いた本発明の好ましい態様は、Ti−PECVD反応器のための湿式
清浄化回復及び再開法である。湿式清浄化回復法10では、反応器を停止し、開
けて慣用的湿式清浄化工程12にかける。湿式清浄化工程12の後、反応器を標
準工程14にかけ、それにより反応器を閉じ、パージし、ポンプで真空にする。
次に、水素とアルゴンガスの混合物を反応器に導入し、H2/Arプラズマが形
成される工程16を行う。このプラズマは約1〜5分間、又は残留する汚染物が
シャワーヘッド及び他の付近の部品から除去されるまで、またシャワーヘッドが
プラズマにより少なくとも425℃まで加熱されるまで操作する。このプラズマ
清浄化及び加熱工程16中、反応器の圧力は、アルゴンを約150〜450sc
cm(標準温度及び圧力で測定して、cm3/分)の速度で導入し、水素を75
0〜5000sccmで導入しながら約2〜10トールに維持する。プラズマは
、450kHzで約250〜750ワットのRF電力により励起される。典型的
には、内部抵抗加熱器のような加熱装置を具えた反応器のサセプタも、ウエーハ
支持表面を約590℃へ持って行く温度へ加熱され、そのためには典型的には約
630℃まで抵抗加熱器を加熱する必要がある。典型的には加熱素子が配備され
ている反応器壁は、約100℃〜200℃の間に維持される。
【0039】 プラズマにより汚染物が除去され、部品が適当な温度に加熱された後、サセプ
タ上にウエーハを存在させずに反応器室中でTi−PECVD処理18を行う。
この処理の実施により、サセプタの表面、シャワーヘッド、反応器壁、及び他の
内部反応器部品の表面を、薄いTi含有膜で被覆する。Ti蒸着反応のためのT
iCl4ガスを導入する前に、先ずAr/H2プラズマを開始することにより蒸
着を行う。プラズマの開始により、ニッケル合金サセプタのような高温金属表面
が存在している場合、その表面が有害な金属塩化物を形成するのを防ぐ。Ti蒸
着工程は、TiCl4を約2.5〜7.5sccmの速度で導入し、水素を約7
50〜5000sccmの速度で導入し、アルゴンを約150〜450sccm
の速度で導入することにより、反応器中の圧力を約2〜10トールに維持しなが
ら行われる。プラズマは、450kHzで約250〜750ワットのRF電力に
より励起される。反応器のサセプタは、ウエーハ支持表面を約590℃へ持って
行く温度に維持し、その温度は典型的には約630℃まで抵抗加熱器を加熱する
ことを必要とする。シャワーヘッドは少なくとも約425℃の温度に維持し、反
応器壁は、約100℃〜200℃の間に維持する。サセプタがニッケルのような
金属から作られていない場合、サセプタへの蒸着促進は不必要である。
【0040】 室を予め被覆するTi−PECVD被覆18の後、安定化又はパッシベーショ
ン工程20を、室部品上に付着していたTiに富む膜に対して行う。湿式清浄化
及び再開法10を用いて、反応器清浄化は、酸化剤又は還元剤を制御して室中へ
導入し、蒸着Ti膜の制御された反応により、安定化チタン膜を蒸着することに
より達成される。工程20の安定化処理50は、下の図4についての説明に関連
して詳細に記述する。一度び反応器が方法10により清浄化されたならば、ウエ
ーハの連続的処理を室中で、好ましくは下の図3に関連して記述する方法により
、行うことができる。
【0041】 図2は、本発明の別の好ましい態様に従うその場での清浄化及び再開法30を
描いている。この方法30では、ウエーハを除き、反応器を密封した真空状態に
保ち、慣用的その場での清浄化法32にかける。その場合、NF3、ClF3、
又はCl2のような清浄化用ガスを、典型的にはプラズマと共に用い、反応器表
面から付着した膜を除去する。その場での清浄化法32に従い、反応器を、約1
〜10分間形成したNH3/H2/Arプラズマを発生する工程34にかけ、反
応器から残留する全ての清浄化用ガス及び汚染物を除去する。次にポンプによる
一連のパージングサイクル36、好ましくは少なくとも5回のサイクルにかけ、
その場合反応器室をアルゴン又は窒素のような不活性ガスで約5〜20トールに
加圧し、次にポンプで1トールより低く低下する。もし必要ならば、次に、上の
湿式清浄化法10に関連して述べたプラズマ清浄化及び加熱工程16の場合のよ
うに、H2/Arプラズマを用いてシャワーヘッドを少なくとも425℃に加熱
する。反応器中の圧力は、アルゴンを約150〜450sccmの速度で導入し
、水素を750〜5000sccmで導入しながら約2〜10トールに維持する
。プラズマは、450kHzで約250〜750ワットのRF電力により励起す
る。反応器ののサセプタは、ウエーハ支持表面を約590℃へ持って行く温度に
維持し、反応器壁は、約100℃〜200℃の間に維持し、シャワーヘッドは少
なくとも約425℃に維持する。次に、上で述べたように、室中にウエーハを入
れずに、反応器をTi−PECVD処理工程18にかけ、工程20の安定化処理
50を、室部品上に付着していたTiに富む膜に対して行う。方法30に従って
、反応器を清浄化した後、ウエーハの連続的処理を室中で、好ましくは下の図3
に関連して記述するような方法により行うことができる。
【0042】 ウエーハのTi−PECVDのための反応器の連続的操作は、図3に描いた方
法40に従って行うのが好ましい。連続的ウエーハ処理方法40では、Ti−P
ECVD法により処理する必要がある新規なウエーハを反応器室中へ装填する(
工程41)。そのようなウエーハは、典型的には部分的に半導体装置が上に形成
された珪素半導体ウエーハであり、通常大きな縦横比を持つ小さな孔の模様が貫
通している上方絶縁層を有し、それらの孔は伝導性接点を露出し、それらをチタ
ン層で被覆した後、タングステンのような材料の上方伝導性金属層を適用し、そ
れと接点が電気的に接続される。次にTi−PECVD法42をウエーハに対し
て行う。
【0043】 Ti−PECVD法42は、先ず室中にH2/Arプラズマを開始し(工程4
3)、次にTiCl4を導入し(工程44)、そしてTi−PECVDによるチ
タン還元反応(工程45)を行うことにより実施するのが好ましい。Ti−PE
CVD工程45は、約2〜10トール、約2.5〜7.5sccmのTiCl4
、約750〜50000sccmの水素、約150〜450sccmのアルゴン
、450kHzで約250〜750ワットのプラズマへのRF電力、約590℃
のウエーハ温度、少なくとも425℃のシャワーヘッド温度、及び約100℃〜
200℃の反応器壁温度の条件下で行うのが好ましい。Ti−PECVD工程4
5が完了した後、ウエーハ上のTi被覆のパッシベーション及び反応器部品表面
上のTi付着物の安定化の両方を含む安定化工程46を反応器中で行う。好まし
いパッシベーション又は安定化工程46は、ウエーハ及び反応器部品上のTi膜
の上にTiN層を形成するためNH3プラズマを用いて実施されるものであるの
が好ましいが、それは、下の図4に関連して記述する別の方法を含んでいてもよ
い。反応器部品上のTi膜を安定化するためにそのような工程で必要になるパッ
シベーション時間は、ウエーハ上にTiN膜を形成するのに必要なパッシベーシ
ョン時間より短く、その結果ウエーハのTiN処理に適したTiN処理時間も室
をパッシベーションするために用いることができることが判明している。下の図
4に関連して記述するパッシベーション法に従って、パッシベーション工程46
は進行する。パッシベーション工程46が完了した後、本発明のこの態様に従う
全Ti−PECVD法が完了し、処理されたウエーハを室から取り出す(工程4
7)。もしこの時に室を清浄化する必要がなく、更にウエーハをTi−PECV
Dにより処理する必要があるならば、新しいウエーハを反応器室中へ装填して工
程40を繰り返す。さもなければ、図1又は2の方法10又は30に従い、反応
器の清浄化を行うことができる。この連続的ウエーハ被覆操作中、その場での清
浄化が必要になるまでに、数千のウエーハを処理することができる。
【0044】 安定化法50は、工程20による清浄化後の空の室を安定化する方法、又は工
程46に含まれているような、ウエーハへの蒸着後にウエーハをパッシベーショ
ンし、室の表面を安定化する方法の場合と実質的に同じである。そのような安定
化法の従属工程は、図4に詳細に描かれており、それには室中にウエーハを入れ
て、又は入れずに、チタンを蒸着する毎に、安定化用ガス、H2ガス及びアルゴ
ンを、プラズマを適用しながら、又は適用せずに導入する工程51を含んでいる
。安定化用ガスは酸化性ガスであるか、又は還元性ガス、又はさもなければウエ
ーハ又は室の部品上の膜をパッシベーションするガスにすることができる。工程
51は、約10〜1000sccmの流量で室中に安定化用ガスを流し、約50
0〜5000sccmの流量でH2、及び約50〜500sccmの流量でアル
ゴンを流すことを含んでいる。工程50は、約250〜750ワットのRFで励
起したプラズマを用いる任意的工程53を含んでいる。その工程は、含まれる反
応物の反応に適した温度に室の部品の温度を維持する工程54も含んでいる。最
後に、工程50は、Ti膜の安定化反応及びパッシベーションを起こすのに充分
な時間、これらの条件下で室をアイドル(idle)させる55の工程を含んでいる。
【0045】 安定化用ガスの選択は、幾つかの可能なパッシベーション反応のいずれか一つ
の選択に依存し、それら反応は酸化反応か又は還元反応にすることができる。酸
化反応は酸素(O2)又は水(H2O)のような酸化性物質を用いてもよく、次
の酸化反応を含んでいてもよい: TiClx+yO=TiClxOy 及び TiClx+yH2O=TiOy+HCl。
【0046】 還元反応は、水素(H2)、窒素(N2)、アンモニア(NH3)、シラン(
SiH4)、メタン(CH4)、及びジボラン(B2H6)のような還元性物質
を用いることができ、次の還元反応を含んでいてもよい: TiClx+H2=Ti+HCl Ti+N2=TiN TiClx+NH3=TiN+HCl(高温) TiClx+yNH3=(TiClx)(NH3)y(低温) TiClx+SiH4=TiSi2+HCl TiClx+CH4=TiC2+HCl 及び TiClx+B2H6=TiB+HCl。 これらの反応では、アルゴンをキャリヤーガスとして用いてもよい。
【0047】 好ましい態様として、TiClx+NH3=TiN+HClの安定化反応を生
ずる条件下で、NH3安定化用ガスを用いる。そのような反応に対する好ましい
工程条件は次の通りである: ガス流動従属工程51: 全圧力 2〜10トール アルゴン流量 150〜450sccm 窒素流量 0〜5000sccm アンモニア流量 500〜5000sccm プラズマ従属工程53: プラズマ電力 250〜750ワット プラズマ電力周波数 450kHz 温度維持従属工程54: ウエーハ温度 590℃ サセプタ温度 630℃ シャワーヘッド温度 >425℃ 反応室壁温度 100〜200℃ 及び、安定化時間従属工程: 安定化工程時間、約10〜60秒(Ti−PECVD法条件に依存する)
【0048】 他の安定化反応を用いた場合、安定化法条件は、選択された安定化反応による
ウエーハ上のチタン膜のパッシベーションのために当業者によって決定すること
ができるような条件になるであろう。しかし、室のための安定化は、処理される
ウエーハの製造と両立できる反応であるのが好ましい。もしそうでないと、室の
安定化は、ウエーハの安定化とは別の方法で、室中にウエーハを入れずに行われ
る。このことは、室に対する安定化法の連続的実施と、ウエーハに対する安定化
法の実施とを含む。一般に、室の安定化と、ウエーハの安定化のために異なった
安定化反応を使用することは、許容できない程、生産率に悪影響を与える。室の
パッシベーションが、ウエーハへのパッシベーション法の実施に対し、同じ方法
を用いた場合でも余りにも長くかかり過ぎる場合にもそのようになる。どのよう
な理由にしろ、それらの方法がウエーハ又は室に対し連続的に実施されなければ
ならない場合、ウエーハに蒸着する度び毎に室を安定化する必要はないが、2回
、3回、又は他の蒸着回数の後、安定化する方法が最も実際的であり、そのため
に本発明の原理を用いることができる。
【0049】 上に記載した本発明の実施は、本出願の譲受け人による次の米国特許及び出願
を参考にすることにより促進することができる:米国特許第5,665,640
号、第5,667,243号及び第5,593,511号、及び米国特許出願S
erial No.08/253,978(これらは、言及することによって本
明細書に明確に組み入れる)。 上に詳細に論じたものの外に他の態様を作ることもできる。当業者は、ここに
記載した本願発明の適用は変えられるものであり、本発明を好ましい態様につい
て主に記述されていることを認めるであろう。従って、追加及び変更を本発明の
原理から離れることなく行うことができるものである。
【図面の簡単な説明】
【図1】 湿式CVD室清浄化法で本発明の原理を用いた方法の工程図である。
【図2】 その場でのCVD室清浄化法で本発明の原理を用いた方法の、図1と同様な工
程図である。
【図3】 基体の連続的CVD処理及びパッシベーションで本発明の原理を用いた方法の
、図1及び2と同様な工程図である。
【図4】 図1〜3の方法の好ましい安定化工程を詳細に例示する工程図である。
【手続補正書】
【提出日】平成13年4月20日(2001.4.20)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正内容】
【特許請求の範囲】
【手続補正2】
【補正対象書類名】明細書
【補正対象項目名】0010
【補正方法】変更
【補正内容】
【0010】 米国特許第5728629号明細書は、CVDによって基体上に薄膜を形成す る方法を開示する。このCVDでは、パッシベーションガスを基体処理工程の間 に導入して、基体の近辺の部材の上に形成される薄膜表面をパッシベーションす る(passivate)。パッシベーション(passivation)とは、不活性(inactive)にする ことを意味し、パッシベーションは、吸収性ガス又は酸化性ガスを用いて行われ る。適切な吸収性ガスは、不活性ガスとNH3又はSiH 2Cl2との混合物であ
り、適切な酸化性ガスは、不活性ガスとO2、NO又はNO2との混合物である。 反応室にパッシベーションガスを導入するのと同時に、プラズマ放電を発生させ ても良い。 DE 3709066号明細書はCVD薄膜形成方法を開示する。この方法で
は、化学蒸着によって、反応室の不活性表面が金属窒化物膜でコーティングされ る。この化学蒸着では、W2Nが堆積するようにアンモニアとWF6とを用いても よい。この金属窒化物膜によって、不活性表面に更なる金属が堆積するのが防止 される。 反応器を清浄化した後、反応器、特にチタンのPECVDを行うのに用いられ
る反応器を、一層効率的及び効果的に調整することが必要である。
【手続補正3】
【補正対象書類名】明細書
【補正対象項目名】0038
【補正方法】変更
【補正内容】
【0038】 図1に描いた本発明の好ましい態様は、Ti−PECVD反応器のための湿式
清浄化回復及び再開法である。湿式清浄化回復法10では、反応器を停止し、開
けて慣用的湿式清浄化工程12にかける。湿式清浄化工程12の後、反応器を標
準工程14にかけ、それにより反応器を閉じ、パージし、ポンプで真空にする。
次に、水素とアルゴンガスの混合物を反応器に導入し、H2/Arプラズマが形
成される工程16を行う。このプラズマは約1〜5分間、又は残留する汚染物が
シャワーヘッド及び他の付近の部品から除去されるまで、またシャワーヘッド(
シャワー噴出口, showerhead)がプラズマにより少なくとも425℃まで加熱さ
れるまで操作する。このプラズマ清浄化及び加熱工程16中、反応器の圧力は、
アルゴンを約150〜450sccm(標準温度及び圧力で測定して、cm3
分)の速度で導入し、水素を750〜5000sccmで導入しながら266. 64〜1333.22N/m2 約2〜10トールに維持する。プラズマは、
450kHzで約250〜750ワットのRF電力により励起される。典型的に
は、内部抵抗加熱器のような加熱装置を具えた反応器のサセプタも、ウエーハ支
持表面を約590℃へ持って行く温度へ加熱され、そのためには典型的には約6
30℃まで抵抗加熱器を加熱する必要がある。典型的には加熱素子が配備されて
いる反応器壁は、約100℃〜200℃の間に維持される。
【手続補正4】
【補正対象書類名】明細書
【補正対象項目名】0039
【補正方法】変更
【補正内容】
【0039】 プラズマにより汚染物が除去され、部品が適当な温度に加熱された後、サセプ
タ上にウエーハを存在させずに反応器室中でTi−PECVD処理18を行う。
この処理の実施により、サセプタの表面、シャワーヘッド、反応器壁、及び他の
内部反応器部品の表面を、薄いTi含有膜で被覆する。Ti蒸着反応のためのT
iCl4ガスを導入する前に、先ずAr/H2プラズマを開始することにより蒸着
を行う。プラズマの開始により、ニッケル合金サセプタのような高温金属表面が
存在している場合、その表面が有害な金属塩化物を形成するのを防ぐ。Ti蒸着
工程は、TiCl4を約2.5〜7.5sccmの速度で導入し、水素を約75
0〜5000sccmの速度で導入し、アルゴンを約150〜450sccmの
速度で導入することにより、反応器中の圧力を266.64〜1333.22N /m2 約2〜10トールに維持しながら行われる。プラズマは、450kH
zで約250〜750ワットのRF電力により励起される。反応器のサセプタは
、ウエーハ支持表面を約590℃へ持って行く温度に維持し、その温度は典型的
には約630℃まで抵抗加熱器を加熱することを必要とする。シャワーヘッドは
少なくとも約425℃の温度に維持し、反応器壁は、約100℃〜200℃の間
に維持する。サセプタがニッケルのような金属から作られていない場合、サセプ
タへの蒸着促進は不必要である。
【手続補正5】
【補正対象書類名】明細書
【補正対象項目名】0041
【補正方法】変更
【補正内容】
【0041】 図2は、本発明の別の好ましい態様に従うその場での清浄化及び再開法30を
描いている。この方法30では、ウエーハを除き、反応器を密封した真空状態に
保ち、慣用的その場での清浄化法32にかける。その場合、NF3、ClF3、又
はCl2のような清浄化用ガスを、典型的にはプラズマと共に用い、反応器表面
から付着した膜を除去する。その場での清浄化法32に従い、反応器を、約1〜
10分間形成したNH3/H2/Arプラズマを発生する工程34にかけ、反応器
から残留する全ての清浄化用ガス及び汚染物を除去する。次にポンプによる一連
のパージングサイクル36、好ましくは少なくとも5回のサイクルにかけ、その
場合反応器室をアルゴン又は窒素のような不活性ガスで666.61〜2666 .44N/m2 約5〜20トールに加圧し、次にポンプで133.32N/
21トールより低く低下する。もし必要ならば、次に、上の湿式清浄化法
10に関連して述べたプラズマ清浄化及び加熱工程16の場合のように、H2
Arプラズマを用いてシャワーヘッドを少なくとも425℃に加熱する。反応器
中の圧力は、アルゴンを約150〜450sccmの速度で導入し、水素を75
0〜5000sccmで導入しながら266.64〜1333.22N/m 2
約2〜10トールに維持する。プラズマは、450kHzで約250〜750
ワットのRF電力により励起する。反応器ののサセプタは、ウエーハ支持表面を
約590℃へ持って行く温度に維持し、反応器壁は、約100℃〜200℃の間
に維持し、シャワーヘッドは少なくとも約425℃に維持する。次に、上で述べ
たように、室中にウエーハを入れずに、反応器をTi−PECVD処理工程18
にかけ、工程20の安定化処理50を、室部品上に付着していたTiに富む膜に
対して行う。方法30に従って、反応器を清浄化した後、ウエーハの連続的処理
を室中で、好ましくは下の図3に関連して記述するような方法により行うことが
できる。
【手続補正6】
【補正対象書類名】明細書
【補正対象項目名】0043
【補正方法】変更
【補正内容】
【0043】 Ti−PECVD法42は、先ず室中にH2/Arプラズマを開始し(工程4
3)、次にTiCl4を導入し(工程44)、そしてTi−PECVDによるチ
タン還元反応(工程45)を行うことにより実施するのが好ましい。Ti−PE
CVD工程45は、266.64〜1333.22N/m2約2〜10トール
、約2.5〜7.5sccmのTiCl4、約750〜50000sccmの
水素、約150〜450sccmのアルゴン、450kHzで約250〜750
ワットのプラズマへのRF電力、約590℃のウエーハ温度、少なくとも425
℃のシャワーヘッド温度、及び約100℃〜200℃の反応器壁温度の条件下で
行うのが好ましい。Ti−PECVD工程45が完了した後、ウエーハ上のTi
被覆のパッシベーション及び反応器部品表面上のTi付着物の安定化の両方を含
む安定化工程46を反応器中で行う。好ましいパッシベーション又は安定化工程
46は、ウエーハ及び反応器部品上のTi膜の上にTiN層を形成するためNH 3 プラズマを用いて実施されるものであるのが好ましいが、それは、下の図4に
関連して記述する別の方法を含んでいてもよい。反応器部品上のTi膜を安定化
するためにそのような工程で必要になるパッシベーション時間は、ウエーハ上に
TiN膜を形成するのに必要なパッシベーション時間より短く、その結果ウエー
ハのTiN処理に適したTiN処理時間も室をパッシベーションするために用い
ることができることが判明している。下の図4に関連して記述するパッシベーシ
ョン法に従って、パッシベーション工程46は進行する。パッシベーション工程
46が完了した後、本発明のこの態様に従う全Ti−PECVD法が完了し、処
理されたウエーハを室から取り出す(工程47)。もしこの時に室を清浄化する
必要がなく、更にウエーハをTi−PECVDにより処理する必要があるならば
、新しいウエーハを反応器室中へ装填して工程40を繰り返す。さもなければ、
図1又は2の方法10又は30に従い、反応器の清浄化を行うことができる。こ
の連続的ウエーハ被覆操作中、その場での清浄化が必要になるまでに、数千のウ
エーハを処理することができる。
【手続補正7】
【補正対象書類名】明細書
【補正対象項目名】0047
【補正方法】変更
【補正内容】
【0047】 好ましい態様として、TiClx+NH3=TiN+HClの安定化反応を生ず
る条件下で、NH3安定化用ガス(安定化ガス, stabilization gas)を用いる。そ
のような反応に対する好ましい工程条件は次の通りである: ガス流動従属工程51: 全圧力 266.64〜1333.22N/m22〜10トール アルゴン流量 150〜450sccm 窒素流量 0〜5000sccm アンモニア流量 500〜5000sccm プラズマ従属工程53: プラズマ電力 250〜750ワット プラズマ電力周波数 450kHz 温度維持従属工程54: ウエーハ温度 590℃ サセプタ温度 630℃ シャワーヘッド温度 >425℃ 反応室壁温度 100〜200℃ 及び、安定化時間従属工程: 安定化工程時間、約10〜60秒(Ti−PECVD法条件に依存する)
【手続補正8】
【補正対象書類名】明細書
【補正対象項目名】0049
【補正方法】変更
【補正内容】
【0049】 上に記載した本発明の実施は、本出願の譲受け人による次の米国特許及び出願
を参考にすることにより促進することができる:米国特許第5,665,640
号、第5,667,243号及び第5,593,511号、及び米国特許出願S
erial No.08/253,978(これらは、言及することによって本
明細書に明確に組み入れる)。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ヒルマン、ジョセフ、ティ アメリカ合衆国 アリゾナ、スコッツデー ル、イー、マックルラン ブールバード 8025 (72)発明者 ルージンク、ガート アメリカ合衆国 アリゾナ、テムプ、サウ ス ロッキイ ポイント ロード 5518 (72)発明者 ウォード、マイケル アメリカ合衆国 アリゾナ、フェニック ス、デザート トランペット ロード (72)発明者 ヤサー、タグラル アメリカ合衆国 アリゾナ、スコッツデー ル、イー、コイオート ロード 13947 Fターム(参考) 4K030 AA03 AA17 BA18 BA38 CA04 CA12 DA06 FA01 4M104 AA01 BB14 BB17 DD06 DD43 DD86 DD89 FF17 FF18 HH20 【要約の続き】 は、必要に応じ、ArとH2ガスとの混合物を導入して プラズマを形成し、反応器部品を加熱し(43)、次に TiCl4を導入してそれを化学的に還元し(44)、 加熱された反応器部品上にTを蒸着し(45)、次に酸 化性又は還元性ガスを室中へ、Ti膜を安定化するのに 必要な時間導入する(50)ことを含む。好ましくは、 N2及びNH3を導入し、ウエーハのパッシベーション と反応器安定化を同時に行う。反応器だけの安定化及び 或る場合にはウエーハの安定化は、NH3、H2O、O 2、又は他のガスを使用してもよい。

Claims (30)

    【特許請求の範囲】
  1. 【請求項1】 CVD処理室中に支持された基体の上にチタン含有材料を化
    学蒸着するための該CVD処理室の安定性を維持する方法において、 反応器中でチタンの化学蒸着を行なった後、 前記室中へ酸化性ガス又は還元性ガスを導入し、次いで、該室の諸部品のCV
    Dチタン被覆された表面を、蒸着された材料が該表面上のチタン含有膜を安定化
    するのに充分な時間の間、前記ガスに曝す、 諸工程を含む、上記維持方法。
  2. 【請求項2】 室中へ酸化性ガス又は還元性ガスを導入し、次いで、該室の
    諸部品のCVDチタン被覆された表面を、蒸着された材料が該表面上のチタンを
    安定化するのに充分な時間の間前記ガスに曝す工程は、前記室中の基体上にチタ
    ンを蒸着した後であって別の基体を該室中でTi−CVD処理するために該室中
    へ導入する前に行う、請求項1記載の方法。
  3. 【請求項3】 室中へ酸化性ガス又は還元性ガスを導入し、次いで、該室の
    諸部品のCVDチタン被覆された表面を、蒸着された材料が該表面上のチタンを
    安定化するのに充分な時間の間前記ガスに曝す工程は、前記室中の基体上にチタ
    ンを蒸着を行なう度に、次の基体を該室中でTi−CVD処理するために該室中
    へ導入する前に行う、請求項2記載の方法。
  4. 【請求項4】 室中へ酸化性ガス又は還元性ガスを導入し、次いで、該室の
    諸部品のCVDチタン被覆された表面を、蒸着された材料が該表面上のチタンを
    安定化するのに充分な時間の間前記ガスに曝す工程は、前記室中の基体上にチタ
    ンを蒸着した後であって該基体を該室から除去する前に行い;しかも、前記室中
    で前記基体を取り出す前に、該基体をパッシベーションする工程を更に含む;請
    求項3に記載の方法。
  5. 【請求項5】 基体をパッシベーションする工程は、室の部品のCVDチタ
    ン被覆された表面を酸化性ガス又は還元性ガスに曝すと同時に、該基体の上のチ
    タン含有膜を、同じ酸化性ガス又は還元性ガスに曝すことによって行う、請求項
    4に記載の方法。
  6. 【請求項6】 室中へ酸化性又は還元性ガスを導入する工程は、該室中へア
    ンモニアガスを導入し、CVDチタン被覆された表面を前記ガスに曝して該表面
    上のチタン上に窒化チタンを形成する工程を含む、請求項5記載の方法。
  7. 【請求項7】 室中へアンモニアガスを導入し、CVDチタン被覆された表
    面を前記ガスに曝す工程は、約2〜10トールの圧力、約500〜5000sc
    cmのアンモニア流量、約150〜450sccmのアルゴン流量、450kH
    zのRFで約250〜750ワットのプラズマ電力で、少なくとも10秒の時間
    行う、請求項6に記載の方法。
  8. 【請求項8】 室中へ酸化性ガス又は還元性ガスを導入し、CVDチタン被
    覆された表面を前記ガスに曝す工程は、約2〜10トールの圧力、約10〜50
    00sccmの酸化性又は還元性ガス流量、約500〜5000sccmの水素
    流量、約50〜500sccmのアルゴン流量、約250〜750ワットのRF
    プラズマ電力で、少なくとも10秒の時間行う、請求項1記載の方法。
  9. 【請求項9】 室中へ酸化性ガス又は還元性ガスを導入し、CVDチタン被
    覆された表面を前記ガスに曝す工程は、少なくとも425℃の温度に維持した室
    内で、ガス導入シャワーヘッドを用いて行う、請求項1記載の方法。
  10. 【請求項10】 室中へ酸化性ガス又は還元性ガスを導入し、CVDチタン
    被覆された表面を前記ガスに曝す工程は、少なくとも100℃の温度に維持し該
    室内で、チタン含有表面で行う、請求項1記載の方法。
  11. 【請求項11】 室中へ酸化性ガス又は還元性ガスを導入する工程は、NH
    3、H2、N2、O2、H2O、SiH4、B2H6及びCH4からなる群から
    選択されたガスを導入する工程を含む、請求項1記載の方法。
  12. 【請求項12】 真空圧力水準でアルゴンガスと水素ガスとの混合物を室中
    へ導入し; チタン含有反応物ガスを前記室中へ導入する前に、該室中で前記のアルゴンガ
    スと水素ガスとを用いて反応器部品の表面に隣接してプラズマを発生させ; チタン含有反応物ガスを前記室中へ導入し、該チタン含有反応物ガスを化学的
    に還元して該室内でチタン含有膜を蒸着させる; 諸工程を更に含む、請求項1記載の方法。
  13. 【請求項13】 室の諸部品の表面を清浄化した後であってTi−CVD処
    理のために該室中へ基体を導入する前に、 チタン含有反応物ガスを前記室中へ導入し、該ガスを化学的に還元し、反応器
    の加熱された諸部品上にチタン含有膜を蒸着させ;次いで、 前記室中へ酸化性ガス又は還元性ガスを導入し、該室の諸部品のCVDチタン
    被覆表面を、蒸着された材料が該表面上のチタンを安定化するのに充分な時間の
    間、前記ガスに曝す工程を行う; 諸工程を更に含む、請求項1に記載の方法。
  14. 【請求項14】 室の部品の表面を清浄化した後であって、チタン含有反応
    物ガスを室中へ導入してそのガスを化学的に還元し、反応器の加熱された部品上
    にチタン含有膜を蒸着させる工程の前に、 アルゴンガスと水素ガスとの混合物を真空圧力水準の室内へ導入し; 反応器部品の表面に隣接して、室中のガスを用いてプラズマを発生させ、それ
    と共に反応器部品の温度を上昇させる; 諸工程を更に含む、請求項13記載の方法。
  15. 【請求項15】 CVD処理室内の基体表面上に被覆材料を化学蒸着するた
    めの反応器の該室を調整する方法において、 前記室を清浄化して、前記反応器の部品の表面から既に付着していた被覆材料
    を除去し; 不活性ガスと反応物ガスとの混合物を真空圧力水準の室中へ導入し; 前記室中のガスを用いてプラズマを発生させ、それと共に反応器部品の温度を
    上昇させ;次いで、 被覆材料含有反応物ガスを前記室中へ導入し、それを化学的に反応させて該室
    中の加熱された部品の表面上に被覆材料膜を蒸着し;次いで、 酸化性ガス又は還元性ガスを前記室中へ導入し、前記部品表面上の膜を、前記
    蒸着膜を安定化するのに充分な時間、前記ガスと接触させる; 諸工程を含む、上記調整方法。
  16. 【請求項16】 清浄化工程が、反応器の室を開いて、その室の部品を湿式
    清浄化する工程を含む、請求項15記載の方法。
  17. 【請求項17】 清浄化工程が、反応器の室の部品を、その室を閉じたまま
    真空中でその場で清浄化する工程を含む、請求項15記載の方法。
  18. 【請求項18】 清浄化工程が、室を清浄化して反応器の部品表面から既に
    付着していたチタンを除去する工程を含み; 混合物導入工程が、アルゴンと水素ガスとの混合物を真空圧力水準の室中へ導
    入する工程を含み; 被覆材料導入工程が、TiCl4ガスを室中へ導入し、それを水素ガスにより
    化学的に還元して前記室中の部品表面上にチタン膜を蒸着する工程を含み;そし
    て 酸化性ガス又は還元性ガスを導入する工程が、酸化性ガス又は還元性ガスを室
    中へ導入し、前記部品表面上のチタン膜を、その蒸着されたチタン膜を安定化す
    るのに充分な時間の間前記ガスと接触させる工程を含む; 請求項15に記載の方法。
  19. 【請求項19】 プラズマ発生工程が、室内のシャワーヘッドの温度を少な
    くとも425℃の温度へ上昇させる工程を含む、請求項18記載の方法。
  20. 【請求項20】 酸化性ガス又は還元性ガスを室中へ導入し、部品表面上の
    膜を、その蒸着膜を安定化するのに充分な時間、前記ガスと接触させる工程の後
    、 基体を室中へ導入し; TiCl4ガスを室中へ導入し、水素ガスでそれを化学的に還元して基体の表
    面上にチタン膜を蒸着する; 諸工程を更に含む、請求項15に記載の方法。
  21. 【請求項21】 基体導入工程後、 再び酸化性又は還元性ガスを室中へ導入し、反応器の部品表面上の膜を、その
    蒸着膜を安定化するのに充分な時間、前記ガスと接触させ;そして 室を更に清浄化する前に、別の基体を室中へ導入し、前記基体を、その上に被
    覆材料膜を蒸着するCVD工程を行うことにより処理する; 諸工程を更に含む、請求項20記載の方法。
  22. 【請求項22】 基体導入工程後、 基体を室から取り出す前に、再び酸化性又は還元性ガスを室中へ導入し、基体
    の表面上の膜を、前記基体表面上に蒸着された膜を安定化するのに充分な時間、
    前記ガスと接触させる、 工程を更に含む、請求項20に記載の方法。
  23. 【請求項23】 再び酸化性又は還元性ガスを導入する工程が、アンモニア
    ガスを室中へ導入し、基体表面上に蒸着された膜を、その上に窒化チタンを形成
    することにより安定化するのに充分な時間、前記基体表面上の前記膜を前記ガス
    と接触させる工程を含む、請求項20に記載の方法。
  24. 【請求項24】 基体表面上にチタン膜を蒸着し、次いで、該蒸着チタン膜
    をパッシベーションする方法において、 アルゴンと水素ガスとの混合物を真空室中へ導入し; TiCl4ガスを室中へ導入し、それを水素ガスにより化学的に還元して、前
    記基体表面上にチタン膜を蒸着し;次いで、 前記基体を前記室中に入れたまま、パッシベーション用ガスを前記室中へ導入
    し、該基体表面上のチタン膜を、該基体上の蒸着チタン含有膜を安定化するのに
    充分な時間、前記ガスと接触させる; 諸工程を含む、上記パッシベーション方法。
  25. 【請求項25】 TiCl4ガス導入工程が、TiCl4ガスを水素ガスで
    化学的に還元し、室内の反応器部品の表面上にチタン膜を蒸着させる工程を含み
    ;更に、基体を室中に入れたまま、パッシベーション用ガスを室中へ導入し、反
    応器部品表面上のチタン膜を、前記部品上の蒸着チタン膜を安定化するのに充分
    な時間、前記ガスと接触させる;請求項24に記載の方法。
  26. 【請求項26】 TiCl4ガス導入工程が、TiClガスを水素ガスで化
    学的に還元し、室内の反応器部品の表面上にチタン膜を蒸着させる工程を含み;
    更に、基体を室中に入れたまま、パッシベーション用ガスを室中へ導入し、反応
    器部品表面上のチタン膜を、前記部品上の蒸着チタン膜を安定化するのに充分な
    時間、前記ガスと接触させる;請求項24に記載の方法。
  27. 【請求項27】 アルゴンと水素ガスとの混合物を室中へ導入する工程が、
    混合物中にプラズマを形成する工程を含む、請求項24記載の方法。
  28. 【請求項28】 反応器中でチタンを蒸着し、前記蒸着した膜を酸化性ガス
    又は還元性ガスと接触させる間、室中のシャワーヘッドを少なくとも425℃の
    温度に維持する工程を更に含む、請求項24記載の方法。
  29. 【請求項29】 CVD処理室中に支持された基体上に金属含有界面層材料
    を化学蒸着するための前記室の安定性を維持する方法において、 反応器中で前記界面層材料を化学蒸着した後、 前記室中へ安定化用ガスを導入し、前記材料で被覆された室の部品表面を、前
    記表面上に蒸着された前記材料を安定化するのに充分な時間、前記ガスに曝す、
    工程を有する、上記維持方法。
  30. 【請求項30】 室中へガスを導入し、次いで、表面を前記ガスに曝す工程
    は、該室中で基体表面上に材料を蒸着した後であって、該室から該基体を取り出
    して該基体上に蒸着された該材料を同時にパッシベーションする前であって、し
    かも、前記室中へ別の基体を導入する前に行う、請求項29に記載の方法。
JP2000544848A 1998-04-20 1999-04-14 Cvd室をパッシベーションする方法 Expired - Lifetime JP3730865B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/063,196 US6635569B1 (en) 1998-04-20 1998-04-20 Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
US09/063,196 1998-04-20
PCT/US1999/008193 WO1999054522A1 (en) 1998-04-20 1999-04-14 Method of passivating a cvd chamber

Publications (2)

Publication Number Publication Date
JP2002512307A true JP2002512307A (ja) 2002-04-23
JP3730865B2 JP3730865B2 (ja) 2006-01-05

Family

ID=22047603

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000544848A Expired - Lifetime JP3730865B2 (ja) 1998-04-20 1999-04-14 Cvd室をパッシベーションする方法

Country Status (8)

Country Link
US (1) US6635569B1 (ja)
EP (1) EP1071834B1 (ja)
JP (1) JP3730865B2 (ja)
KR (1) KR100421572B1 (ja)
CN (1) CN1168849C (ja)
DE (1) DE69903531T2 (ja)
TW (1) TW504522B (ja)
WO (1) WO1999054522A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004067799A1 (ja) * 2003-01-31 2004-08-12 Tokyo Electron Limited 半導体処理用の載置台装置、成膜装置、及び成膜方法
JP2006278497A (ja) * 2005-03-28 2006-10-12 Tokyo Electron Ltd シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
WO2010067856A1 (ja) * 2008-12-12 2010-06-17 東京エレクトロン株式会社 成膜方法および成膜装置、ならびに記憶媒体
JP2015162544A (ja) * 2014-02-27 2015-09-07 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法
JP2017150059A (ja) * 2016-02-26 2017-08-31 東京エレクトロン株式会社 基板処理方法及び記憶媒体
JP2022168264A (ja) * 2018-11-15 2022-11-04 日機装株式会社 半導体発光素子および半導体発光素子の製造方法
KR20230054273A (ko) 2021-10-15 2023-04-24 도쿄엘렉트론가부시키가이샤 파티클 억제 방법

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6626186B1 (en) * 1998-04-20 2003-09-30 Tokyo Electron Limited Method for stabilizing the internal surface of a PECVD process chamber
JP4547744B2 (ja) * 1999-11-17 2010-09-22 東京エレクトロン株式会社 プリコート膜の形成方法、成膜装置のアイドリング方法、載置台構造及び成膜装置
US20020094387A1 (en) * 2000-02-24 2002-07-18 Bhan Mohan Krishnan Method for improving chemical vapor deposition of titanium
JP4703810B2 (ja) * 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
TWI297510B (ja) * 2000-09-08 2008-06-01 Tokyo Electron Ltd
JP2002167673A (ja) * 2000-09-21 2002-06-11 Tokyo Electron Ltd Cvd成膜方法および付着物の除去方法
KR100408733B1 (ko) * 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR20030002152A (ko) * 2001-06-30 2003-01-08 주식회사 하이닉스반도체 플라즈마 화학기상증착을 이용한 막의 형성방법
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
JP2003138378A (ja) * 2001-10-30 2003-05-14 Anelva Corp 薄膜形成方法
KR20040006481A (ko) * 2002-07-12 2004-01-24 주식회사 하이닉스반도체 식각 및 증착장비의 항상성 개선방법
KR100474535B1 (ko) * 2002-07-18 2005-03-10 주식회사 하이닉스반도체 반도체 소자의 제조 장치
KR100447284B1 (ko) * 2002-07-19 2004-09-07 삼성전자주식회사 화학기상증착 챔버의 세정 방법
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
KR100491396B1 (ko) * 2002-12-03 2005-05-25 삼성전자주식회사 반도체 소자의 피이-테오스(pe-teos)막 형성 방법
KR100771799B1 (ko) * 2003-02-07 2007-10-30 도쿄 엘렉트론 가부시키가이샤 피처리 기판을 처리하는 반도체 처리 방법 및 장치
US20050025906A1 (en) * 2003-07-31 2005-02-03 Lin Hui-Chu Lin Method for improving film uniformity in plasma enhanced chemical vapor deposition system
US7267842B2 (en) * 2004-03-15 2007-09-11 Air Products And Chemicals, Inc. Method for removing titanium dioxide deposits from a reactor
US20050221612A1 (en) * 2004-04-05 2005-10-06 International Business Machines Corporation A low thermal budget (mol) liner, a semiconductor device comprising said liner and method of forming said semiconductor device
KR100714269B1 (ko) * 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
CN101310040B (zh) * 2006-02-24 2011-08-17 东京毅力科创株式会社 Ti系膜的成膜方法
KR100914354B1 (ko) * 2006-06-05 2009-08-28 어플라이드 머티어리얼스, 인코포레이티드 Pecvd막에 대한 1차 웨이퍼 효과 제거
KR20090026186A (ko) * 2006-07-11 2009-03-11 도쿄엘렉트론가부시키가이샤 성막 방법, 클리닝 방법 및 성막 장치
KR100761757B1 (ko) * 2006-08-17 2007-09-28 삼성전자주식회사 막 형성 방법
JP5211503B2 (ja) * 2007-02-16 2013-06-12 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2008311457A (ja) * 2007-06-15 2008-12-25 Renesas Technology Corp 半導体装置の製造方法
TWI449092B (zh) * 2009-01-08 2014-08-11 United Microelectronics Corp 半導體機台的清潔方法
CN102373445B (zh) * 2010-08-25 2014-01-08 无锡华润上华半导体有限公司 化学气相淀积反应腔中漏率的监控方法
CN102539092B (zh) * 2010-12-29 2014-08-27 中芯国际集成电路制造(北京)有限公司 检测mocvd反应***情况的方法
JP6087236B2 (ja) * 2013-07-24 2017-03-01 東京エレクトロン株式会社 成膜方法
CN103484933A (zh) * 2013-10-22 2014-01-01 西安电子科技大学 外延化学气相淀积设备的清洗方法
KR102504290B1 (ko) 2015-12-04 2023-02-28 삼성전자 주식회사 수소 플라스마 어닐링 처리 준비 방법, 수소 플라스마 어닐링 처리 방법, 및 수소 플라스마 어닐링 장치
DE102016118345A1 (de) 2016-08-01 2018-02-01 Aixtron Se Konditionierverfahren für einen CVD-Reaktor
JP2019151892A (ja) * 2018-03-02 2019-09-12 東京エレクトロン株式会社 金属部材の処理方法、処理装置及び評価方法
US10734219B2 (en) * 2018-09-26 2020-08-04 Asm Ip Holdings B.V. Plasma film forming method
KR20210120073A (ko) * 2019-02-28 2021-10-06 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US11512387B2 (en) 2020-04-13 2022-11-29 Applied Materials, Inc. Methods and apparatus for passivating a target
CN113770122A (zh) * 2021-09-13 2021-12-10 浙江爱旭太阳能科技有限公司 一种pecvd设备的清理方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3807008A (en) 1969-05-02 1974-04-30 Texas Instruments Inc Chemical vapor deposition coatings on titanium
DE3709066A1 (de) * 1986-03-31 1987-10-01 Toshiba Kawasaki Kk Verfahren zum erzeugen eines duennen metallfilms durch chemisches aufdampfen
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JP2708533B2 (ja) 1989-03-14 1998-02-04 富士通株式会社 Cvd装置の残留ガス除去方法
US5109562A (en) * 1989-08-30 1992-05-05 C.V.D. System Cleaners Corporation Chemical vapor deposition system cleaner
US5264297A (en) 1990-03-09 1993-11-23 Kennametal Inc. Physical vapor deposition of titanium nitride on a nonconductive substrate
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
KR100228259B1 (ko) * 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5536193A (en) 1991-11-07 1996-07-16 Microelectronics And Computer Technology Corporation Method of making wide band gap field emitter
KR960015541B1 (ko) 1992-08-14 1996-11-18 휴우즈 에어크라프트 캄파니 탄소 함유 재료 표면의 질화티타늄 전착용 표면 준비 및 전착 방법
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
US5271963A (en) * 1992-11-16 1993-12-21 Materials Research Corporation Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
JP3590416B2 (ja) * 1993-11-29 2004-11-17 アネルバ株式会社 薄膜形成方法および薄膜形成装置
DE69424759T2 (de) * 1993-12-28 2001-02-08 Applied Materials, Inc. Gasphasenabscheidungsverfahren in einer einzigen Kammer für Dünnfilmtransistoren
WO1995034092A1 (en) 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JPH088212A (ja) * 1994-06-22 1996-01-12 Sony Corp プラズマcvd方法
US5503676A (en) * 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
US5610106A (en) * 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US5567483A (en) 1995-06-05 1996-10-22 Sony Corporation Process for plasma enhanced anneal of titanium nitride
US5591672A (en) 1995-10-27 1997-01-07 Vanguard International Semiconductor Corporation Annealing of titanium - titanium nitride in contact hole
JPH09205070A (ja) 1996-01-25 1997-08-05 Sony Corp プラズマcvd方法、およびこれにより形成された金属膜を有する半導体装置
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
AU6784798A (en) 1997-03-27 1998-10-20 Micron Technology, Inc. Titanium metal treatment method, method of forming an electrically conductive interconnect, and method of reducing contact resistance of an elemental titanium contact

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004067799A1 (ja) * 2003-01-31 2004-08-12 Tokyo Electron Limited 半導体処理用の載置台装置、成膜装置、及び成膜方法
JP2006278497A (ja) * 2005-03-28 2006-10-12 Tokyo Electron Ltd シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
WO2010067856A1 (ja) * 2008-12-12 2010-06-17 東京エレクトロン株式会社 成膜方法および成膜装置、ならびに記憶媒体
CN102245802A (zh) * 2008-12-12 2011-11-16 东京毅力科创株式会社 成膜方法、成膜装置和存储介质
US8334208B2 (en) 2008-12-12 2012-12-18 Tokyo Electron Limited Film-forming method and film-forming apparatus
JP5492789B2 (ja) * 2008-12-12 2014-05-14 東京エレクトロン株式会社 成膜方法および成膜装置
JP2015162544A (ja) * 2014-02-27 2015-09-07 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法
JP2017150059A (ja) * 2016-02-26 2017-08-31 東京エレクトロン株式会社 基板処理方法及び記憶媒体
JP2022168264A (ja) * 2018-11-15 2022-11-04 日機装株式会社 半導体発光素子および半導体発光素子の製造方法
JP7296002B2 (ja) 2018-11-15 2023-06-21 日機装株式会社 半導体発光素子および半導体発光素子の製造方法
KR20230054273A (ko) 2021-10-15 2023-04-24 도쿄엘렉트론가부시키가이샤 파티클 억제 방법

Also Published As

Publication number Publication date
WO1999054522A1 (en) 1999-10-28
DE69903531D1 (de) 2002-11-21
JP3730865B2 (ja) 2006-01-05
KR100421572B1 (ko) 2004-03-09
KR20010042889A (ko) 2001-05-25
DE69903531T2 (de) 2003-06-18
EP1071834B1 (en) 2002-10-16
EP1071834A1 (en) 2001-01-31
CN1168849C (zh) 2004-09-29
CN1319146A (zh) 2001-10-24
US6635569B1 (en) 2003-10-21
TW504522B (en) 2002-10-01

Similar Documents

Publication Publication Date Title
JP2002512307A (ja) Cvd室をパッシベーションする方法
US10002745B2 (en) Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
US6821572B2 (en) Method of cleaning a chemical vapor deposition chamber
JP4703810B2 (ja) Cvd成膜方法
US5326723A (en) Method for improving stability of tungsten chemical vapor deposition
US6844273B2 (en) Precleaning method of precleaning a silicon nitride film forming system
US6825126B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
US7484513B2 (en) Method of forming titanium film by CVD
WO2008012665A1 (en) Method of cleaning film forming apparatus and film forming apparatus
US20030181060A1 (en) Manufacturing method of semiconductor device and substrate processing apparatus
KR20090026186A (ko) 성막 방법, 클리닝 방법 및 성막 장치
KR100654121B1 (ko) 부착막의 제거방법
KR20060060731A (ko) 반도체 장치의 제조 방법
JP4393071B2 (ja) 成膜方法
TW201118200A (en) Method of decontamination of process chamber after in-situ chamber clean
US6290779B1 (en) Systems and methods for dry cleaning process chambers
JPH07109576A (ja) プラズマcvdによる成膜方法
US20090071404A1 (en) Method of forming titanium film by CVD
KR100934511B1 (ko) Ti계 막의 성막 방법 및 기억 매체
FR2745299A1 (fr) Procede de formation de revetements de ti1-xalxn
KR20010039780A (ko) 발열체 cvd 장치 및 부착막의 제거방법
US6468903B2 (en) Pre-treatment of reactor parts for chemical vapor deposition reactors
EP1154036A1 (en) Gas reactions to eliminate contaminates in a CVD chamber
JP4519280B2 (ja) 処理室をドライクリーニングするための装置及び方法
JP2005079123A (ja) 成膜装置のクリーニング方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20010420

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20010420

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040723

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20041025

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20041101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050610

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050816

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050909

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20051007

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050816

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111014

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141014

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term