DE69903531T2 - Verfahren zur passivierung einer cvd-kammer - Google Patents

Verfahren zur passivierung einer cvd-kammer

Info

Publication number
DE69903531T2
DE69903531T2 DE69903531T DE69903531T DE69903531T2 DE 69903531 T2 DE69903531 T2 DE 69903531T2 DE 69903531 T DE69903531 T DE 69903531T DE 69903531 T DE69903531 T DE 69903531T DE 69903531 T2 DE69903531 T2 DE 69903531T2
Authority
DE
Germany
Prior art keywords
chamber
gas
titanium
reactor
supplying
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69903531T
Other languages
English (en)
Other versions
DE69903531D1 (de
Inventor
S. Ameen
T. Hillman
Gert Leusink
Michael Ward
Tugrul Yasar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of DE69903531D1 publication Critical patent/DE69903531D1/de
Application granted granted Critical
Publication of DE69903531T2 publication Critical patent/DE69903531T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

  • Die vorliegende Erfindung betrifft eine Halbleitersubstratbearbeitung durch Aufbringen von Titanbeschichtungen, insbesondere durch plasmaverstärkte chemische Dampfabscheideverfahren (PECVD). Insbesondere bezieht sich die Erfindung auf die Reinigung und Stabilisierung von CVD-Reaktoren, welche bei einer derartigen Bearbeitung verwendet werden, und auf die Passivierung sowie Konditionierung derartiger Reaktoren im Anschluss auf eine solche Reinigung sowie auf das Erhalten der Reaktoren in einem stabilen Zustand während des nachfolgenden Einsatzes der Reaktoren für die Ti-PECVD-Bearbeitung von Halbleitersubstraten.
  • Hintergrund der Erfindung:
  • Das chemische Dampfabscheiden (CVD) und insbesondere das plasmaverstärkte chemische Dampfabscheiden (PECVD) sind Verfahren, die zunehmend bei dem Aufbringen von Titan (Ti) oder Titan enthaltenden bzw. tragenden Filmen bzw. Schichten auf Substrate in der Halbleiterherstellung eingesetzt werden. Ein derartiger Ti-PECVD-Prozess ist zumindest theoretisch verfügbar, um Titan auf Kontakte von Merkmalen bzw. Featuren, insbesondere Featuren mit einem hohen Aspektverhältnis, auf Halbleiterwafern abzuscheiden. Bei der Untersuchung der Kontaktpegel- bzw. Kontaktniveaumetallurgie, während die vorliegende Erfindung entstand, haben die Anmelder festgestellt, dass bei Produktionsanwendungen derartiger Verfahren Gesichtspunkte hinsichtlich der Verfahrensgleichförmigkeit, der Verfahrenswiederholbarkeit und der Verfahrensstabilität relevant sind, die so wichtig sind wie die grundsätzlichen Schichteigenschaften und die Abscheidungseigenschaften.
  • Ein derartiger Gesichtspunkt ist das Reinigungsverfahren für die Kammer des Reaktors, in dem das CVD ausgeführt wird. Derartige Reaktoren müssen behandelt werden, um akkumulierte Reaktanten, Reaktionsprodukte und Reaktionsnebenprodukte von den Reaktoroberflächen zu entfernen. Materialien, die sich an diesen Oberflächen während des Einsatzes des Reaktors für das Ti-CVD angesammelt haben, sind oft Quellen von Ablösungen und Verunreinigungen in der Kammer, was zu einer hohen Zahl an Partikeln führt, welche die Oberflächen der Wafer, die bearbeitet werden, verunreinigen, was zu einer Störung bei kritischen Prozessreaktionen auf den Wafern führt. Darüber hinaus kann die Akkumulation dieses Materials an den Oberflächen eines Reaktors auf längere Zeit zu einer Verschiebung in den Prozessparametern führen, was zu einer instabilen oder unvorhersehbaren Prozessleistung und zu verschlechterten Prozessergebnissen führt. Weiterhin sind viele CVD- Reaktoren, die für das Ti-CVD verfügbar sind, mit Nickellegierungssuszeptoren ausgerüstet, auf den die Wafer für die Bearbeitung gehalten werden. Siliziumwafer besitzen eine größere Tendenz, an einem Nickellegierungssuszeptor im Anschluss an die Reinigung des Suszeptors anzuhaften.
  • Wenn sich die Bedingungen auf den Oberflächen in einem CVD-Reaktor, der für das Ti-PECVD verwendet wird, ändern, wie beispielsweise durch das Reinigen des Reaktors, um Ablagerungen zu entfernen, die sich während der Abscheidung akkumuliert haben, treten Veränderungen in den Abscheidevorgängen auf, welche sich nur nach Durchführung einiger Kammerkonditionierungen stabilisieren. Die empirischen Beobachtungen der Anmelder bestehen darin, dass im Anschluss auf derartige Änderungen in den Reaktorbedingungen entweder eine gewisse Reaktorbetriebszeit verstreichen muss oder einige Wafer in einem Reaktor bearbeitet werden müssen, bevor sich der Prozess stabilisiert. Die Anmelder gehen davon aus, dass diese Wirkung auf einer Änderung im Zustand der Reaktoroberflächen zumindest teilweise in Folge der Schichtabscheidung an den Oberflächen beruht, was den thermischen Emissionsgrad, die Hafteigenschaften, die elektrische Leitfähigkeit oder andere Eigenschaften ändert, die direkt das Ergebnis an den bearbeiteten Wafern beeinflussen. Es ist wünschenswert, die Zeitdauer, die für die anfängliche Konditionierung eines Reaktors im Anschluss auf die Reinigung oder im Anschluss auf andere derartige Zustandsänderungen des Reaktors notwendig ist, vor dem Einbringen der Wafer in die Kammer zur Bearbeitung, insbesondere bei einer Herstellumgebung, zu minimieren.
  • Abscheidekammern werden üblicherweise auf zwei Wegen gereinigt: 1.) durch eine In-Situ-Reinigung, mittels der Reaktoroberflächen ohne Öffnen des Systems gegenüber der Umgebungsluft gereinigt werden, und vorzugsweise ohne Kühlung irgendeines Teils der Kammer, und 2.) durch die Nassreinigung, welche im Wesentlichen das Kühlen der Reaktorbauteile bzw. Reaktorbestandteile, das Öffnen des Systems und das Wischen oder Schrubben der Reaktorbestandteile mit Wasser oder anderen Chemikalien zum Entfernen der Ablagerungen von diesen erfordert. Für beide Verfahren haben die Anmelder beobachtet, dass der Vorgang wiederhergestellt werden muss, d. h., dass die Kammer rekonditioniert werden sollte, um die Basisleistung zu stabilisieren, nachdem der Reinigungsvorgang ausgeführt worden ist.
  • Der Ti-PECVD-Vorgang verwendet TiCl&sub4; und H&sub2; in einer Reduktionsreaktion, die in einer Plasmaumgebung ausgeführt wird, um metallisches Ti als das Hauptreaktionsprodukt und HCl als das Hauptnebenreaktionsprodukt zu bilden. Während des Verlaufes dieser Reduktionsreaktion können andere Nebenprodukte, wie TiClx mit x < 4, erzeugt werden. Diese Produkte zusammen mit dem metallischen Ti können auf Reaktoroberflächen bis zu einem Maß abgeschieden werden, das unmittelbar mit der Kammergeometrie und der Temperaturverteilung auf den Reaktionskammeroberflächen zusammenhängt. Heiße metallische Oberflächen, wie heiße Nickellegierungsoberflächen, besitzen beispielsweise die Neigung für metallische Chloride, die sich darauf bilden, wenn sie unmittelbar TiCl&sub4; ausgesetzt sind, die einen erheblichen Einfluss auf die Prozessleistung haben können. Für das PHOENIX - System der Rechtsnachfolger der Anmelder sind derartige Reaktoroberflächen, auf denen solche unerwünschten Abscheidungen auftreten können, beispielweise die Fläche auf dem Substrathaltesuszeptor, der Fläche des Prozessgas- Verteilsprühkopfes und eines begrenzten Bereiches auf den Reaktorwänden, die sich nahe der Ebene des Wafers und darunter befinden. Die Zusammensetzung des abgeschiedenen Materials hängt mit der Temperatur der Oberfläche, auf dem es sich abscheidet, und mit der Konzentration verschiedener Reaktionsbestandteile, Reaktanten, Reaktionsprodukte und Reaktionsnebenprodukte an diesen Oberflächen ab.
  • Während beispielsweise eines kontinuierlichen Betriebes eines Ti-PECVD- Verfahrens akkumulieren sich Titan tragende Schichten auf den innenliegenden Oberflächen des Reaktors. Die Zusammensetzung dieser Schichten reichen von titanreich auf den heißeren Oberflächen bis chlorreich auf den kälteren Oberflächen des Reaktors. Die Schichten sind inhärent instabil. Die titanreichen Schichten oxidieren über die Zeit, wenn sie verbleibendem Wasser und Sauerstoff ausgesetzt sind, die sich in der Kammer befinden. Da die Oxidation im Stand der Technik ein im hohen Maße unkontrollierter Vorgang ist, ist er als unerwünscht angesehen worden. Während der Oxidation dieser titanreichen Schichten ändern sich ihre physikalischen Eigenschaften von elektrisch leitfähig auf elektrisch isolierend, was zu einer Instabilität führt und auf andere Weise zu einer Änderung des Plasmas sowie anderer Eigenschaften innerhalb der Kammer während des Ausführens der Beschichtungsvorgänge. Chlorreiche Schichten besitzen auf der anderen Seite einen verhältnismäßig hohen Dampfdruck und führen zu einem unkontrollierten Hintergrund von TiClx (x < 4) in der Kammer. Diese TiClx-Verbindungen bzw. -Elemente beinträchtigen die Abscheidereaktion und führen zu instabilen Prozesseigenschaften.
  • Das Material, das sich auf den Wänden und anderen Reaktoroberflächen während der Erzeugung von Titan abscheidet, ist im hohen Maße hygroskopisch und zerfließend, was, im Falle des Vorhandenseins, zu einem verbleibenden Wasserdampf und O&sub2; führt, um eine TiO&sub2;-basierende Schicht zu erzeugen. Die Gasaufzehrungseigenschaften derartiger Titanschichten sind gut bekannt. Die TiO&sub2;-Schicht besitzt die Eigenschaften, dass sie chemisch stabil und elektrisch nicht leitend ist. Wenn ein Reaktor, welcher eine titanreiche Beschichtung auf seinen inneren Bestandteilen aufweist, zum Reinigen geöffnet werden muss, beschleunigen sich diese Reaktionen, was zu Luft-Reaktionsnebenprodukten und Wärme führt, welche gefährlich sind, so dass sie kontrolliert werden müssen.
  • Titanschichten, die auf Halbleiterwafern abgeschieden werden, werden üblicherweise anschließend einem Passivierungsvorgang unterzogen, durch den eine Passivierungsschicht aus einer stabilen Substanz, wie Titannitrit, auf die Oberfläche der Titanschicht abgeschieden wird. Wenn der Titan-Abscheidevorgang ein CVD- Vorgang ist, wird die TiN-Schicht in einem geeigneten Reaktor durch Reaktion von Titan mit Ammoniak erzeugt. Die Bildung dieses Titans und der darüber liegenden TiN-Schichten wird in einem Mehrfachreaktorwerkzeug mit einem Ti-CVD-Reaktor und einem TiN-CVD-Reaktor, die über ein Transfermodul miteinander verbunden sind, durch die die Wafer von dem Ti-CVD-Modul zu dem TiN-Modul für eine nachfolgende Bearbeitung überführt werden, ausgeführt.
  • Das US-Patent 5,728,8629 offenbart einen Vorgang zum Erzeugen dünner Schichten auf Substraten durch CVD, bei dem ein Passivierungsgas zwischen den Substratbearbeitungsschritten zugeführt wird, um die Oberfläche der dünnen Schichten, die auf Elementen ausgebildet sind, welche benachbart den Substraten angeordnet sind, zu passivieren. Unter Passivierung wird verstanden, etwas inaktiv zu halten und dies mittels eines absorbierenden Gases oder eines oxidierenden Gases auszuführen. Ein geeignetes absorbierendes Gas ist eine Mischung aus einem zuführen. Ein geeignetes absorbierendes Gas ist eine Mischung aus einem inerten Gas und NH&sub3; oder SiH&sub2;Cl&sub2;, während ein geeignetes oxidierendes Gas eine Mischung aus einem inertem Gas und O&sub2;, NO oder NO&sub2; ist. Die Plasmaentladung kann gleichzeitig mit dem Einführen des Passivierungsgases in die Reaktorkammer erzeugt werden.
  • Die DE 37 09 66 offenbart einen CVD-Dünnschicht-Erzeugungsvorgang, bei dem die innenliegende Oberfläche der Reaktionskammer mit einer Metallnitritschicht durch chemisches Dampfabscheiden beschichtet wird, die unter Verwendung von Ammoniak und WF&sub6; ausgeführt wird, so dass W&sub2;N abgeschieden wird. Die Metallnitritschicht verhindert, dass weiteres Metall auf der innenliegenden Oberfläche abgeschieden wird.
  • Es besteht ein Bedarf, einer effizienteren und wirksameren Konditionierung eines Reaktors, insbesondere eines Reaktors, der für das PECVD von Titan verwendet wird, im Anschluss auf das Reinigen des Reaktors.
  • Zusammenfassung der Erfindung
  • Eine Hauptaufgabe der vorliegenden Erfindung besteht darin, eine stabile Verfahrens- bzw. Prozessleistung bei einer Ti-PECVD-Vorrichtung bereit zu stellen.
  • Insbesondere liegt eine Aufgabe der vorliegenden Erfindung darin, ein Ti-PECVD- Verfahren sowie eine Vorrichtung bereit zu stellen, die den Reaktor in einem stabilen Zustand halten und die die stabile Leistung des Ti-PECVD-Vorgangs während eines kontinuierlichen Betriebes, insbesondere während eines Halbleiter- Herstellbetriebs, aufrecht erhalten.
  • Spezielle Aufgaben der vorliegenden Erfindung bestehen darin, das Breaking-in bzw. das Unterbrechen und das Stabilisieren eines PECVD-Reaktors für die Ti- PECVD-Bearbeitung im Anschluss auf Änderungen in den Reaktorbedingungen, insbesondere im Anschluss auf Änderungen in den Bedingungen, bereitzustellen, die durch das Reinigen der innenliegenden Reaktoroberflächen, wie durch das Nassreinigen oder das In-Situ-Reinigen, hervorgerufen werden, wobei der Reaktor während des Reinigungsvorganges geöffnet oder geschlossen bleibt.
  • Eine weitere Aufgabe der vorliegenden Erfindung besteht darin, einen In-Situ- PECVD-Reaktor-Reinigungsvorgang bereit zu stellen, der die Reaktorunterbrechung, die Reaktor- und Verfahrenswiederaufnahme und die Verfahrensstabilisierung erleichtert.
  • Darüber hinaus besteht eine weitere Aufgabe der vorliegenden Erfindung darin, effizienter und effektiver einen Reaktor im Anschluss auf dessen Reinigung, insbesondere wo ein derartiger Reaktor für das PECVD von Titan verwendet wird, zu konditionieren.
  • Eine weitere Aufgabe der vorliegenden Erfindung besteht darin, die Effektivität der Leistung der nachfolgenden Ti-CVD und der TiN-CVD-Prozesse zu verbessern und die hierfür notwendige Ausrüstung zu verringern.
  • Ein Aspekt der vorliegenden Erfindung stellt ein Verfahren zum Betreiben einer CVD-Arbeitskammer für das chemische Abscheiden einer Schicht aus Titan oder eines Titan enthaltenden Materials auf Substrate bereit, wenn diese in der Kammer angeordnet sind, wobei das Verfahren die folgenden Schritte enthält: nach dem chemischen Abscheiden von Titan in der Kammer, während dem in der Kammer eine Titanschicht oder eine Titan enthaltende Schicht auf einer Oberfläche eines Substrats sowie TiClx auf die Oberfläche der Bestandteile in der Kammer abgeschieden wird und die Oberflächen dieser Bestandteile bedeckt, wird ein Oxidations- oder Reduktionsgas der Kammer zugeführt und die mit TiClx beschichteten Oberflächen der Bestandteile der Kammer diesem Gas ausgesetzt, dadurch gekennzeichnet, dass die TiClx beschichteten Oberflächen der Bestandteile bzw. der Bauteile der Kammer dem Oxidations- oder Reduktionsgas für eine Zeitperiode ausgesetzt werden, welche ausreicht, die TiClx beschichteten Oberflächen zu stabilisieren, und dass das Oxidations- oder Reduktionsgas der Kammer mit dem noch in der Kammer befindlichen Substrat zugeführt wird, wobei die Schicht auf dem Substrat gleichzeitig mit der Stabilisierung der TiClx beschichteten Oberflächen dadurch stabilisiert wird, dass die Schicht dem Oxidations- oder Reduktionsgas ausgesetzt wird.
  • In einem weiteren Aspekt stellt die vorliegende Erfindung ein Verfahren zum Reinigen einer CVD-Arbeitskammer eines Reaktors für den Einsatz bei dem chemischen Abscheiden einer Schicht aus Titan oder eines Titan enthaltenden Materials auf eine Oberfläche eines Substrats bereit, wobei das Verfahren die folgenden Schritte enthält: Reinigen der Kammer, um von den Oberflächen der Bestandteile bzw. Bauteile des Reaktors vorher abgeschiedenes Beschichtungsmaterial zu entfernen, und im Anschluss auf einen derartigen Reinigungsvorgang sowie vor dem Zuführen eines Substrats in die Kammer für die Ti-CVD-Bearbeitung Erhöhen der Temperatur der Reaktorbestandteile, anschließend Zuführen eines Titan enthaltenden Gases in die Kammer und chemisches Reagieren des Gases, um die Abscheidung von TiClx auf den Oberflächen der erhitzten Bestandteile des Reaktors in der Kammer zu bewirken, und anschließend Zuführen eines Oxidations- oder Reduktionsgases der Kammer sowie Aussetzen der TiClx beschichteten Schichten auf den Oberflächen der Bestandteile für eine Zeitperiode, die ausreicht, die TiClx beschichteten Oberflächen zu stabilisieren.
  • Diese Aspekte bzw. Gesichtspunkte der vorliegenden Erfindung basieren zum Teil auf der Feststellung, dass die Kammerstabilisierung durch Oxidation oder Reduktion/Passivierung der innenliegenden Reaktoroberflächen eine unkontrollierte Quelle an Titan enthaltenden Elementen beseitigt, die flüchtig genug sind, um die Waferoberfläche zu erreichen.
  • Die vorliegende Erfindung erlaubt, eine stabilisierte, Titan enthaltende Schicht in einem Ti-PECVD-Reaktor bereit zu stellen, wenn Änderungen in den Reaktorbedingungen auftreten. Derartige Änderungen in den Reaktorbedingungen umfassen diejenigen, die durch das Reinigen der innenliegenden Reaktorbauteile bzw. Reaktorbestandteile auftreten, was beispielsweise Titan enthaltende Ablagerungen bzw. Abscheidungen von den Oberflächen der Reaktorbestandteile entfernt, sowie solche, die durch die Verwendung des Reaktors, um beispielsweise ein Ti-PECVD- Vorgang auf einem Wafer auszuführen, auftreten, was zu der Bildung von Titan enthaltenden Schichtabscheidungen auf den Reaktorbestandteilen führt.
  • Die Erfindung ermöglicht eine gesteuerte Oxidation oder Reduktion/Passivierung von Titan tragenden bzw. enthaltenden Schichten, wie beispielsweise durch Nitrierung oder eine andersartige Passivierung der Schicht, was in einem Ti-PECVD- Reaktor im Anschluss auf Änderungen in der Natur oder dem Ausmaß der Titan tragenden Schichten an den Reaktorbestandteilen auszuführen ist. Sie ermöglicht darüber hinaus ein gesteuertes Abscheiden von Reaktanten, Reaktionsprodukten und Reaktionsnebenprodukten oder entsprechenden Materialien, die in der Kammer im Anschluss auf das Reinigen der Kammer vorhanden sind. Außerdem wird ein gesteuertes Vorhandensein von einem oder mehreren Oxidations- oder Reduktionsmitteln, die in der Kammer vorzusehen sind, in frühen Stufen der Wiederaufnahme im Anschluss an ein Reinigen der Kammer ermöglicht. Darüber hinaus erlaubt die Erfindung, dass Schichten, die auf den Oberflächen der Reaktorbestandteile ausgebildet sind und die zu oxidieren oder zu reduzieren oder zu passivieren sind, in einer gesteuerten Art und Weise im Anschluss an das Ausführen eines Ti- PECVD-Prozesses in dem Reaktor erzeugt werden, um in einem stabilisierten Zustand die Schicht aufrecht zu erhalten, die auf den Bestandteilen während des Ausführens eines derartigen Prozesses abgeschieden wird. Vorzugweise wird diese gesteuerte Oxidation oder Reduktion und Passivierung nach jeder Ti-PECVD- Abscheidung auf einem einzelnen Substrat oder zumindest nach einer vorbestimmten Zahl an Ti-PECVD-Abscheidungen auf einer Reihe von Substraten ausgeführt.
  • Bei einem bevorzugten Ausführungsbeispiel der Erfindung wird ein Reaktor zur Atmosphäre hin geöffnet und nass gereinigt, um Titan tragende Schichten zu entfernen, die sich an den Oberflächen der Reaktorbestandteile akkumuliert haben. Der Reaktor wird anschließend geschlossen und ein Vakuum innerhalb des Reaktors wieder aufgebaut. Die Bestandteile des Reaktors werden anschließend über einen Zeitraum einem Plasma ausgesetzt, das in einer Mischung aus Argon- und Wasserstoffgas innerhalb der Kammer gebildet ist. Insbesondere wird das Gaszuführsystem, vorzugsweise in der Form eines Sprühkopfes, über eine Zeitdauer beispielsweise von 1 bis 5 Minuten dem H&sub2;/Ar-Plasma ausgesetzt. Durch das Plasmaaussetzen des Sprühkopfes und anderer Bestandteile werden Verunreinigungen von den Oberflächen der Bestandteile entfernt.
  • Vorzugsweise durchläuft der Reaktor anschließend die Schritte eines Ti-PECVD- Vorgangs jedoch ohne einen Wafer in der Kammer, um die Reaktorbestandteile mit einer Titan tragenden Schicht vorzubeschichten. In dem Fall, bei dem der Suszeptor aus einem Metall, wie einer Nickellegierung, hergestellt ist, verhindert die Bildung einer derartigen Vorbeschichtung auf der Oberfläche des Suszeptors das Anhaften von Silizimwafern an dem heißen Suszeptor. Vorzugsweise wird der Sprühkopf auf eine Temperatur vorerwärmt, die für einen Ti-PECVD-Reaktor oder einem solchen Vorgang vorzugsweise höher als 425ºC ist. Eine Wirkung des Erwärmens des Sprühkopfes besteht darin, die Adhesion der Titan tragenden Schicht zu verbessern, die abgeschieden wird, wenn dieser anfängliche Ti-PECVD-Vorgang in dem Reaktor unmittelbar im Anschluss an die H&sub2;/Ar-Plasmareinigung abläuft.
  • Im Anschluss an die Vorbeschichtung der Reaktorbestandteile wird eine schnelle Prozessunterbrechung des Reaktors durch Erzeugen eines H&sub2;/Ar-Plasma in dem Reaktor ausgeführt, bevor TiCl&sub4; dem Reaktor zugeführt wird. Ein Vorteil des zunächst Erzeugens des H&sub2;/Ar-Plasmas besteht darin, dass das direkte Aussetzen der heißen metallischen Oberflächen von TiCl&sub4;-Gas verhindert wird.
  • Bei einem weiteren bevorzugten Ausführungsbeispiel der Erfindung wird der Reaktor In-Situ gereinigt, ohne dass er zur Atmosphäre hin geöffnet wird. Eine derartige Reinigung wird üblicherweise durch Einführen von Fluor oder Chlor enthaltenden Gasen in die Kammer, die häufig ein Plasma dabei bilden, ausgeführt. Beispiele derartiger Gase sind Stickstofftrifluorid (NF&sub3;), Triflourchlor (ClF&sub3;) oder Chlor (Cl&sub2;). Der In-Situ-Reinigungsvorgang wird ausgeführt, um Titan tragende Schichten oder andere Verunreinigungen zu entfernen, die sich auf den Oberflächen der Reaktorbestandteile angesammelt haben. Im Anschluss an eine derartige In-Situ-Reinigung werden sämtliche Reinigungsgase und Reaktionsprodukte aus der Kammer entfernt. Das Reinigen enthält das Aussetzen der Bestandteile des Reaktors für eine Zeitdauer einem Plasma, welches aus einer Mischung aus Argon-, Wasserstoff- und Ammoniakgasen, wie sie beispielsweise im Anschluss an die vorstehend erläuterte Nassreinigung dargestellt worden sind, hergestellt wird. Diese Plasmareinigung wird für 1 bis 10 Minuten oder solang notwendig ausgeführt, um Fluor und Chlor enthaltende Elemente aus der Kammer zu entfernen. Anschließend wird die Kammer durch Pumpen zumindest fünfmal entleert bzw. gespült.
  • Anschließend durchläuft der Reaktor vorzugsweise die Schritte eines Ti-PECVD- Vorganges, ohne dass sich ein Wafer in der Kammer befindet, um die Reaktorbestandteile mit einer Titan tragenden Schicht vorzubeschichten, wie es im Anschluss auf den vorstehend erläuterten Nassreinigungsvorgang ausgeführt worden ist. Daraufhin wird im Anschluss auf die Vorbeschichtung der Reaktorbestandteile eine schnelle Verfahrensunterbrechung des Reaktors durch Erzeugen eines H&sub2;/Ar- Plasmas in dem Reaktor ausgeführt, bevor TiCl&sub4; in den Reaktor eingeführt wird. Ein Vorteil des zunächst Erzeugens des H&sub2;/Ar-Plasmas besteht darin, dass ein unmittelbares Aussetzen der heißen metallischen Oberflächen dem TiCl&sub4;-Gas verhindert wird.
  • Bei einer weiteren bevorzugten Ausführungsform der Erfindung werden der Ti- PECVD-Vorgang und der Reaktor während des kontinuierlichen Betriebes des Reaktors und während des Ausführens des Vorganges durch Ausführen von Stabilisierungsschritten im Anschluss auf die individuellen Abscheidungen auf die Wafer stabil gehalten. Die Titan tragenden Schichten, die sich auf den Reaktorbestandteilen während des kontinuierlichen Betriebes eines Ti-PECVD-Vorganges angesammelt haben, können sich in der Zusammensetzung unterscheiden und können zu einer konstanten Änderung des Reaktors führen und die Prozessbedingungen durch Oxidation oder Reduktion der Schichten und Passivierung der Schichten in geeigneter Weise auf einem kontrollierten Weg im Anschluss auf die individuellen Abscheidungen auf den Wafern in der Kammer stabilisiert werden, was entweder einer ausgewählten Zahl an Abscheidungen oder vorzugsweise jeder Ausführung eines Ti- PECVD auf einem Wafer folgt, bevor ein neuer Wafer in die Kammer für einen ähnlichen Ti-PECVD-Vorgang bzw. einer ähnlichen Ti-PECVD-Bearbeitung eingebracht wird.
  • Die Stabilisierungsschritte enthalten das Zuführen von gesteuerten Mengen an Oxidations- oder Reduktionsgasen, wie Sauerstoff (O&sub2;) oder Wasserdampf (H&sub2;O), um eine Oxidationsreaktion mit den neu abgelagerten bzw. abgeschiedenen Schichten auf den Reaktoroberflächen auszuführen, oder das Zuführen von abgemessenen bzw. gesteuerten Mengen an Gasen, wie Wasserstoff (H&sub2;), Ammoniak (NH&sub3;), Silan (SiH&sub4;), Methan (Ch&sub4;) oder Diboran (B&sub2;H&sub6;), um beispielsweise eine Reduktionsreaktion zu erzeugen. Das Gas wird in der Kammer am Strömen gehalten. Ein Plasma kann darüber hinaus mit dem Gas gebildet werden, um die Reaktion zu verstärken. NH&sub3; ist insbesondere für den Stabilisierungsvorgang vorteilhaft und wird in die Kammer mit Argon eingeführt, welches auf ein Hochfrequenzplasma durch zu führen von Energie gebracht wird, wobei der Suszeptor und der Sprühkopf erwärmt werden. Der Stabilisierungsschritt im Anschluss an jede Ti-PECVD-Bearbeitung eines Wafers kann üblicherweise von 10 bis 60 Sekunden in Abhängigkeit der Bedingungen dauern.
  • Bei einer bevorzugten Ausführungsform der Erfindung wird ein Passivierungsschritt in dem Reaktor im Anschluss zu jeder Titan-Abscheidung ausgeführt. Der Passivierungsschritt wird ausgeführt, bevor der Wafer, auf dem die Titanschicht abgeschieden worden ist, aus der Kammer entfernt wird, so dass ein nachfolgender Titan- Passivierungsschritt auf der Titanschicht, die auf dem Wafer abgeschieden worden ist, zu dem Zeitpunkt ausgeführt wird, bei dem der Passivierungsschritt auf der Titanschicht, die auf den Reaktorbestandteilen abgeschieden worden ist, ausgeführt wird. Wo die Passivierung des Wafers durch Erzeugen einer TiN-Schicht erwünscht ist, wird im Anschluss auf die Titan-Abscheidungsreaktion NH&sub3; in die Ti-PECVD- Reaktorkammer eingeführt und reagiert, um eine TiN-Schicht über den Titanschichten auf dem Wafer und den Reaktorbestandteilen, vorzugsweise bei einem plasmaverstärktem Prozess, zu bilden. Vorzugsweise wird darüber hinaus ein Wasserstoff- Argonplasma in dem Reaktor mit dem NH&sub3; gebildet, welches eingeführt worden ist, wenn die Temperatur des Reaktorsprühkopfes zumindest bei 425ºC liegt, wobei die Suszeptor-Temperatur bei ca. 630ºC, die Temperatur des Wafers bei ca. 590ºC und die der Wände des Reaktors zwischen 100ºC und 200ºC liegen.
  • Alternative Passivierungsgase können für den Kammerpassivierungsvorgang verwendet werden. Wo derartige Gase nicht mit der Bearbeitung des Wafers kompatibel sind, kann der Wafer aus der Kammer entfernt werden und die Passivierung in der Kammer ohne einem dort befindlichen Wafer ausgeführt werden. Wo in solchen Fällen die akkumulierte Schicht in der Kammer gering ist, kann die Passivierung der Kammer in Intervallen im Anschluss auf die Titan-Abscheidungen nach einer Reihe von unterschiedlichen Wafern ausgeführt werden.
  • Spezifische Prozess- bzw. Bearbeitungsparameter, die insbesondere bevorzugt sind, werden im Detail in den Beispielen und den speziellen Ausführungsbeispielen, die nachstehend beschrieben werden, dargelegt.
  • Mit der Stabilisierung des auf den Reaktorbestandteilen abgeschiedenen Materials in der Kammer, was in einer gesteuerten Weise ausgeführt wird, erreichen die Reaktoroberflächen einen konditionierten Zustand in dem Reaktor in sehr kurzer Zeit, viel kürzer als dies andernfalls erforderlich sein würde, und Änderungen in den Prozessbedingungen während des Ausführens des Ti-PECVD-Vorgangs werden vermieden. Obwohl die Stabilisierung langsam ausgeführt werden kann, wenn sie sich selbst in Folge der Reaktion mit verbliebenem Wasserdampf in der Kammer überlassen wird, stabilisiert der gesteuerte Einsatz der Oxidation- oder der Reduktion- und der Passivierungsmittel während des Prozesses die Schicht schnell und in wiederholbarer Weise. Insbesondere wird die Zeit, die erforderlich ist, um eine stabilisierte TiClx basierende Beschichtung auf den Reaktoroberflächen zu erzeugen, gesteuert und signifikant verringert. Weiterhin hält die Stabilisierung der akkumulierten Schicht nach jeder Abscheidung während des kontinuierlichen Betriebes des Reaktors den Prozess stabil für die Bearbeitung von Tausenden von Wafern. Dieses Verfahren ist über Verfahren, die auf häufige In-Situ-Reinigung und die Wiederaufnahme nach der In-Situ-Reinigung beruhen, bevorzugt.
  • Insbesondere wird vom Standpunkt des Abscheidens, wie beispielsweise von Ti- CVD, nach entweder einer Nassreinigung oder einer chemischen Reinigung, beispielsweise durch Verwendung von NF&sub3;, Material auf den Kammerwänden abgeschieden und oxidiert oder reduziert/nitriert in eine vollständig isolierende und chemisch stabile Schicht, wobei ein instabiler Betrieb vermieden wird, oder alternativ die Notwendigkeit für eine längere Unterbrechungsdauer. Typischerweise tritt im Anschluss auf die Reaktorreinigung die Stabilisierung über eine Zeitdauer ein, die mit der Zeit vergleichbar ist, welche für die CVD-Bearbeitung von weniger als 5 Wafern notwendig ist, üblicherweise 1 Wafer, wogegen früher ca. 75 Konditionierungswafer erforderlich waren, bevor die kommerzielle Herstellbearbeitung der Wafer wieder aufgenommen werden konnte. Sogar nach einer langen Leerlauf- bzw. Leerstandszeit, beispielsweise nach einem Abschalten der Maschine über Nacht, ist die Unterbrechungszeit üblicherweise auf 0 Wafer verringert.
  • Darüber hinaus treten ohne den Stabilisierungsschritt der vorliegenden Erfindung, der im Anschluss auf die Bearbeitung der einzelnen Wafer ausgeführt wird, Instabilitäten über den kontinuierlichen Betrieb des Reaktors nicht auf. Derartige Passivierungen von Beschichtungen, die auf den Reaktorbestandteilen zwischen dem Abscheiden auf den Wafern bei dem kommerziellen Vorgang abgeschieden werden, verstärken den Vorgang und die Reaktorstabilität. Im Falle eines Ti-CVD, wo jede Abscheidung auf einen Wafer zu der Abscheidung von zusätzlichem metallischem Titan auf den Reaktorbestandteilen führt, kann die Erzeugung einer Passivierungsschicht auf dem Titan auf den Reaktorbestandteilen durch eine Behandlung von ca. 10 bis 60 s, üblicherweise 30 s, mit einem NH&sub3;-Plasma zwischen jedem aufeinanderfolgenden Prozess des Ti-CVD auf den Wafern ausgeführt werden. Dies hält konstante Schichteigenschaften, wie beispielsweise die Widerstandsfähigkeit und die Schichtdickengleichförmigkeit, sowie konsistente Abscheideraten aufrecht.
  • Die vorstehend erläuterten Konzepte können für andere metallische, anorganische CVD-Prozesse gebraucht werden, insbesondere diejenigen, die beim Einsetzen von reaktiven Grenzmaterialien zusätzlich zu Titan, wie beispielsweise Tental, verwendet werden.
  • Diese sowie weitere Aufgaben der vorliegenden Erfindung werden ohne Weiteres aus den nachstehenden, detaillierten Beschreibungen der bevorzugten Ausführungsformen der Erfindung deutlicher zu Tage treten.
  • Kurze Beschreibung der Zeichnungsfiguren:
  • Fig. 1 ist ein Flussdiagramm eines Verfahrens, welches die Prinzipien der vorliegenden Erfindung bei einem Nassreinigungsvorgang in einer CVD-Kammer einschließt.
  • Fig. 2 ist ein Flussdiagramm ähnlich zu Fig. 1 eines Verfahrens, welches die Prinzipien der vorliegenden Erfindung bei einem In-Situ-Reinigungsvorgang in einer CVD-Kammer einschließt.
  • Fig. 3 ist ein Flussdiagramm ähnlich zu den Fig. 1 und 2 eines Verfahrens, welches die Prinzipien der vorliegenden Erfindung bei der kontinuierlichen CVD- Bearbeitung und der Passivierung von Substraten einschließt.
  • Fig. 4 ist ein Flussdiagramm, welches im Detail einen bevorzugten Stabilisierungsschritt der Verfahren der Fig. 1 bis 3 wiedergibt.
  • Ausführliche Beschreibung der bevorzugten Ausführungsformen
  • Bevorzugte Ausführungsformen der vorliegenden Erfindung enthalten die Bearbeitung von Halbleiterwafern durch chemisches Dampfabscheiden (CVD) aus der Gasphase und insbesondere durch Plasma verstärktes chemisches Dampfabscheiden (PECVD) aus der Gasphase in einem CVD-Reaktor, wie in einem Reaktor, der in dem US-Patent 5,370,738 offenbart ist. Der Vorgang von besonderem Interesse für die Anwendung der vorliegenden Erfindung enthält eine Reaktion für das Abscheiden von Titan (Ti) auf einem Silitiumwafer durch die Reduktion von TiCl&sub4; durch Reduktion mit H&sub2;, welches ein HCl-Gas als ein Nebenprodukt erzeugt. Bei der Ausführung einer derartigen Reaktion in einem CVD-Reaktor wird Titan auf den Oberflächen der Reaktorbestandteile sowie andere TiClx-Komponenten, wobei x < 4 ist, abgeschieden. Diese Abscheidungen bauen sich auf den Oberflächen der Reaktorbestandteile auf und, falls sie nicht periodisch gereinigt werden, tendieren dazu, die Wafer zu kontaminieren, während diese in der Kammer bearbeitet werden.
  • Die Reinigung einer solchen Reaktorkammer wird periodisch ausgeführt, um die akkumulierten Reaktanten, Reaktionsprodukte und Reaktionsnebenprodukte von den Reaktoroberflächen zu entfernen. Diese Reaktorreinigung kann sowohl In-Situ ohne öffnen des Systems an die Umgebungsluft und vorzugsweise ohne Kühlen irgendeines Teiles der Kammer als auch durch Nassreinigen erreicht werden, was durch Kühlen der Reaktorbestandteile, Öffnen des Systems und Wischen oder Schrubben der Reaktorbestandteile mit Wasser oder anderen Chemikalien ausgeführt wird, um die Abscheidungen von diesen zu entfernen. Die Reinigung ändert den Zustand der Oberflächen in dem CVD-Reaktor durch Entfernen der Abscheidungen. Diese Änderung des Zustandes beeinflusst die Abscheidungsvorgänge, die in dem Reaktor ausgeführt werden, wodurch diese unmittelbar im Anschluss an den Reinigungsvorgang unterschiedlich ausgeführt werden im Vergleich zu den Vorgängen, die unmittelbar vor dem Reinigen ausgeführt worden sind. Derartige Änderungen in der Prozessleistung beeinflussen nachteilig die Konsistenz, und die Qualität der Beschichtungen, die auf den Wafern gebildet werden, zumindest bis eine Schicht auf den Reaktorbestandteilen abgeschieden und stabilisiert worden ist. Bei den Ausführungsbeispielen der Erfindung, die in den Fig. 1 und 2 dargestellt sind, werden diese Effekte vor dem Einsatz des Reaktors für eine weitere Abscheidung auf Wafern entfernt.
  • Ein bevorzugtes Ausführungsbeispiel der Erfindung, das in Fig. 1 dargestellt ist, ist ein Nassreinigungs-, Wiederaufnahme- und Unterbrechungsverfahren 10 für einen Ti-PECVD-Reaktor. Bei dem Nassreinigungs-Wiederaufnahmevorgang 10 wird ein Reaktor heruntergefahren, geöffnet und einem konventionellen Nassreinigungsvorgang 12 unterzogen. Im Anschluss an den Nassreinigungsvorgang 12 wird der Reaktor Standardschritten 14 unterzogen, durch die der Reaktor geschlossen, durchströmt bzw. gespült und auf ein Vakuum gepumpt wird. Anschließend wird eine Mischung aus Wasserstoff- und Argongas in den Reaktor eingeführt und ein Schritt 16 wird ausgeführt, durch den ein H&sub2;/Ar-Plasma erzeugt wird. Dieses Plasma arbeitet für ca. 1 bis 5 min oder bis jede verbleibende Verunreinigung von dem Sprühkopf und jedem in der Nähe befindlichen Bestandteil entfernt wird, und bis der Sprühkopf auf mindestens 425ºC durch das Plasma erwärmt worden ist. Während dieses Plasma-Reinigungs und -erwärmungsschrittes 16 wird der Druck in dem Reaktor auf ca. 2 bis 10 Torr (266,64 bis 1.333,22 N/m²) gehalten, wobei Argon mit einer Rate von ca. 150 bis 450 sccm (Kubikzentimeter pro Minute, wenn bei einer Standardtemperatur und Standarddruck gemessen wird) und Wasserstoff mit einer Rate von 750 bis 5000 sccm eingeführt wird. Dieses Plasma wird mit ca. 250 bis 750 Watt an Hochfrequenzenergie bei 450 kHz erregt. Der Suszeptor des Reaktors, welcher üblicherweise mit einem Heizsystem, wie einer internen Widerstandsheizeinrichtung, ausgerüstet ist, wird ebenfalls auf eine Temperatur erwärmt, die seine Waferhalteoberfläche auf ca. 590ºC bringen würde, was eine Widerstandsheizeinrichtung erforderlich machen würde, die auf ca. 630ºC zu erhitzen ist. Die Wände des Reaktors, welche üblicherweise mit Heizelementen ausgerüstet sind, werden auf ca. 100ºC bis 200ºC gehalten.
  • Wenn die Verunreinigungen durch das Plasma entfernt und die Bestandteile auf die geeigneten Temperaturen gebracht worden sind, wird ein Ti-PECVD-Vorgang 18 in der Kammer des Reaktors ausgeführt, ohne dass ein Wafer auf dem Suszeptor vorhanden ist. Das Ausführen dieses Vorganges beschichtet die Oberfläche des Suszeptors, des Sprühkopfes, die Wände des Reaktors und andere Oberflächen von innenliegenden Reaktorbestandteilen mit einer dünnen Titan tragenden Schicht. Die Abscheidung wird durch zunächst Initiieren eines Ar/H&sub2;-Plamas vor Einführen des TiCl&sub4;-Gases im Vorfeld der Ti-Abscheidungsreaktion ausgeführt. Die Initiierung des Plasmas verhindert, dass die heißen Metalloberflächen, wie die eines Nickellegierungssuszseptors, falls vorhanden, störende Metallchloride erzeugen. Der Titanabscheidungsvorgang wird mit einem Druck in dem Reaktor ausführt, der bei ca. 2 bis 10 Torr (266,64 bis 1.333,22 N/m²) gehalten wird, wobei TiCl&sub4; mit einer Rate von 2,5 bis 7,5 sccm und Wasserstoff mit einer Rate von ca. 750 bis 5.000 sccm und Argon mit einer Rate von ca. 150 bis 450 sccm eingeführt werden. Das Plasma wird mit ca. 250 bis 750 Watt einer Hochfrequenzenergie bei 450 kHz erregt. Der Suszeptor des Reaktors wird bei einer Temperatur gehalten, die seine Waferhalteoberfläche auf ca. 590ºC bringt, welches üblicherweise eine Widerstandsheizeinrichtung erfordern würde, die auf ca. 630ºC zu erhitzen ist. Der Sprühkopf wird auf eine Temperatur von zumindest ca. 425ºC und die Wände des Reaktors auf einer Temperatur zwischen ca. 100ºC bis 200ºC gehalten. Wenn der Suszeptor nicht aus einem Metall, wie Nickel, hergestellt ist, ist das Erleichtern des Abscheidens auf dem Suszeptor nicht notwendig.
  • Im Anschluss auf die Ti-PECVD-Vorbeschichtung 18 der Kammer wird ein Stabilisierungs- oder Passivierungsschritt 20 auf der titanreichen Schicht ausgeführt, die auf den Kammerbestanteilen abgeschieden worden ist. Bei dem Nassreinigungs- und Unterbrechungsverfahren 10 wird die Reaktorreinigung durch Abscheiden einer stabilisierten Titanschicht mittels gesteuerter Reaktion der abgeschiedenen Titanschicht über ein kontrolliertes Einführen eines Oxidierungs- oder Reduzierungsmittels, das in der Kammer vorzusehen ist, ausgeführt. Der Stabilisierungsvorgang 50 des Schrittes 20 wird nachstehend näher in Verbindung mit der Diskussion der Fig. 4 erläutert. Sobald der Reaktor gemäß dem Verfahren 10 gereinigt worden ist, kann die kontinuierliche Bearbeitung von Wafern in der Kammer, vorzugsweise durch ein Verfahren, welches in Verbindung mit Fig. 3 nachstehend erläutert wird, ausgeführt werden.
  • Fig. 2 zeigt ein In-Situ-Reinigungs- und Unterbrechungsverfahren gemäß einer weiteren bevorzugten Ausführungsform der Erfindung. Bei dem Verfahren 30 wird der Reaktor abgedichtet und in einem Vakuumzustand belassen und wird bei entfernten Wafern einem konventionellen In-Situ-Reinigungsvorgang 32 unterzogen, bei dem ein Reinigungsgas wie NF&sub3;, ClF&sub3; oder Cl&sub2; eingesetzt wird, üblicherweise mit einem Plasma, um die abgeschiedenen Schichten von den Reaktoroberflächen zu entfernen. Im Anschluss an den In-Situ-Reinigungsvorgang 32 wird der Reaktor dem Schritt 34 des Erzeugens eines NH&sub3;/H&sub2;/Ar-Plasma, welches für ca. 1 bis 10 min gebildet wird, um verbleibende Reinigungsgase und Verunreinigungen aus dem Reaktor zu entfernen, unterzogen. Anschließend wird der Reaktor einer Reihe 36 von Pumpspülzyklen, vorzugsweise zumindest 5 an der Zahl, unterzogen, wobei die Reaktorkammer auf einen Druck von annähernd 5 bis 20 Torr (666,61 bis 2.666,44 N/m²) des inerten Gases, wie Argon oder Stickstoff, gebracht wird, und anschließend auf weniger als 1 Torr (133,32 N/m²) gepumpt wird. Falls notwendig wird anschließend Ha/Ar-Plasma verwendet, um den Sprühkopf auf zumindest 425ºC zu erhitzen, wie bei dem Plasmareinigungs- und Plasmaerhitzungsschritt 16, der in Verbindung mit dem Nassreinigungsvorgang 10 vorstehend erläutert worden ist. Der Druck in dem Reaktor wird auf ca. 2 bis 10 Torr (266,64 bis 1.333,22 N/m²) gehalten, wobei Argon mit einer Rate von 150 bis 450 sccm und Wasserstoff mit einer Rate von 750 bis 5.000 sccm eingebracht wird. Das Plasma wird mit ca. 250 bis 750 Watt einer Hochfrequenzenergie von 450 kHz erregt. Der Suszeptor des Reaktors wird auf einer Temperatur gehalten, die seine Waferhalteoberfläche auf ca. 590ºC bringen würde, die Wände des Reaktors auf einer Temperatur zwischen ca. 100ºC bis 200ºC gehalten und der Sprühkopf auf einer Temperatur von zumindest 425ºC gehalten. Anschließend wird der Reaktor dem Ti-PECVD-Prozessschritt 18 als die titanreiche Schicht unterzogen, die auf den Kammerbestandteilen abgeschieden worden ist. Wenn der Reaktor gemäß dem Verfahren 30 gereinigt worden ist, kann die kontinuierliche Bearbeitung von Wafern in der Kammer, vorzugsweise durch ein Verfahren, wie es in Verbindung mit Fig. 3 nachstehend erläutert ist, ausgeführt werden.
  • Der kontinuierliche Betrieb eines Reaktors für das Ti-PECVD auf Wafern wird vorzugsweise in Übereinstimmung mit einem Verfahren 40, das in Fig. 3 gezeigt ist, ausgeführt. Bei dem kontinuierlichen Waferbearbeitungsverfahren 40 wird ein neuer, eine Bearbeitung mit einem Ti-PECVD-Verfahren zu erwartender Wafer in die Reaktorkammer (Schritt 41) eingebracht. Ein solcher Wafer kann üblicherweise ein Siliziumhalbleiterwafer sein, der Halbleitereinrichtungen, die teilweise darauf ausgebildet sind, mit üblicherweise einer oberen Isolierlage aufweist, welche ein Muster an ein hohes Aspektverhältnis aufweisenden Löchern besitzt, die leitfähigen Kontakten ausgesetzt sind und die mit einer Schicht aus Titan vor dem Aufbringen einer oberen leitfähigen Metalllage eines Materials, wie Wolfram, zu beschichten sind, mit dem die Kontakte elektrisch verbunden sind. Anschließend wird ein Ti-PECVD- Prozess 42 auf dem Wafer ausgeführt.
  • Der Ti-PECVD-Prozess 42 wird vorzugsweise durch zunächst Initiieren eines H&sub2;/Ar- Plasmas in der Kammer (Schritt 43) ausgeführt, was von dem Einführen von TiCl&sub4; (Schritt 44) und dem Ausführen der Titanreduktionsreaktion durch Ti-PECVD (Schritt 45) gefolgt wird. Der Ti-PECVD-Schritt 45 wird vorzugsweise unter den folgenden Bedingungen ausgeführt: Druck von annähernd 2 bis 10 Torr (266,64 bis 1.333,22 N/m²), TiCl&sub4; bei einer Rate von annähernd 2,5 bis 7,5 sccm, Wasserstoff bei einer Rate von annähernd 750 bis 5.000 sccm, Argon bei einer Rate von annähernd 150 bis 450 sccm, das Plasma bei ca. 250 bis 750 Watt einer Hochfrequenzenergie von 450 kHz, einer Wafertemperatur von 590ºC, einer Sprühkopftemperatur von zumindest 425ºC und einer Reaktorwandtemperatur von ca. 100ºC bis 200ºC. Wenn der Ti-PECVD-Schritt 45 beendet ist, wird ein Stabilisierungsschritt 46 in dem Reaktor ausgeführt, welcher sowohl die Passivierung der Titanbeschichtung auf dem Waver als auch die Stabilisierung der Titanablagerungen auf den Oberflächen der Reaktorbestandteile enthält. Der bevorzugte Passivierungs- oder Stabilisierungsschritt 46 wird vorzugsweise mit einem NH&sub3;-Plasma ausgeführt, um eine TiN-Schicht über der Titanschicht auf dem Wafer und den Reaktorkomponenten zu erzeugen, kann jedoch alternative Verfahren enthalten, wie es nachstehend in Verbindung mit Fig. 4 erläutert wird. Es wurde festgestellt, dass die Passivierungszeit, die für einen solchen Schritt notwendig ist, um die Titanschicht auf den Reaktorkomponenten bzw. Reaktorbestandteilen zu stabilisieren, geringer ist als die Passivierungszeit, welche erforderlich ist, um eine TiN-Schicht auf dem Wafer zu erzeugen, so dass eine TiN-Bearbeitungszeit, welche für die TiN-Bearbeitung des Wafers geeignet ist, ebenfalls verwendet werden kann, um die Kammer zu passivieren. Der Passivierungsschritt 46 schreitet in Übereinstimmung mit einem Passivierungsvorgang, der in Verbindung mit Fig. 4 nachstehend erläutert ist, fort. Wenn der Passivierungsschritt 16 beendet worden ist, ist der gesamt Ti-PECVD-Vorgang gemäß dieser Ausführungsform der Erfindung beendet und der bearbeitete Wafer wird aus der Kammer herausgenommen (Schritt 47). Wenn zu diesem Zeitpunkt die Kammer nicht zu reinigen ist und weitere Wafer durch das Ti-PECVD bearbeitet werden müssen, wird der Vorgang 40 mit einem neuen Wafer, der in die Reaktorkammer eingebracht worden ist, wiederholt. Anderenfalls kann die Reaktorreinigung gemäß dem Verfahren 10 oder 30 der Fig. 1 oder 2 ausgeführt werden. Während dieses kontinuierlichen Waferbeschichtungsvorganges können Tausende von Wafern bearbeitet werden, bevor eine In-Situ-Reinigung notwendig ist.
  • Der Stabilisierungsvorgang 50 kann im Wesentlichen der gleiche für sowohl den Stabilisierungsvorgang einer leeren Kammer nach der Reinigung mittels Schritt 20 als auch für den Passivierungsvorgang eines Wafers und Stabilisierung der Oberflächen der Kammer nach einer Abscheidung auf einen Wafer, wie im Schritt 46 enthalten, sein. Die Unterschritte eines derartigen Stabilisierungsvorganges sind näher in Fig. 4 erläutert und enthalten den Schritt 51 des Einführens nach jeder Abscheidung von Titan mit oder ohne einem Wafer in der Kammer eines Stabilisierungsgases, eines H&sub2;-Gases und Argon, mit oder ohne der Anwendung eines Plasmas. Das Stabilisierungsgas ist ein Oxidationsgas oder ein Reduktionsgas, welches die Schichten auf einem Wafer oder auf Bestandteilen der Kammer passiviert. Der Schritt 51 enthält das Strömen von Stabilisierungsgas in der Kammer mit einer Strömungsrate von ca. 10 bis 1.000 sccm, von H&sub2; mit einer Strömungsrate von ca. 500 bis 5.000 sccm und von Argon mit einer Strömungsrate von ca. 50 bis 500 sccm. Der Vorgang 50 enthält den optionalen Schritt 53 des Verwendens eines Plasmas, welches mit 250 bis 750 Watt Hochfrequenz angeregt wird. Der Vorgang weist darüber hinaus den Schritt 54 des Aufrechterhaltens der Temperatur der Bestandteile der Kammer bei Temperaturen, die für die Reaktion der betroffenen Reaktanten geeignet ist, auf. Schließlich enthält der Prozess 50 den Schritt 55, welcher es der Kammer ermöglicht, unter diesen Bedingungen für eine Zeit leer zu laufen, die für die Stabilisierungsreaktionen und die Passivierung der Ti-Schichten ausreicht, ausgeführt zu werden.
  • Die Auswahl des Stabilisierungsgases hängt von der Auswahl von irgendeinem der mehreren möglichen Passivierungsreaktionen ab, welche entweder Oxidationsreaktionen oder Reduktionsreaktionen sein können. Oxidationsreaktionen können Oxidierungselemente, wie Sauerstoff (O&sub2;) oder Wasser (H&sub2;O) sein, und können folgende Oxidationsreaktion enthalten:
  • TiClx + y O = TiClx Oy
  • und
  • TiClx + y H&sub2;O = TiOy + HCl.
  • Reduktionsreaktionen können Reduktionselemente wie Wasserstoff (H&sub2;), Stickstoff (N&sub2;), Ammoniak (NH&sub3;), Silan (SiH&sub4;), Methan (CH&sub4;) und Diboran (B&sub2;H&sub6;) sein und die folgenden Reduktionsreaktionen enthalten:
  • TiClx + H&sub2; = Ti + HCl,
  • Ti + N&sub2; = TiN
  • TiClx + NH&sub3; = TiN + HCl (hohe Temperatur),
  • TiClx + y NH&sub3; = (TiClx)(NH&sub3;)y (niedrige Temperatur),
  • TiClx + SiH&sub4; = TiSi&sub2; + HCl,
  • TiClx + CH&sub4; = TiC&sub2; + HCl,
  • und
  • TiClx + B&sub2;H&sub6; = TiB + HCl.
  • Bei diesen Reaktionen kann Argon als eine Trägergas verwendet werden.
  • Bei einer bevorzugten Ausführungsform wird NH&sub3;-Stabilisierungsgas unter Bedingungen verwendet, welche eine Stabilisierungsreaktion von TiClx + NH&sub3; = TiN + HCl erzeugen. Für eine derartige Reaktion sind die bevorzugten Prozessbedingungen wie folgt:
  • Gasströmungs-Unterschritt 51:
  • Gesamtdruck 2-10 Torr (266, 64-1333, 22 Nm²)
  • Argonströmungsrate: 150-450 sccm
  • Stickstoffströmungsrate: 0-5.000 sccm
  • Ammoniakströmungsrate 500-5.000 sccm
  • Plasma-Unterschritt 53:
  • Plasmaenergie 250-750 Watt
  • Plasmaenergiefrequenz 450 kHz
  • Temperaturhalte-Unterschritt 54:
  • Wafertemperatur 590ºC
  • Suszeptortemperatur 630ºC
  • Sprühkopftemperatur > 425ºC
  • Kammerwandtemperatur 100-200ºC
  • und Stabilisierungszeit-Unterschritt:
  • Stabilisierungsschrittzeit von ca. 10-60 Sekunden (in Abhängigkeit der Ti- PECVD-Verfahrensbedingungen).
  • Wo andere Stabilisierungsreaktionen verwendet werden, würden die Stabilisierungsprozessbedingungen solche sein, wie sie für den Fachmann für die Passivierung einer Titanschicht auf einem Wafer mit der ausgewählten Stabilisierungsreaktion wünschenswert ist. Es ist jedoch bevorzugt, dass die Stabilisierung für die Kammer eine Reaktion ist, die mit dem Herstellvorgang des zu bearbeitenden Wafers kompatibel ist. Falls dies nicht der Fall ist, wird dann die Stabilisierung der Kammer in einem Vorgang ausgeführt, der von der Stabilisierung eines Wafers getrennt ist und ohne einen Wafer in der Kammer. Dies erfordert die nachfolgende Ausführung eines Stabilisierungsvorganges an der Kammer und die Ausführung eines Stabilisierungsvorganges an dem Wafer. Generell beeinflussen der Einsatz unterschiedlicher Stabilisierungsreaktionen für die Stabilisierung der Kammer und des Wafers nachteilig den Durchsatz in einer nicht akzeptablen Weise. Dies kann ebenfalls der Fall sein, wo die Passivierung der Kammer für die Ausführung des Passivierungsvorgangs auf einem Wafer zu lange dauert, sogar wenn der gleiche Prozess eingesetzt wird. Wo die Vorgänge aufeinanderfolgend an dem Wafer oder der Kammer auszuführen sind, wird der Vorgang am praktischsten, wenn die Kammer keine Stabilisierung nach jeder Abscheidung auf einen Wafer benötigt, jedoch nach jeder zweiten, dritten oder einer anderen Zahl an Abscheidungen eine Stabilisierung erfordert, für die die Prinzipien der Erfindung eingesetzt werden können.
  • Die Praxis der Erfindung, die vorstehend erläutert worden ist, kann durch Bezugnahme auf die folgenden US-Patente und Anmeldungen des Anmelders verbessert werden: US-Patent Nr. 5,665,640, 5,667,243 und 5,593,511 sowie US- Patentanmeldung Nr. 08/253,978.

Claims (22)

1. Verfahren zum Betreiben einer CVD-Arbeitskammer für das chemische Abscheiden einer Schicht aus Titan oder eines Titan enthaltenden Materials aus der Gasphase auf Substrate, wenn diese in der Kammer angeordnet sind, wobei das Verfahren die folgenden Schritte enthält: nach dem chemischen Abscheiden von Titan aus der Gasphase in der Kammer, während dem in der Kammer eine Titanschicht oder eine Titan enthaltende Schicht auf eine Oberfläche eines Substrats sowie TiClx auf die Oberflächen der Bestandteile in der Kammer abgeschieden wird und die Oberflächen dieser Bestandteile bedeckt, wird ein Oxidations- oder Reduktionsgas der Kammer zugeführt und die mit TiClx beschichteten Oberflächen der Bestandteile der Kammer diesem Gas ausgesetzt, dadurch gekennzeichnet, dass die TiClx beschichteten Oberflächen der Bestandteile der Kammer dem Oxidations- oder Reduktionsgas für eine Zeitperiode ausgesetzt werden, welche ausreicht, die TiClx beschichteten Oberflächen zu stabilisieren, und dass das Oxidations- oder Reduktionsgas der Kammer mit dem noch in der Kammer befindlichen Substrat zugeführt wird, wobei die Schicht auf dem Substrat gleichzeitig mit der Stabilisierung der TiClx beschichteten Oberflächen dadurch stabilisiert wird, dass die Schicht dem Oxidations- oder Reduktionsgas ausgesetzt wird.
2. Verfahren nach Anspruch 1, bei dem der Schritt des Zuführens des Oxidations- oder Reduktionsgases der Kammer und der Schritt des Aussetzens der TiClx beschichteten Oberflächen der Bestandteile der Kammer diesem Gas im Anschluss auf das Abscheiden des Titans aufeinanderfolgend auf jedes einer Vielzahl von Substraten und vor dem Zuführen des nächsten Substrats der Vielzahl von Substrate in die Kammer zum Bearbeiten in der Kammer ausgeführt wird.
3. Verfahren nach Anspruch 1 oder 2, bei dem das Gas aus einer Gruppe ausgewählt wird, die NH&sub3;, H&sub2;, N&sub2;, O&sub2;, H&sub2;O, SiH&sub4;, B&sub2;H&sub6; und CH&sub4; enthält.
4. Verfahren nach Anspruch 3, bei dem das Gas Ammoniakgas ist, welches Titannitrid auf dem abgeschiedenen Titan erzeugt.
5. Verfahren nach einem der vorstehenden Ansprüche, bei dem das chemische Abscheiden aus der Gasphase die folgenden Schritte enthält: Zuführen einer Mischung aus einem Argon- und einem Wasserstoffgas in die Kammer bei einem Vakuumdruckpegel, Erzeugen eines Plasmas mit den Gasen in der Kammer und Zuführen eines Titan enthaltenden Reaktantgases in die Kammer sowie chemisches Reduzieren des Gases, um die Abscheidung einer Titan enthaltenden Schicht innerhalb der Kammer zu bewirken.
6. Verfahren nach einem der Ansprüche 1 bis 4, bei dem das chemische Abscheiden aus der Gasphase die folgenden Schritte enthält: Zuführen einer Mischung aus einem Argon- und einem Wasserstoffgas in die Kammer, Zuführen von TiCl&sub4;-Gas in die Kammer und chemisches Reduzieren dieses Gases mit Wasserstoffgas, um die Abscheidung von Titan zu bewirken.
7. Verfahren nach Anspruch 6, bei dem der Schritt des Zuführens des TiCl&sub4;-Gases den Schritt des chemischen Reduzierens des TiCl&sub4;-Gases mit Wasserstoffgas enthält, um das Abscheiden von Titan zu bewirken.
8. Verfahren nach Anspruch 6 oder 7, bei dem der Schritt des Zuführens der Mischung aus einem Argon- und einem Wasserstoffgas in die Kammer den Schritt des Erzeugens eines Plasmas in der Mischung enthält.
9. Verfahren nach einem der Ansprüche 5 bis 8 soweit diese abhängig von Anspruch 4 sind, bei dem der Schritt des Zuführens des Ammoniakgases in die Kammer bei einem Druck von ca. 2 bis 10 Torr (266, 64 bis 1.333,2 N/m²) mit einer Ammoniakströmungsgeschwindigkeit von ca. 500 bis 5.000 sccm und einer Argonströmungsgeschwindigkeit von ca. 150 bis 450 sccm sowie mit einer Plasmaenergie von ca. 250 bis 750 Watt bei 450 kHz Hochfrequenz und für eine Zeit von zumindest 10 Sekunden ausgeführt wird.
10. Verfahren nach einem der Ansprüche 6 bis 8, bei dem der Schritt des Zuführens des Gases in die Kammer bei einem Druck von ca. 2 bis 10 Torr (266,64 bis 1.333,22 N/m²) mit der Strömungsgeschwindigkeit dieses Gases von ca. 10 bis 5000 sccm, einer Wasserstoffströmungsgeschwindigkeit von ca. 500 bis 5.000 sccm, einer Argonströmungsgeschwindigkeit von ca. 50 bis 500 sccm und mit einer Plasmaenergie von ca. 250 bis 750 Watt bei Hochfrequenz und für eine Zeit von zumindest 10 Sekunden ausgeführt wird.
11. Verfahren nach einem der vorstehenden Ansprüche, bei dem der Schritt des Zuführens des Gases in die Kammer und des Aussetzens der beschichteten Oberfläche diesem Gas mit einem Gas ausgeführt wird, welches innerhalb der Kammer durch einen Sprühkopf zugeführt wird, der auf einer Temperatur von ca. 425ºC gehalten wird.
12. Verfahren nach dem vorstehenden Anspruch, bei dem der Schritt des Zuführens des Gases in die Kammer und des Aussetzens der beschichteten Oberflächen mit Titan enthaltenden Oberflächen innerhalb der Kammer, die auf eine Temperatur von zumindest 100ºC gehalten ist, ausgeführt wird.
13. Verfahren zum Reinigen einer CVD-Arbeitskammer eines Reaktors für den Einsatz bei dem chemischen Abscheiden einer Schicht aus Titan oder eines Titan enthaltenden Materials aus der Gasphase auf eine Oberfläche eines Substrates, wobei das Verfahren die folgenden Schritte enthält: Reinigen der Kammer, um von den Oberflächen der Bestandteile des Reaktors vorher abgeschiedenes Beschichtungsmaterial zu entfernen, und im Anschluss auf einen derartigen Reinigungsvorgang sowie vor dem Zuführen eines Substrates in die Kammer für die Ti-CVD-Bearbeitung Erhöhen der Temperatur der Reaktorbestandteile, anschließend Zuführen eines Titan enthaltenden Gases in die Kammer und chemisches Reagieren des Gases, um die Abscheidung von TiClx auf den Oberflächen der erhitzten Bestandteile des Reaktors in der Kammer zu bewirken, und anschließend Zuführen eines Oxidations- oder Reduktionsgases der Kammer sowie Aussetzen der TiClx beschichteten Schichten auf den Oberflächen der Bestandteile für eine Zeitperiode, die ausreicht, die TiClx beschichteten Oberflächen zu stabilisieren.
14. Verfahren nach Anspruch 13, bei dem der Reinigungsschritt den Schritt des Öffnens und Nassreinigens der Bestandteile der Kammer des Reaktors enthält.
15. Verfahren nach Anspruch 13, bei dem der Reinigungsschritt den Schritt des In- situ-Reinigens der Bestandteile der Kammer des Reaktors mit geschlossener Kammer und unter Vakuum enthält.
16. Verfahren nach einem der Ansprüche 13 bis 15, bei dem vor dem Zuführen des Titan enthaltenden Reaktantgases das Verfahren weiterhin das Zuführen einer Mischung aus inerten Gasen und Reaktantgasen in die Kammer bei einem Vakuumdruckpegel und das Erzeugen eines Plasmas mit den Gasen in der Kammer enthält.
17. Verfahren nach Anspruch 16, bei dem der Plasmaerzeugungsschritt den Schritt des Anhebens der Temperatur eines Sprühkopfes innerhalb der Kammer auf eine Temperatur von zumindest 425ºC enthält.
18. Verfahren nach einem der Ansprüche 16 bis 17, bei dem der Reinigungsschritt den Schritt des Reinigens der Kammer enthält, um vorher abgeschiedenes Titan von den Oberflächen der Bestandteile des Reaktors zu entfernen, der Mischungszuführschritt den Schritt des Zuführens einer Mischung aus einem Argon- und einem Wasserstoffgas in die Kammer bei einem Vakuumdruckpegel enthält und der Beschichtungsmaterial-Zuführschritt den Schritt des Zuführens von TiCl&sub4;-Gas in die Kammer und des chemischen Reduzierens mit dem Wasserstoffgas enthält, um das Abscheiden einer Titanschicht auf Oberflächen der Bestandteile in der Kammer zu bewirken.
19. Verfahren nach Anspruch 18, welches weiterhin die folgenden Schritte enthält: nach dem Schritt des Zuführens eines Oxidations- oder Reduktionsgases in die Kammer und In-Kontakt-Bringen des Gases mit der Schicht auf den Oberflächen der Bestandteile für eine Zeitperiode, die ausreicht, die abgeschiedene Schicht zu stabilisieren, Zuführen eines Substrates in die Kammer, Zuführen des TiCl&sub4;- Gases in die Kammer und chemisches Reduzieren des Gases mit Wasserstoffgas, um das Abscheiden einer Titanschicht auf einer Oberfläche des Substrates zu bewirken.
20. Verfahren nach Anspruch 19, welches weiterhin die folgenden Schritte enthält: nach dem Substratzuführschritt wieder Zuführen eines Oxidations- oder Reduktionsgases der Kammer und In-Kontakt-Bringen des Gases mit der Schicht auf den Oberflächen der Bestandteile des Reaktors für eine Zeitperiode, die ausreicht, die abgeschiedene Schicht zu stabilisieren, und vor dem weiteren Reinigen der Kammer Zuführen eines weiteren Substrates in die Kammer und Bearbeiten des Substrates durch Ausführen eines CVD-Vorganges, um die Beschichtungsmaterialschicht darauf abzuscheiden.
21. Verfahren nach Anspruch 19, welches weiterhin die folgenden Schritte enthält: nach dem Substratzuführschritt und vor dem Entfernen des Substrats aus der Kammer wiederum Zuführen eines Oxidations- oder Reduktionsgases in die Kammer und In-Kontakt-Bringen des Gases mit der Schicht auf der Oberfläche des Substrates für eine Zeitperiode, die ausreicht, die Schicht zu stabilisieren, die auf der Oberfläche des Substrates abgeschieden worden ist.
22. Verfahren nach Anspruch 20 oder 21, bei dem der Schritt des Widerzuführens des Oxidations- oder Reduktionsgases den Schritt des Zuführens von Ammoniakgas in die Kammer und In-Kontakt-Bringen der Schicht auf der Oberfläche des Substrates für eine Zeitperiode enthält, die ausreicht, die Schicht, die auf der Oberfläche des Substrates abgeschieden worden ist, durch Erzeugung von Titannitrit zu stabilisieren.
DE69903531T 1998-04-20 1999-04-14 Verfahren zur passivierung einer cvd-kammer Expired - Lifetime DE69903531T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/063,196 US6635569B1 (en) 1998-04-20 1998-04-20 Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
PCT/US1999/008193 WO1999054522A1 (en) 1998-04-20 1999-04-14 Method of passivating a cvd chamber

Publications (2)

Publication Number Publication Date
DE69903531D1 DE69903531D1 (de) 2002-11-21
DE69903531T2 true DE69903531T2 (de) 2003-06-18

Family

ID=22047603

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69903531T Expired - Lifetime DE69903531T2 (de) 1998-04-20 1999-04-14 Verfahren zur passivierung einer cvd-kammer

Country Status (8)

Country Link
US (1) US6635569B1 (de)
EP (1) EP1071834B1 (de)
JP (1) JP3730865B2 (de)
KR (1) KR100421572B1 (de)
CN (1) CN1168849C (de)
DE (1) DE69903531T2 (de)
TW (1) TW504522B (de)
WO (1) WO1999054522A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016118345A1 (de) * 2016-08-01 2018-02-01 Aixtron Se Konditionierverfahren für einen CVD-Reaktor

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6626186B1 (en) * 1998-04-20 2003-09-30 Tokyo Electron Limited Method for stabilizing the internal surface of a PECVD process chamber
JP4547744B2 (ja) * 1999-11-17 2010-09-22 東京エレクトロン株式会社 プリコート膜の形成方法、成膜装置のアイドリング方法、載置台構造及び成膜装置
US20020094387A1 (en) * 2000-02-24 2002-07-18 Bhan Mohan Krishnan Method for improving chemical vapor deposition of titanium
JP4703810B2 (ja) * 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
TWI297510B (de) * 2000-09-08 2008-06-01 Tokyo Electron Ltd
JP2002167673A (ja) * 2000-09-21 2002-06-11 Tokyo Electron Ltd Cvd成膜方法および付着物の除去方法
KR100408733B1 (ko) * 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR20030002152A (ko) * 2001-06-30 2003-01-08 주식회사 하이닉스반도체 플라즈마 화학기상증착을 이용한 막의 형성방법
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
JP2003138378A (ja) * 2001-10-30 2003-05-14 Anelva Corp 薄膜形成方法
KR20040006481A (ko) * 2002-07-12 2004-01-24 주식회사 하이닉스반도체 식각 및 증착장비의 항상성 개선방법
KR100474535B1 (ko) * 2002-07-18 2005-03-10 주식회사 하이닉스반도체 반도체 소자의 제조 장치
KR100447284B1 (ko) * 2002-07-19 2004-09-07 삼성전자주식회사 화학기상증착 챔버의 세정 방법
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
KR100491396B1 (ko) * 2002-12-03 2005-05-25 삼성전자주식회사 반도체 소자의 피이-테오스(pe-teos)막 형성 방법
JP4325301B2 (ja) * 2003-01-31 2009-09-02 東京エレクトロン株式会社 載置台、処理装置及び処理方法
KR100771799B1 (ko) * 2003-02-07 2007-10-30 도쿄 엘렉트론 가부시키가이샤 피처리 기판을 처리하는 반도체 처리 방법 및 장치
US20050025906A1 (en) * 2003-07-31 2005-02-03 Lin Hui-Chu Lin Method for improving film uniformity in plasma enhanced chemical vapor deposition system
US7267842B2 (en) * 2004-03-15 2007-09-11 Air Products And Chemicals, Inc. Method for removing titanium dioxide deposits from a reactor
US20050221612A1 (en) * 2004-04-05 2005-10-06 International Business Machines Corporation A low thermal budget (mol) liner, a semiconductor device comprising said liner and method of forming said semiconductor device
KR100714269B1 (ko) * 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
CN101310040B (zh) * 2006-02-24 2011-08-17 东京毅力科创株式会社 Ti系膜的成膜方法
KR100914354B1 (ko) * 2006-06-05 2009-08-28 어플라이드 머티어리얼스, 인코포레이티드 Pecvd막에 대한 1차 웨이퍼 효과 제거
KR20090026186A (ko) * 2006-07-11 2009-03-11 도쿄엘렉트론가부시키가이샤 성막 방법, 클리닝 방법 및 성막 장치
KR100761757B1 (ko) * 2006-08-17 2007-09-28 삼성전자주식회사 막 형성 방법
JP5211503B2 (ja) * 2007-02-16 2013-06-12 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2008311457A (ja) * 2007-06-15 2008-12-25 Renesas Technology Corp 半導体装置の製造方法
KR101282544B1 (ko) * 2008-12-12 2013-07-04 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
TWI449092B (zh) * 2009-01-08 2014-08-11 United Microelectronics Corp 半導體機台的清潔方法
CN102373445B (zh) * 2010-08-25 2014-01-08 无锡华润上华半导体有限公司 化学气相淀积反应腔中漏率的监控方法
CN102539092B (zh) * 2010-12-29 2014-08-27 中芯国际集成电路制造(北京)有限公司 检测mocvd反应***情况的方法
JP6087236B2 (ja) * 2013-07-24 2017-03-01 東京エレクトロン株式会社 成膜方法
CN103484933A (zh) * 2013-10-22 2014-01-01 西安电子科技大学 外延化学气相淀积设备的清洗方法
JP6284786B2 (ja) * 2014-02-27 2018-02-28 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法
KR102504290B1 (ko) 2015-12-04 2023-02-28 삼성전자 주식회사 수소 플라스마 어닐링 처리 준비 방법, 수소 플라스마 어닐링 처리 방법, 및 수소 플라스마 어닐링 장치
JP6583054B2 (ja) * 2016-02-26 2019-10-02 東京エレクトロン株式会社 基板処理方法及び記憶媒体
JP2019151892A (ja) * 2018-03-02 2019-09-12 東京エレクトロン株式会社 金属部材の処理方法、処理装置及び評価方法
US10734219B2 (en) * 2018-09-26 2020-08-04 Asm Ip Holdings B.V. Plasma film forming method
JP7296002B2 (ja) * 2018-11-15 2023-06-21 日機装株式会社 半導体発光素子および半導体発光素子の製造方法
KR20210120073A (ko) * 2019-02-28 2021-10-06 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US11512387B2 (en) 2020-04-13 2022-11-29 Applied Materials, Inc. Methods and apparatus for passivating a target
CN113770122A (zh) * 2021-09-13 2021-12-10 浙江爱旭太阳能科技有限公司 一种pecvd设备的清理方法
JP2023059613A (ja) 2021-10-15 2023-04-27 東京エレクトロン株式会社 パーティクル抑制方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3807008A (en) 1969-05-02 1974-04-30 Texas Instruments Inc Chemical vapor deposition coatings on titanium
DE3709066A1 (de) * 1986-03-31 1987-10-01 Toshiba Kawasaki Kk Verfahren zum erzeugen eines duennen metallfilms durch chemisches aufdampfen
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JP2708533B2 (ja) 1989-03-14 1998-02-04 富士通株式会社 Cvd装置の残留ガス除去方法
US5109562A (en) * 1989-08-30 1992-05-05 C.V.D. System Cleaners Corporation Chemical vapor deposition system cleaner
US5264297A (en) 1990-03-09 1993-11-23 Kennametal Inc. Physical vapor deposition of titanium nitride on a nonconductive substrate
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
KR100228259B1 (ko) * 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5536193A (en) 1991-11-07 1996-07-16 Microelectronics And Computer Technology Corporation Method of making wide band gap field emitter
KR960015541B1 (ko) 1992-08-14 1996-11-18 휴우즈 에어크라프트 캄파니 탄소 함유 재료 표면의 질화티타늄 전착용 표면 준비 및 전착 방법
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
US5271963A (en) * 1992-11-16 1993-12-21 Materials Research Corporation Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
JP3590416B2 (ja) * 1993-11-29 2004-11-17 アネルバ株式会社 薄膜形成方法および薄膜形成装置
DE69424759T2 (de) * 1993-12-28 2001-02-08 Applied Materials, Inc. Gasphasenabscheidungsverfahren in einer einzigen Kammer für Dünnfilmtransistoren
WO1995034092A1 (en) 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JPH088212A (ja) * 1994-06-22 1996-01-12 Sony Corp プラズマcvd方法
US5503676A (en) * 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
US5610106A (en) * 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US5567483A (en) 1995-06-05 1996-10-22 Sony Corporation Process for plasma enhanced anneal of titanium nitride
US5591672A (en) 1995-10-27 1997-01-07 Vanguard International Semiconductor Corporation Annealing of titanium - titanium nitride in contact hole
JPH09205070A (ja) 1996-01-25 1997-08-05 Sony Corp プラズマcvd方法、およびこれにより形成された金属膜を有する半導体装置
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
AU6784798A (en) 1997-03-27 1998-10-20 Micron Technology, Inc. Titanium metal treatment method, method of forming an electrically conductive interconnect, and method of reducing contact resistance of an elemental titanium contact

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016118345A1 (de) * 2016-08-01 2018-02-01 Aixtron Se Konditionierverfahren für einen CVD-Reaktor
WO2018024698A1 (de) 2016-08-01 2018-02-08 Aixtron Se Konditionierverfahren für einen cvd-reaktor

Also Published As

Publication number Publication date
WO1999054522A1 (en) 1999-10-28
DE69903531D1 (de) 2002-11-21
JP3730865B2 (ja) 2006-01-05
KR100421572B1 (ko) 2004-03-09
KR20010042889A (ko) 2001-05-25
EP1071834B1 (de) 2002-10-16
EP1071834A1 (de) 2001-01-31
CN1168849C (zh) 2004-09-29
CN1319146A (zh) 2001-10-24
US6635569B1 (en) 2003-10-21
TW504522B (en) 2002-10-01
JP2002512307A (ja) 2002-04-23

Similar Documents

Publication Publication Date Title
DE69903531T2 (de) Verfahren zur passivierung einer cvd-kammer
DE69308847T2 (de) Verfahren zur abscheidung von wolfram auf titannitrid durch cvd ohne silan
DE60027401T2 (de) Radikal-unterstützte sequentielle gasphasenabscheidung
DE69424099T2 (de) Niedertemperaturreinigung von Kaltwand-CVD-Einrichtungen
DE60038250T2 (de) Apparat und verfahren für die minimierung parasitischer cvd während der atomschicht-beschichtung
DE69114373T2 (de) Verfahren zum Herstellen eines Siliziumnitrid-Filmes.
DE69206808T2 (de) Verfahren zur herstellung von titannitridfilmen mit geringem spezifischem widerstand
DE60129380T2 (de) Vorrichtung und Verfahren zum Auftragen einer Dünnschicht auf einen Wafer durch Abscheidung von atomaren Schichten
DE69423371T2 (de) Verfahren und vorrichtung zur herstellung dünner schichten
DE10132882B4 (de) Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung
DE19641058C2 (de) Verfahren zur Bildung eines ferroelektrischen Dünnfilms und Vorrichtung dafür
DE69904910T2 (de) Gaszuführsystem für cvd reaktor und verfahren zu dessen steuerung
DE19820147B4 (de) Verfahren zur Bildung einer leitfähigen Schicht mittels eines atomaren Schichtdepositionsprozesses
US20030037802A1 (en) Semiconductor treating apparatus and cleaning method of the same
JPH07109576A (ja) プラズマcvdによる成膜方法
US6290779B1 (en) Systems and methods for dry cleaning process chambers
DE10335099B4 (de) Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
WO2018046650A1 (de) Cvd-reaktor und verfahren zum reinigen eines cvd-reaktors
DE102006041791A1 (de) Reinigungsverfahren für eine Vorrichtung zum Abscheiden eines Al-aufweisenden Metallfilms und eines Al-aufweisenden Metallnitridfilms
DE69029075T2 (de) Reinigungsverfahren zur Entfernung von Ablagerungen auf dem Träger in einer CVD-Anlage
DE2944500A1 (de) Verfahren zur metallisierung von halbleiterbauelementen
DE69025244T2 (de) Reinigungsverfahren für eine Anlage zur Behandlung von Halbleiterscheiben
DE10319540A1 (de) Verfahren zur ALD-Beschichtung von Substraten sowie eine zur Durchführung des Verfahrens geeignete Vorrichtung
DE69410053T2 (de) Verfahren zur Vorbehandlung von Aluminiumoberflächen einer Aufdampfungskammer vor der Auftragung einer Wolframsilizid-Schicht auf ein Substrat in der Kammer
DE69018307T2 (de) Verfahren zur Herstellung von Kontakten in Halbleiterbauelementen.