JP2002110647A - 半導体集積回路装置の製造方法 - Google Patents

半導体集積回路装置の製造方法

Info

Publication number
JP2002110647A
JP2002110647A JP2000299854A JP2000299854A JP2002110647A JP 2002110647 A JP2002110647 A JP 2002110647A JP 2000299854 A JP2000299854 A JP 2000299854A JP 2000299854 A JP2000299854 A JP 2000299854A JP 2002110647 A JP2002110647 A JP 2002110647A
Authority
JP
Japan
Prior art keywords
etching
insulating film
integrated circuit
circuit device
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000299854A
Other languages
English (en)
Inventor
Takenobu Ikeda
武信 池田
Masahiro Tadokoro
昌洋 田所
Masaru Izawa
勝 伊澤
Takashi Yunogami
隆 湯之上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
NEC Corp
Original Assignee
Hitachi Ltd
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd, NEC Corp filed Critical Hitachi Ltd
Priority to JP2000299854A priority Critical patent/JP2002110647A/ja
Priority to KR1020010059940A priority patent/KR100757652B1/ko
Priority to TW090124115A priority patent/TW546731B/zh
Priority to US09/964,628 priority patent/US6506674B2/en
Publication of JP2002110647A publication Critical patent/JP2002110647A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

(57)【要約】 【課題】 高アスペクト比の孔または溝を穿孔する。 【解決手段】 酸化シリコンからなる絶縁膜1に対し
て、C58、O2およびArのエッチングガスを用いプ
ラズマエッチング処理を施し、絶縁膜1を選択的にエッ
チングすることにより、絶縁膜1に孔3を穿孔する際
に、最初は、ポリマー層のデポジション性が弱い条件で
エッチング処理を行い、続いてポリマー層のデポジショ
ン性が強い条件に切り換えてエッチング処理を行うよう
にした。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路装
置の製造技術に関し、特に、半導体集積回路装置の製造
工程における孔または溝(以下、孔等ともいう)の形成
方法に適用して有効な技術に関するものである。
【0002】
【従来の技術】本発明者らが検討した孔等の形成方法
は、例えば次の通りである。すなわち、層間絶縁膜上に
エッチングマスクを形成した後、例えばフロロカーボン
系のガスおよび酸素を有するエッチングガスを用いてエ
ッチングマスクから露出する層間絶縁膜をエッチング除
去して孔を形成するというものである。ところが、この
際、孔の一部が太くなる問題(ボーイング)やエッチン
グマスクが削れてしまう問題(選択比の低下)が生じ
る。これらの問題を考慮して、エッチングガス中におけ
るフロロカーボン系のガスに対する酸素の量を少なく
し、ポリマー層のデポジション性を高めることが有効で
あるが、デポジション性を高めるとエッチングが進まな
くなる(エッチストップ)という新たな問題が生じる。
そこで、エッチング処理の初期段階では、上記酸素の量
を少な目にし、途中から、上記ボーイングが発生しない
ように、また、選択比の低下が生じないように、上記酸
素の量を微調整しながら増やすようにしている。
【0003】
【発明が解決しようとする課題】ところが、上記孔等の
形成技術においては、以下の課題があることを本発明者
は見出した。すなわち、孔等のアスペクト比が高くなる
につれ、また、孔等の隣接間隔が縮小されるにつれ、孔
等の形成が難しくなる、という問題である。
【0004】また、本発明者らは、本発明の結果に基づ
き、孔等の形成方法の観点で公知例を調査した。この種
の技術については、例えば特開平10−209124号
公報に記載があり、ここには、層間酸化膜に下層の窒化
チタン膜の一部が露出されるようなスルーホールを穿孔
する際に、第1段階で層間酸化膜と窒化チタン膜とのエ
ッチング選択比は低いが異方性の強い条件で層間酸化膜
の総厚の80%程度をエッチング除去し、第2段階で異
方性は弱いが上記エッチング選択比の高い条件で残りの
層間酸化膜をエッチング除去して孔を穿孔する技術が開
示されている。
【0005】本発明の目的は、高アスペクト比の孔また
は溝を穿孔することのできる技術を提供することにあ
る。
【0006】本発明の前記ならびにその他の目的と新規
な特徴は、本明細書の記述および添付図面から明らかに
なるであろう。
【0007】
【課題を解決するための手段】本願において開示される
発明のうち、代表的なものの概要を簡単に説明すれば、
次のとおりである。
【0008】すなわち、本発明は、半導体基板上に堆積
された酸化シリコン系の絶縁膜に対して、フロロカーボ
ン系のガスおよび酸素を有するエッチングガスを用いプ
ラズマエッチング処理を施すことにより、前記酸化シリ
コン系の絶縁膜を選択的にエッチング加工する際に、最
初は、ポリマー層のデポジション性が弱い条件でエッチ
ング処理を行い、続いてポリマー層のデポジション性が
強い条件に切り換えてエッチング処理を行うものであ
る。
【0009】また、本発明は、半導体基板上に堆積され
た酸化シリコン系の絶縁膜に対して、フロロカーボン系
のガスおよび酸素を有するエッチングガスを用いプラズ
マエッチング処理を施すことにより、前記酸化シリコン
系の絶縁膜を選択的にエッチング加工する際に、CF系
の付着物の量に応じてエッチング条件を切り換えてエッ
チングを行うものである。
【0010】また、本発明は、半導体基板上に堆積され
た酸化シリコン系の絶縁膜に対して、フロロカーボン系
のガスおよび酸素を有するエッチングガスを用いプラズ
マエッチング処理を施し、前記酸化シリコン系の絶縁膜
を選択的にエッチングすることにより、前記酸化シリコ
ン系の絶縁膜に孔または溝を穿孔する際に、(a)第1
ステップでは、前記エッチングガス中の酸素の流量比を
第1の流量比として孔または溝の途中深さまでを穿孔す
る工程と、(b)第2ステップでは、前記エッチングガ
ス中の酸素の流量比を前記第1の流量比よりも低くした
状態でエッチング処理を施すことにより、前記孔または
溝を穿孔する工程とを順に行うものである。
【0011】また、本発明は、半導体基板上に堆積され
た酸化シリコン系の絶縁膜に対して、フロロカーボン系
のガスおよび酸素を有するエッチングガスを用いプラズ
マエッチング処理を施し、前記酸化シリコン系の絶縁膜
を選択的にエッチングすることにより、前記酸化シリコ
ン系の絶縁膜に孔または溝を穿孔する際に、(a)第1
ステップでは、前記エッチング装置の上下部電極間のバ
イアスパワーを第1のバイアスパワーとして孔または溝
の途中深さまでを穿孔する工程と、(b)第2ステップ
では、前記エッチング装置の上下部電極のバイアスパワ
ーを前記第1のバイアスパワーよりも低くした状態でエ
ッチング処理を施すことにより、前記孔または溝を穿孔
する工程とを順に行うものである。
【0012】また、本発明は、前記エッチング処理によ
る孔または溝の最終的なアスペクト比が12よりも大き
いものである。
【0013】また、本発明は、前記エッチング処理によ
る孔または溝の最終的なアスペクト比が14よりも大き
いものである。
【0014】また、本発明は、前記エッチング処理によ
る孔または溝の最終的なアスペクト比が16よりも大き
いものである。
【0015】また、本発明は、前記フロロカーボン系の
ガスをC58とするものである。
【0016】また、本発明は、前記エッチングガスがア
ルゴンガスを含むものである。
【0017】
【発明の実施の形態】本願発明を詳細に説明する前に、
本願における用語の意味を説明すると次の通りである。
【0018】1.デバイス面とは、半導体ウエハの主面
であって、その面にフォトリソグラフィーにより、複数
のチップ領域に対応する集積回路パターンが形成される
面をいう。すなわち、「裏面」に対して、その反対側の
主面をいう。
【0019】2.半導体集積回路ウエハまたは半導体ウ
エハとは、半導体集積回路の製造に用いるシリコン単結
晶基板(一般にほぼ円形)、サファイア基板、ガラス基
板その他の絶縁、反絶縁または半導体基板などならびに
それらの複合的基板をいう。また、「半導体集積回路装
置」(あるいは「電子装置」、「電子回路装置」など)
というときは、単結晶シリコン基板上に作られるものだ
けでなく、特にそうでない旨が明示された場合を除き、
上記した各種基板、あるいはさらにSOI(Silicon On
Insulator)基板、TFT(Thin Film Transistor)液晶製
造用基板、STN(Super Twisted Nematic) 液晶製造用
基板などといった他の基板上に作られるものを含むもの
とする。
【0020】3.シリコンナイトライド、窒化ケイ素ま
たは窒化シリコンというときは、Si34のみではな
く、シリコンの窒化物で類似組成の絶縁膜を含むものと
する。
【0021】4.エッチングガスは、反応ガスと、希釈
ガスと、その他のガスとを有している。反応ガスは、主
としてエッチングと堆積との両方の反応に寄与するガス
であり、さらに、主反応ガスと、添加反応ガスとに分類
できる。SAC(Self Aligned Contact)プロセスやH
ARC(High Aspect Ratio Contact)プロセスに用いら
れる主反応ガスとしては、フロロカーボン系のガスがあ
り、添加反応ガスとしては酸素(O2)を含むガスがあ
る。そのフロロカーボン系のガスは、飽和型と不飽和型
に分類できる。
【0022】5.電極配線とは、集積回路パターンを構
成する電極または配線の総称であって、電気信号の経路
を形成する構成部材である。
【0023】以下の実施の形態では、便宜上その必要が
あるときは、複数のセクションまたは実施の形態に分割
して説明するが、特に明示した場合を除き、それらは互
いに無関係なものではなく、一方は他方の一部または全
部の変形例、詳細、補足説明などの関係にある。
【0024】また、以下の実施の形態において、要素の
数など(個数、数値、量、範囲などを含む)に言及する
場合、特に明示したときおよび原理的に明らかに特定の
数に限定されるときを除き、その特定の数に限定される
ものではなく、特定の数以上でも以下でもよい。
【0025】さらに、以下の実施の形態において、その
構成要素(要素ステップなどを含む)は、特に明示した
場合および原理的に明らかに必須であると考えられる場
合を除き、必ずしも必須のものではないことは言うまで
もない。
【0026】同様に、以下の実施の形態において、構成
要素などの形状、位置関係などに言及するときは、特に
明示した場合および原理的に明らかにそうでないと考え
られる場合を除き、実質的にその形状などに近似または
類似するものなどを含むものとする。このことは、上記
数値および範囲についても同様である。
【0027】また、本実施の形態を説明するための全図
において、同一の機能を有する部材には同一の符号を付
し、その繰り返しの説明は省略する。また、以下の実施
の形態では、特に必要なとき以外は同一または同様な部
分の説明を原則として繰り返さない。
【0028】以下、本発明の実施の形態を図面に基づい
て詳細に説明する。
【0029】(実施の形態1)図1は、本発明者らが検
討した深孔のエッチング形成方法の課題を説明するため
の試料の要部断面図を示している。
【0030】酸化シリコン膜からなる絶縁膜100上に
は、マスクパターン101が形成されている。マスクパ
ターン101は、エッチングマスクとなるパターンであ
り、例えばタングステン膜、フォトレジスト膜、窒化シ
リコン膜または多結晶シリコン膜が使用される。この絶
縁膜100には、プラズマエッチング処理により、孔1
02が穿孔されようとしている。エッチングガスとして
は、例えばC58と酸素(O2)とアルゴン(Ar)と
の混合ガスを用いた。なお、図1の黒丸はAr+、ハッ
チングを付した丸はCFx、×印を付した丸は酸素
(O)を示している。
【0031】図1(a)は、C58が相対的に多い場
合、すなわち、O2が相対的に少ない場合を示してい
る。この場合は、CFx(x=0〜2)のポリマー層1
03が、過剰となり、マスクパターン101の表面(上
面および開口側面)、孔102の内面(内側面および底
面)に厚く堆積(付着)する。このため、エッチング反
応よりもポリマー層103の堆積の方が優勢となり、エ
ッチングが停止(エッチストップ)してしまう。
【0032】一方、図1(b)は、C58が相対的に少
ない場合、すなわち、O2が相対的に多い場合を示して
いる。この場合は、CFx(x=0〜2)のポリマー層
103が、マスクパターン101の表面(上面および開
口側面)、孔102の内面(内側面および底面)に薄く
堆積(付着)する。この場合、孔102の内側面でのポ
リマー層103のデポジション性が低く保護効果が低下
するため、斜め成分のAr+とフッ素(F)とにより孔
102の内側面のエッチングが進行する(ボーイング形
状)。また、マスクパターン101上面のポリマー層1
03も薄く保護効果が低下するため、マスクパターン1
01のエッチングも進行する(マスク選択比の低下)。
【0033】次に、図2および図3は、実際に深孔をエ
ッチング処理により形成した場合の試料の要部断面図を
示している。
【0034】図2は、酸素の相対量が少ない条件、すな
わち、上記ポリマー層のデポジション性が強い条件(開
口性の悪い条件)でエッチング処理をした場合のエッチ
ング初期段階における試料の要部断面図を示している。
【0035】マスクパターン101の表面(上面および
開口側面)および孔102の上部側の内側面には、主と
してCFx(x=0)の炭素(C)のポリマー層103
aが付着し、孔102の下部側の内側面および底面に
は、主としてCFx(x=1,2)のポリマー層103
bが付着している。エッチング初期段階からデポジショ
ン性を強めるとエッチングストップが生じる。
【0036】図3は、酸素の相対量が多い条件、すなわ
ち、上記ポリマー層のデポジション性が弱い条件(開口
性の良い条件)でエッチング処理をした場合のエッチン
グ各段階における試料の要部断面図を示している。
【0037】図3(a)は、エッチングの初期段階を示
している。ここでは、エッチストップさせないようにC
x(x=0〜2)のデポジション性を抑えた条件でエ
ッチングを開始する。マスクパターン101の表面およ
び孔102の上部側の内側面には、主としてCFx(x
=0)の炭素(C)のポリマー層103aが付着し、孔
102の下部側の内側面および底面には、主としてCF
x(x=1,2)のポリマー層103bが付着してい
る。図3(b)は、エッチングの次段階を示している。
ここでは、領域F1で孔102の内側面における保護用
のポリマー層103bの付着量が減少する。また、孔1
02上部の領域F2でマスクパターン101の肩落ちが
生じる。図3(c)は、エッチングの中期段階を示して
いる。ポリマー層103bが主に孔102の底部に付着
するため、領域F1で孔102の内側面における保護用
のポリマー層103bの付着量が不足する。また、孔1
02上部の領域F2でマスクパターン101の肩落ちが
増大しマスクとしての機能を確保できなくなる。図3
(d)は、エッチングの後期段階を示している。領域F
1で孔102の内側面における保護用のポリマー層10
3bの付着量が不足する結果、その領域F1でエッチン
グが進み、大きなボーイングが発生する。また、マスク
パターン101上面の保護用のポリマー層103aも不
足するためマスクパターン101の残膜が減少する(マ
スク選択比の低下)。さらに、領域F2でのマスクパタ
ーン101の肩落ちにより孔102の開口径が増大す
る。
【0038】次に、図4は、本発明者らが検討したエッ
チング技術であって、上記の課題を考慮した深孔のエッ
チング形成処理時における試料の要部断面図を示してい
る。
【0039】図4(a)は、この技術のエッチング初期
段階を示している。ここでは、エッチングガス中のO2
の相対量が少ない条件でエッチングを行う。この場合、
上記のようにポリマー層103a,103bの付着量が
多くなるが、孔102のアスペクト比が低いので、孔1
02内に供給されるO2の量が比較的多く、若干エッチ
ングが進行する。図4(b)は、エッチングの次段階を
示している。ここでは、O2の量を図4(a)の段階の
時よりも若干増加した条件でエッチングを行う。すなわ
ち、図4(a)の条件でエッチストップが生じる直前に
2流量を増やす。これにより、ポリマー層103a,
103bの付着量を若干減らすことができるので、エッ
チストップを回避することができる。図4(c)は、エ
ッチングの次段階を示している。ここでは、O2の量を
図4(b)の段階の時よりもさらに若干増加した条件で
エッチングを行う。すなわち、エッチストップが生じな
いようにポリマー層103a,103bの付着量を減ら
し続ける。このように、この技術では、エッチストップ
が孔102のアスペクト比に大きく依存するので、孔1
02のアスペクト比が高くなると、エッチストップが生
じないようにO2流量を制御することが難しくなり、孔
を穿孔することができなくなる。また、マスクパターン
の厚さおよび寸法にばらつきが生じ易くなり、孔102
の形成制御が不可能となる。
【0040】ここで、本発明者らは、酸化シリコン等か
らなる絶縁膜のエッチング原理を再度検討した。図5
は、その原理を示す試料の要部断面図である。エッチン
グガスとしては、例えばC58、O2およびArの混合
ガスを用いた。
【0041】図5(a)は、CFx、Ar+および酸素
(O)が孔102内に入射した状態を模式的に示してい
る。ガス解離してできたCFx(x=0〜2)、フッ素
(F)および酸素(O)が孔102内に進入する。C5
8が解離して形成されたCFxは、孔102内における
絶縁膜100の表面(孔102の内側面および底面)お
よびマスクパターン101の表面(上面および開口側
面)に付着し、ポリマー層103を形成する。Arは、
プラズマ中でイオン化し、バイアス電圧により加速され
孔102内に引き込まれる。また、O2は、解離して酸
素(O)ラジカルを形成する。
【0042】図5(b)は、CFxおよびAr+の役割を
模式的に示している。絶縁膜100の表面(孔102の
内側面および底面)に付着したCFxのポリマー層10
3と絶縁膜100の酸化シリコンとがArイオンのエネ
ルギーで反応を起こす。これを化学式で示すと、例えば
次のとおりである。
【0043】
【化1】
【0044】図5(c)は、酸素(O)の役割を模式的
に示している。酸素(O)およびフッ素(F)は、CF
xと反応し、CFxのポリマー層103の量をコントロー
ルする。ポリマー層103が薄い場合は、エッチングが
進行し易くなり、厚い場合は、エッチングを阻害する。
これを化学式で示すと、例えば次のとおりである。
【0045】
【化2】
【0046】次に、本発明者らは、上記検討技術の課題
およびエッチング原理の再検討結果に基づいて、深孔を
エッチングにより形成する際に、例えば次のようにし
た。すなわち、最初のエッチングステップでは、ポリマ
ー層(CFx(x=0〜2))のデポジション性が弱い
(開口性の良い)条件でエッチング処理を行い、続くエ
ッチングステップでは、ポリマー層のデポジション性が
強い(開口性の悪い)条件に切り換えてエッチング処理
を行う(マルチステップエッチング)。
【0047】図6は、その具体例を示している。エッチ
ングガスは、例えばC58、O2、Arの混合ガスとし
た。図6(a),(b)は、第1ステップ時の試料の要
部断面図、図6(c),(d)は、第2ステップ時の試
料の要部断面図を示している。この例では、第1ステッ
プで、C58に対するO2の相対量が多い条件でエッチ
ング処理を行い、第2ステップで、C58に対するO2
の相対量が少ない条件に切り換えてエッチング処理を行
う。絶縁膜1は、例えば酸化シリコン(SiO 2)等か
らなり、その上面には、例えばタングステン膜、フォト
レジスト膜、窒化シリコン膜または多結晶シリコン膜か
らなるマスクパターン2が形成されている。
【0048】図6(a)は、第1ステップのエッチング
初期段階を示している。絶縁膜1に穿孔されつつある孔
3内には、CFx(x=0)の炭素(C)のポリマー層
4aと、CFx(x=1,2)のポリマー層4bとの両
方が同時に付着している。また、マスクパターン2の表
面(上面および開口側面)には炭素のポリマー層4aが
付着している。この段階では、上記ポリマー層4a,4
bの過剰な付着によりエッチストップが生じないように
適量のO2流量が必要である。図6(b)は、図6
(a)に続く第1ステップのエッチング段階を示してい
る。孔3の底には、主にCFx(x=1,2)のポリマ
ー層4bのみが付着する。孔3の底のポリマー層4bが
減り、エッチストップはし難い。孔3の内側面において
は、保護用のCFx(x=1,2)のポリマー層4bが
不足する領域が発生する。
【0049】図6(c)は、図6(b)に続く第2ステ
ップのエッチング初期段階を示している。ここでは、孔
3の底部のCFxのポリマー層4bの量が増加する。ま
た、マスクパターン2の表面に付着する炭素のポリマー
層が増加する。孔3が深くなると、孔3の底へ到達する
CFxの量が減るため、エッチストップし難くなる。エ
ッチストップしない程度にO2を減らし孔3の内側面の
保護用のCFxのポリマー層4bの量を増やす。図6
(d)は、図6(c)に続く第2ステップのエッチング
後期段階を示している。ここでは、目標とする深さの孔
3を形成し終えた状態を示している。孔3の底のCFx
のポリマー層4bの量をエッチストップが生じないよう
に調整しながらエッチングすることでボーイングが少な
く、かつ、マスクパターン2の残量の多い加工が可能と
なる。
【0050】図7は、上記本発明の技術思想の具体例
と、図4で説明したエッチング技術とを比較して示した
エッチング時間と酸素量との関係を示すグラフ図であ
る。図4で説明した技術では酸素量がエッチング時間の
増加とともに増加するのに対して、本発明の技術思想の
具体例では、酸素量がエッチング時間の増加とともに減
少している。
【0051】また、図8は、本発明者らが行った実験で
得られた第1ステップのエッチング時間と第2ステップ
の酸素流量依存性の一例を示している。第1ステップで
は、酸素の流量を、例えば28cm3/minで切り換
え無しで一定とした。第1ステップのエッチング時間を
30秒とした場合、深さ300nm程度の孔が形成され
る。この段階で第2ステップに切り換え、酸素の流量
を、例えば24cm3/minまたは26cm3/min
に減らした場合は、いずれも孔を最終目標の深さまで開
口することができなかった。酸素流量を第1ステップと
同じ28cm3/minとした場合は、孔の開口はでき
るもののマスクパターンが無くなってしまった。
【0052】次に、第1ステップのエッチング時間を1
分とした場合、深さ600nm程度の孔が形成される。
この段階で第2ステップに切り換え、酸素の流量を、例
えば24cm3/minに減らした場合は、孔を最終目
標の深さまで開口することができなかった。また、第2
ステップの酸路流量を、例えば26cm3/minに減
らした場合は、マスク選択比を確保したまま、また、大
きなボーイングを生じることなく、孔を最終目標の深さ
まで良好に開口できた。さらに、第2ステップの酸素流
量を第1ステップと同じ28cm3/minとした場合
は、孔の開口はできるもののマスクパターンが無くなっ
てしまった。
【0053】次に、第1ステップのエッチング時間を2
分とした場合、深さ1.2μm程度の孔が形成される。
この段階で第2ステップに切り換え、酸素の流量を、例
えば24cm3/minまたは26cm3/minに減ら
した場合は、いずれの場合もマスク選択比を確保したま
ま、また、大きなボーイングを生じることなく、孔を最
終目標の深さまで良好に開口できた。さらに、第2ステ
ップの酸素流量を第1ステップと同じ28cm3/mi
nとした場合は、孔の開口はできるもののマスクパター
ンが無くなってしまった。
【0054】ここでは、第1ステップから第2ステップ
の切り換えをエッチング時間で行った。その結果、図6
(b)の孔3の深さ(ここでは、絶縁膜1に開口された
孔3の深さと、マスクパターン2の厚さとを加算した
値)D1は、例えば1μm程度、すなわち、完成時の孔
3の深さの半分程度、あるいは絶縁膜1の厚さの半分程
度となるエッチング時間が好ましい、とされた。それよ
りも浅い位置でステップの切り換えを行っても良い。も
ちろん、それより深い位置でステップの切り換えを行う
こともできる。本発明者らの検討によれば、図6(b)
の段階(ステップ切り換えの直前の段階)での孔3のア
スペクト比が、例えば2〜14、または、例えば4〜1
2、あるいは、例えば6〜10の時に上記第1ステップ
から第2ステップの切り換えを行うことが好ましい、と
された。また、本発明者らの検討によれば、図6(d)
の段階(最終段階)での孔3のアスペクト比が、例えば
10以上、12以上または14以上、あるいは16以上
の場合に本発明の技術思想を適用することが好ましい、
とされた。
【0055】このような本発明の方法によれば、例えば
次の作用が得られる。エッチングには、C58等がプラ
ズマによって解離してできた炭素(CF0)、フッ素
(F)およびCFx(x=1,2)と、O2と、Arとが
関係する。このうち、炭素は、エッチングの初期段階
で、孔3の上部側の内側面およびマスクパターン2の表
面に付着し、孔3の内側面およびマスクパターン2を保
護する。CFxは、孔3の底および下部側の内側面に付
着し、被エッチング材料と反応してエッチングを進め
る。酸素は、炭素およびCFxと反応し付着量を調整す
る。Arはイオンとなり反応をアシストする。炭素は、
孔3の浅いところで孔3の内側面およびマスクパターン
2の表面に付着するが、深い孔3の底にはほとんど到達
しない。一方、CFxは、孔3の浅いところで付着せ
ず、深い孔3の底まで到達する。さらに、酸素(O)
は、孔3の上部で多く、孔3の底では少ない。孔3の内
側面およびマスクパターン2のエッチング量を少なくす
るためには、酸素(O)に比べ炭素およびCFxを多く
すれば良いが、多すぎるとエッチストップしてしまう。
そこで、エッチングの初期段階では、孔3内に、炭素お
よびCFxの両方のデポ性物質が存在し、エッチストッ
プし易いので、酸素の割合を多く(すなわち、炭素、C
xの割合が少ない)条件にしてエッチストップを防止
する。孔3がある程度深くなると、炭素は孔3の底まで
到達せず、ほとんどCFxのみになり、かつ、CFxの孔
3の底への付着量も減少する。そこで、エッチストップ
が生じない程度にCFxによる付着量を増やすことによ
って孔3の底のエッチングを進めることができる。この
時、炭素も同時に増えるが、それは孔3の内側面とマス
クパターン2とを保護することにのみ作用する。この結
果、高アスペクト比の孔3を形成することができる。特
に、孔3内にボーイングを形成することなく、また、マ
スクパターン2を大きく削ることなく、さらに、孔3の
上部の径の増大を招くこともなく、高アスペクト比の孔
3を形成することができる。
【0056】上記の例ではエッチングを2ステップとし
たが、これに限定されるものではなく、ステップ数を増
やしても良い。この場合、酸素の流量を細かく制御する
ことになるので、高アスペクト比の孔3をさらに良好に
形成することができる。
【0057】また、上記の例では、CFx(x=0〜
2)のポリマー層のデポジション性が弱い(開口性の良
い)条件と、ポリマー層のデポジション性が強い(開口
性の悪い)条件との切り換えを酸素の流量の切り換えに
よって行った場合について説明したが、これに限定され
るものではなく種々変更可能である。
【0058】例えば第1ステップと第2ステップとで酸
素の流量はそのままとして、C58等のようなCF系の
ガスの流量を第1ステップよりも第2ステップで増やす
ようにしても良い。
【0059】また、エッチング装置の上下電極間のバイ
アスパワー(高周波電力)を第1ステップよりも第2ス
テップで減らすようにしても良い。
【0060】さらに、このバイアスパワーを変える技術
と上記酸素流量またはCF系のガス流量を変える技術と
を組み合わせても良い。
【0061】次に、本実施の形態で用いたエッチング装
置を図9によって説明する。
【0062】このエッチング装置は、例えば二周波励起
RIE(Reactive Ion Etching)装置(東京エレクトロ
ン社製のUNITY-IIS-85DI)5である。駆動周波数とバイ
アス周波数を分けることで、プラズマの生成及び解離と
半導体ウエハ(以下、単にウエハという)6に入射する
イオンのエネルギーを独立に制御することが可能であ
る。このエッチング装置5では、駆動周波数が、例えば
60MHz、バイアス周波数が、例えば2MHzに最適
化が行なわれている。これにより、高アスペクト酸化膜
エッチングプロセスに対応して、より低圧(〜1Pa)で
高密度(〜1011cm-3)なプラズマを安定生成すること
が可能である。さらに、大排気化改造(ターボ分子ポン
プ排気速度:1300(l/s)を2200(l/s)
に改造)が行われている。
【0063】このエッチング装置5のエッチング処理室
を形成するチャンバ5aは、例えば円筒形状に形成され
たアルミニウムからなり、その表面にはアルマイト処理
(陽極酸化処理)が施されている。このチャンバ5aは
接地されている。このチャンバ5aの底部には、セラミ
ック等のような絶縁板を介して略円柱状のサセプタ支持
台が設けられており、さらに、その上には下部電極を構
成するサセプタ5bが設けられている。上記サセプタ支
持台の内部には、冷却室が設けられており、この冷却室
には、例えば液体窒素等のような冷媒が導入され循環さ
れ、その冷熱がサセプタ5bを介してウエハ6に伝導さ
れることにより、ウエハ6の主面(上記デバイス面に相
当)の温度が制御され、所望の温度に設定される。
【0064】サセプタ5bは、その上面中央が凸状の円
板状に形成され、その上にウエハ6と平面形状が略同形
の静電チャック5cが設けられている。ウエハ6は、こ
の静電チャック5cの絶縁板の間に介在された電極に所
定の直流電圧が印加されることにより、例えばクーロン
力によって静電吸着される。上記絶縁板、サセプタ支持
台、サセプタ5b、さらには静電チャック5cには、例
えばヘリウム(He)ガス等のような伝熱媒体をウエハ
6の裏面に供給するためのガス通路が形成されており、
その伝熱媒体を介してサセプタ5bの冷熱がウエハ6に
伝達されウエハ6が所定の温度に維持されるようになっ
ている。上記サセプタ5bの上面周縁部には、静電チャ
ック5c上のウエハ6を取り囲むように、環状のフォー
カスリングが配置されている。このフォーカスリング
は、ウエハ6と同一材料のシリコン等からなり、ウエハ
6の主面内のエッチングの均一性を向上させる機能を有
している。
【0065】サセプタ5bの上方には、このサセプタ5
bと平行に対向するように上部電極5dが設けられてい
る。この上部電極5dは、絶縁材を介してチャンバ5a
の上部に支持されており、電極板5d1と、これを支持
する電極支持体5d2とを有している。電極板5d1
は、例えばシリコン、炭化シリコン(SiC)またはア
モルファスカーボンからなり、サセプタ5bの対向面に
配置され、多数の孔5d3を有している。また、電極支
持体5d2は、例えばアルミニウム(Al)からなり、
その表面はアルマイト処理が施されている。このエッチ
ング装置5では、サセプタ5b(下部電極)と上部電極
5dとの間隔(以下、電極間隔という)を、例えば17
mm〜60mmの範囲で変更可能なようになっている。
なお、電極板5d1の材料としては、上記材料の中でも
スカベンジングが可能なシリコンを用いることが好まし
い。
【0066】上部電極5dにおける電極支持体5d2の
中央には、ガス導入口が設けられ、そのガス導入口には
ガス供給管が接続されており、さらにそのガス供給管に
はバルブおよび上記マスフローコントローラを介して処
理ガス供給源が機械的に接続されている。この処理ガス
供給源からエッチングガスが供給される。このチャンバ
5aの一部には、ガス排気管5eが設けられている。こ
のガス排気管5eは、例えばターボ分子ポンプ等のよう
な真空ポンプが備えられており、これにより、チャンバ
5a内を、所定の減圧雰囲気(例えば1〜13.3P
a)まで真空可能なように構成されている。真空ポンプ
の排気速度は、例えば2200(L/s)であり、大排
気化が可能となっている。また、チャンバ5aの側壁に
はゲートバルブが設けられている。ウエハ6は、そのゲ
ートバルブを開いた状態で、チャンバ5aと、それに隣
接するロードロック室との間で搬送されるようになって
いる。
【0067】上部電極5dには、第1の高周波電源5f
が、整合器およびハイパスフィルタHPFを介して電気
的に接続されている。この第1の高周波電源5fは、例
えば50〜150MHzの範囲の周波数の設定が可能と
なっており、このように高い周波数の電圧を印加するこ
とによりチャンバ5a内に好ましい解離状態で、かつ、
高密度のプラズマを形成することができ、従来よりも低
圧条件下でのプラズマエッチング処理が可能となってい
る。この第1の高周波電源5fの周波数は、例えば50
〜80MHzが好ましく、典型的には、60MHzまた
はその近傍の条件が採用される。
【0068】下部電極としてのサセプタ5bには、第2
の高周波電源5gが、ローパスフィルタLPFおよび整
合器を介して電気的に接続されている。この第2の高周
波電源5gは、例えば1〜4MHzの範囲の周波数の設
定が可能となっており、このような範囲の周波数の電圧
を印加することによりウエハ6に対してダメージを与え
ること無く適切なイオン作用を与えることができる。こ
の第2の高周波電源5gの周波数は、例えば1〜3MH
zが好ましく、典型的には、2MHzまたはその近傍の
条件が採用される。
【0069】このエッチング装置5において、本明細書
で開示される処理圧力は、上記したように、例えばバラ
トン真空計によって測定されている。このバラトン真空
計は、チャンバ5a内の側壁に設けられ、上下電極から
若干離れている。このため、上記処理圧力は、互いに対
向する上部電極5dと、サセプタ5b(下部電極)との
間の圧力と厳密には異なる。
【0070】エッチング装置5の構成は、上記したもの
に限定されるものではなく種々変更可能であり、他の平
行平板型のエッチング装置を用いる場合においても本発
明を適用することが可能である。
【0071】次に、本発明の技術思想をDRAMを有す
る半導体集積回路装置の製造方法に適用した場合の一例
を説明する。図10は、DRAMの製造工程中における
ウエハ6(半導体基板6S)の全体平面図を示してい
る。ウエハ6は、例えば平面略円形状に形成されてお
り、その外周の一部には、位置合わせ等に用いられる切
り欠き6aが設けられている。ウエハ6の直径は、例え
ば200mm程度である。ただし、ウエハ6の直径は、
これに限定されるものではなく種々変更可能であり、例
えば直径300mmの大口径ウエハを用いることもでき
る。なお、図10においては、ウエハ6に仮想的にX軸
とこれに垂直なY軸を当てはめている。X軸は、図10
の左右横方向に延びる軸、Y軸は、図10の上下縦方向
に延びる軸で上記切り欠き6a上を通過するように配置
されている。これ以降で用いる断面図において、X、X
と付してあるのは、この図10のX軸方向に沿う要部断
面図を示し、Y、Yと付してあるのは図10のY軸方向
に沿う要部断面図を示している。
【0072】図11および図12は、それぞれ本実施の
形態のDRAMの製造工程中におけるウエハ6のメモリ
セル領域における上記X、XおよびY、Yの要部断面図
を示している。まず、例えばp型で比抵抗が10Ωcm程
度の単結晶シリコンからなる半導体基板6S(この段階
では平面が略円形状のウエハ6)の主面に分離部7を形
成した後、半導体基板6SにpウエルPWを形成する。
分離部7は、素子分離領域における半導体基板6Sのデ
バイス面をドライエッチングして所定の深さの溝を形成
した後、その溝の内部を含む半導体基板6Sのデバイス
面上に酸化シリコン膜等からなる絶縁膜をCVD(Chem
ical Vapor Deposition)法で堆積し、続いて、その絶
縁膜を化学機械研磨(Chemical Mechanical Polishing;
CMP)法等で研磨して溝の内部に残すことにより形成
する(トレンチアイソレーション)。また、pウエルP
Wは、半導体基板6Sに、例えばホウ素(B)等のよう
な不純物をイオン打ち込みし、続いて半導体基板6Sを
アニール(熱処理)してその不純物を拡散させることに
より形成する。
【0073】続いて、pウエルPWの表面をフッ酸(H
F)系の洗浄液を使って洗浄した後、半導体基板6Sを
ウェット酸化してpウエルPWの表面に清浄なゲート絶
縁膜8を形成する。このゲート絶縁膜8は、例えば酸化
シリコンからなり、その厚さは、二酸化シリコン換算膜
厚で、例えば6nm程度である。
【0074】このゲート絶縁膜8を、酸化シリコン膜に
代えて酸窒化シリコン膜で構成しても良い。これによ
り、ゲート絶縁膜8のホットキャリア耐性を向上でき、
絶縁耐性を向上させることができる。酸窒化シリコン膜
を形成するには、例えば半導体基板6SをNO、NO2
またはNH3といった含窒素ガス雰囲気中で熱処理すれ
ば良い。また、酸化シリコンからなるゲート絶縁膜8を
形成した後、半導体基板6Sを上記した含窒素ガス雰囲
気中で熱処理し、ゲート絶縁膜8と半導体基板6Sとの
界面に窒素を偏析させても、上記と同様の効果を得るこ
とができる。
【0075】また、ゲート絶縁膜8を、例えば窒化シリ
コン膜あるいは酸化シリコン膜と窒化シリコン膜との複
合絶縁膜で形成しても良い。酸化シリコンからなるゲー
ト絶縁膜8が二酸化シリコン換算膜厚で5nm未満、特
に3nm未満まで薄くなると、直接トンネル電流の発生
やストレス起因のホットキャリア等による絶縁耐圧の低
下が顕在化する。窒化シリコン膜は、酸化シリコン膜よ
りも誘電率が高いためにその実際の膜厚を二酸化シリコ
ン換算膜厚よりも厚くできる。すなわち、窒化シリコン
膜を有する場合には、物理的に厚くても、相対的に薄い
二酸化シリコン膜と同等の容量を得ることができる。従
って、ゲート絶縁膜8を単一の窒化シリコン膜あるいは
それと酸化シリコンとの複合膜で構成することにより、
その実効膜厚を、酸化シリコン膜で構成されたゲート絶
縁膜よりも厚くすることができるので、トンネル漏れ電
流の発生やホットキャリアによる絶縁耐圧の低下を改善
することができる。
【0076】その後、ゲート絶縁膜8上にゲート電極9
(ワード線WL)を形成し、続いてゲート電極9の両側
のpウエルPWに低不純物濃度のn型半導体領域10
a,10bを形成する。このゲート電極9(ワード線W
L)は、例えばリンなどの不純物をドープした多結晶シ
リコン膜を半導体基板6S上にCVD法で堆積し、次い
でその上部に窒化タングステン(WN)膜とタングステ
ン(W)膜とをスパッタリング法で堆積し、さらにその
上部に窒化シリコン膜等からなるキャップ膜11をCV
D法で堆積した後、フォトレジスト膜をマスクにしてこ
れらの膜をドライエッチングすることにより形成する。
このゲート電極9を構成する多結晶シリコン膜の厚さ
は、例えば50〜100nm程度であり、窒化タングス
テン膜の厚さは、例えば数nm程度、タングステン膜の
厚さは、例えば50〜100nm程度である。また、キ
ャップ膜11は、厚さ120nm程度の窒化シリコン膜
を堆積してなる。また、n型半導体領域10a,10b
は、pウエルPWに、例えばヒ素(As)等のような不
純物をイオン打ち込みして形成する。
【0077】次いで、半導体基板6S上に窒化シリコン
膜等からなる絶縁膜12を低圧CVD法等により厚さ4
0〜60nm程度堆積する。この段階で絶縁膜12は、
互いに隣接するワード線WL間を埋め込まないような状
態で、半導体基板6Sのデバイス面、ゲート電極9の側
面およびキャップ膜11の表面(上面および側面)を被
覆するように形成されている。
【0078】続いて、半導体基板6Sのデバイス面上
に、例えば酸化シリコン膜からなる絶縁膜1aをCVD
法等によって堆積した後、その上面をCMP法等で平坦
化することにより、絶縁膜1aを形成する。絶縁膜1a
の厚さを示す寸法D2は、例えば600nm程度であ
る。続いて、絶縁膜1a上に、例えば厚さ50〜200
nm程度の反射防止膜15aを回転塗布法等によって堆
積した後、その上に、例えば厚さ400〜800nm程
度のフォトレジスト膜を回転塗布法等によって堆積し、
これをパターニングすることでフォトレジストパターン
(以下、単にレジストパターンという)2aを形成す
る。レジストパターン2aは、コンタクトホール形成用
のマスクパターンであり、通常の露光処理によってパタ
ーニングされている。レジストパターン2aの開口部は
平面略円形状に形成されており、その開口部からコンタ
クトホール形成領域が露出され、それ以外が覆われてい
る。レジストパターン2aの開口部の寸法D3およびそ
の開口部の隣接間隔の寸法D4は、例えば160nm程
度である。
【0079】その後、図13および図14に示すよう
に、上記したレジストパターン2aをマスクとして反射
防止膜15aをエッチング除去する。エッチング装置
は、例えば上記図9の二周波励起平行平板型RIE装置
と同等の形状ではあるが印加周波数の異なるエッチング
装置を用いた。
【0080】次いで、上記本発明のエッチング技術を用
いて絶縁膜1aにコンタクトホールを穿孔する(SAC
プロセス)。
【0081】まず、ウエハ6を上記図9に示したエッチ
ング装置5(二周波励起平行平板型RIE装置)内にセ
ットする。続いて、上記第1ステップのエッチング処理
をウエハ6に対して施すことにより、図15および図1
6に示すように、上記レジストパターン2aおよび反射
防止膜15aをエッチングマスクとして、そこから露出
する絶縁膜1aをエッチング除去する。エッチング条件
は、例えば次の通りである。エッチング処理室内の圧力
は、例えば2.66Pa程度、エッチングガスおよびそ
のガス流量は、例えばC58:O2:Ar=16:1
8:800cm3/min程度、高周波電力は上部電極
5d(図9参照)が、例えば800W程度、サセプタ5
b(図9参照)が、例えば700W程度、電極間隔は、
例えば21mm程度とした。また、プラズマ密度は、例
えば1011/cm3程度である。
【0082】この段階では、例えばコンタクトホール3
aの底部(深さ)が、ワード線WL上のキャップ膜11
のほぼ上面高さに達する程度まで第1ステップのエッチ
ング処理を行った。したがって、この段階のコンタクト
ホール3aの底部側には絶縁膜1aが残されている。こ
の第1ステップのエッチング処理でコンタクトホール3
aの底部が上記深さに達したことは、図17(a)に示
すように、エッチング処理室内のプラズマからの発光の
うち、フッ化シリコン(SiF、波長440nm)を観
測し、その波形の落ち込みによって自動的に検出した。
また、コンタクトホール3aが上記深さに達すると窒化
シリコンからなる絶縁膜12の一部が露出されるので、
図17(b)に示すように、プラズマ中のCN(波長3
88nm)を観測し、その波形の上昇によって自動的に
検出することもできる。
【0083】続いて、コンタクトホール3aが上記深さ
に達したことを自動的に検出した後、プラズマ放電を切
らずに連続で上記第2ステップのエッチング処理に移行
する。すなわち、上記第1ステップのエッチング条件の
うち、O2の流量を15cm3/min程度に切り換え
(低減し)、残りの絶縁膜1aを図18および図19に
示すようにエッチング除去した。この際のエッチング時
間は、プラズマからの発光のうち、図20(a)に示す
ように、SiFを観測し、波形の落ち込みを絶縁膜1a
のエッチングが終了したジャスト時間として検出し、そ
れにオーバーエッチングを10秒程度施すことで得た。
また、このエッチング時間は、絶縁膜1aが無くなると
下層の窒化シリコン膜からなる絶縁膜12が露出される
ので、図20(b)に示すように、プラズマ中のCN
(波長388nm)を観測し、その波形の上昇を絶縁膜
1aのエッチングが終了したジャスト時間として検出
し、それにオーバーエッチングを10秒程度施すことで
得ることもできる。
【0084】このような第2ステップのエッチング処理
中において、ウエハ6は、その裏面のサセプタ5b(図
9参照)のガス通路より供給されるヘリウム(He)ガ
スの圧力および流量、また、静電電圧の設定により、例
えば〜120℃に維持された。このウエハ6の温度は、
エッチング処理中のウエハ6のデバイス面の温度であ
り、熱は主としてプラズマから供給される。この温度
は、ウエハ6のデバイス面上の中央、外周およびそれら
の間の3点に温度測定用のテンププレートを貼り付け、
3点の測定温度を平均することで得られたものである。
【0085】この第2ステップのエッチング後において
は、コンタクトホール3aが完全に形成されておらず、
コンタクトホール3aの底部に絶縁膜12がエッチング
ストッパとして残されている。また、この段階のコンタ
クトホール3a内における絶縁膜1aの表面(コンタク
トホール3aの内面(底面および内側面))には、CF
x(x=0〜2)の薄いポリマー層4が被着されてい
る。
【0086】この段階のコンタクトホール3aの下部の
直径は、例えば60nm程度である。したがって、この
段階のコンタクトホール3aのアスペクト比は、上記絶
縁膜1aの厚さの寸法D2(図11参照)が600nm
なので、深さ600/幅60=10程度である。本発明
者らの検討によれば、このアスペクト比が10より大き
い場合、または、12より大きい場合、さらに14より
大きい場合に本発明を適用することが好ましい、とされ
た。また、ここでは、第2ステップの酸素流量を減らす
場合について説明したが、上記のように第2ステップ時
にエッチング装置5のサセプタ5b(図9参照)に印加
するバイアスパワー(高周波電力)を、第1ステップ時
にサセプタ5bに印加したバイアスパワーよりも低くし
ても良し、このバイアスパワーを変える技術と上記酸素
流量またはCF系のガス流量を変える技術とを組み合わ
せても良い。
【0087】エッチング終了後、窒化シリコン膜に対す
る酸化シリコン膜の選択比を算出した。ここで選択比
は、図21に例示するようにエッチングされた場合に、
(酸化シリコン膜(絶縁膜1a)のエッチングレート)
/(ゲート電極9上の肩部の窒化シリコン膜(絶縁膜1
2)のエッチングレート)である。ゲート電極9上の肩
部の窒化シリコン膜のエッチングレートは、図21に示
す垂直方向の削れが最大の部分の削れ寸法D5を基にし
て算出した。その結果、マスク選択比を比較例よりも大
幅に向上させることができた。なお、図21は、図18
の要部拡大断面図である。図21においては、図面を見
易くするために、図18に示したn型半導体領域10
a,10b、ポリマー層4、反射防止膜15aおよびレ
ジストパターン2a等を図示していない。
【0088】このように、本実施の形態によれば、高ア
スペクト比のコンタクトホール3aをボーイングを生じ
ることなく良好な垂直形状で形成することが可能とな
る。また、高アスペクト比のコンタクトホール3aを、
マスク選択比を充分に確保した状態で良好に開口するこ
とが可能となる。また、高アスペクト比のコンタクトホ
ール3aを、その上部径の増大を招くこともなく、開口
することが可能となる。したがって、DRAMの歩留ま
りおよび信頼性を向上させることが可能となる。また、
微細化を推進できるので、DRAMの性能および集積度
の向上を推進させることが可能となる。
【0089】続いて、上記エッチング処理後、真空状態
を破らずに連続して、上記ポリマー層4を除去する。こ
れにより、図22および図23に示すように、コンタク
トホール3aの内面(側面および底面)から絶縁膜1
a,12の表面を露出させる。この際の処理条件は、ポ
リマー層4のみが除去され、レジストパターン2aが除
去されない条件としている。この際のエッチング装置
も、上記図9のエッチング装置5を用いた。
【0090】その後、真空状態を破らずに連続して、レ
ジストパターン2aをエッチングマスクとして、コンタ
クトホール3aの底部の絶縁膜12をエッチング除去す
る。これにより、図24および図25に示すように、コ
ンタクトホール3aの底面から半導体基板6Sのデバイ
ス面の一部(n型半導体領域10a,10b)を露出さ
せ、コンタクトホール3aを完成する。この際のエッチ
ング装置も、上記図9のエッチング装置1を用いた。
【0091】本実施の形態によれば、ゲート電極9とコ
ンタクトホール3aとのショートマージンも向上させる
ことができる。上記ショートマージンは、図26に例示
されるゲート電極9と、コンタクトホール3aとの距離
が最小の部分の寸法D6を測定した。本実施の形態で
は、ショートマージンを30〜40nm程度得ることが
できる。もちろん、コンタクトホール3aの開口不良も
生じない。したがって、高集積で高性能なDRAMの歩
留まりおよび信頼性を向上させることが可能となる。な
お、図26は、図24の要部拡大断面図である。図26
においては、図面を見易くするために、反射防止膜15
aおよびレジストパターン2a等を図示していない。
【0092】次いで、レジストパターン2aおよび反射
防止膜15aをアッシング処理によって除去した後、ウ
エハ6のデバイス面上に、例えばn型のドープトポリシ
リコン膜をCVD法等によって堆積し、さらに、そのド
ープトポリシリコン膜をCMP法等によって研磨するこ
とにより、図27および図28に示すように、コンタク
トホール3a内にドープトポリシリコンで形成されるプ
ラグ16a,16bを形成する。
【0093】続いて、ウエハ6に対して熱処理を施すこ
とにより、プラグ16a,16b中の不純物(例えばリ
ンまたはヒ素)を半導体基板6Sに拡散させる。これに
より、半導体基板6Sにn+型半導体領域10cをソー
ス・ドレイン用のn型半導体領域10a,10bに重な
るように自己整合的に形成する。ここまでの工程により
ウエハ6の各チップのメモリ領域に複数のメモリセル選
択MISQsを完成する。
【0094】続いて、図29および図30に示すよう
に、絶縁膜1aおよびプラグ16a,16b上に、例え
ばTEOSガスを用いたプラズマCVD法等によって酸
化シリコン膜からなる絶縁膜17を堆積する。その後、
その絶縁膜17に、プラグ16aの一部が露出するよう
なスルーホールを穿孔した後、そのスルーホール内およ
び絶縁膜17上に、例えばタングステン等のような金属
膜をスパッタリング法またはCVD法あるいはその両方
を用いて堆積し、さらに、その金属膜をスルーホール内
のみに残されるようにCMP法等によって研磨する。こ
れにより、スルーホール内にプラグを形成する。このプ
ラグは、上記プラグ16aと電気的に接続されている。
【0095】次いで、その絶縁膜17上に、例えば厚さ
50nm程度の窒化シリコンからなる絶縁膜18をCV
D法等によって堆積した後に、その上に、例えばTEO
Sガスを用いたプラズマCVD法等によって酸化シリコ
ンからなる絶縁膜19を堆積する。続いて、その絶縁膜
18,19にビット線形成用の溝を形成した後、その溝
内および絶縁膜19上に、例えばタングステン等のよう
な金属膜をスパッタリング法等によって堆積し、さら
に、その金属膜を上記溝内のみに残されるようにCMP
法等によって研磨する。これにより、ビット線形成用の
溝内にタングステン等からなる埋め込みビット線BLを
形成する。このビット線BLは、上記絶縁膜17に穿孔
されたスルーホール内のプラグを介してプラグ16aと
電気的に接続され、メモリセル選択MISQsの一方の
n型半導体領域10a、n+型半導体領域10cと電気
的に接続されている。その後、絶縁膜19および埋め込
みビット線BL上に、例えば窒化シリコンからなる絶縁
膜20をプラズマCVD法等によって100nm程度の
厚さで堆積する。
【0096】次いで、絶縁膜17〜20にプラグ16b
の上面が露出するようなスルーホール21をフォトリソ
グラフィ技術およびドライエッチング技術によって穿孔
する。スルーホール21は、例えば平面略楕円形状に形
成されている。続いて、スルーホール21内および絶縁
膜20上に、例えばドープトポリシリコン膜をCVD法
等によって堆積した後、これをスルーホール21内のみ
に残されるようにCMP法等によって研磨する。これに
より、スルーホール21内にドープトポリシリコン膜か
らなるプラグ22aを形成する。プラグ22aは、プラ
グ16bと電気的に接続されている。
【0097】続いて、絶縁膜20上に、例えばTEOS
ガスを用いたプラズマCVD法等によって酸化シリコン
膜からなる絶縁膜1bを、例えば2.0〜3.0μm、
ここでは2.3μm程度の厚さで堆積する。その後、絶
縁膜1b上に、例えばドープトポリシリコン膜等からな
るマスク形成膜MAをCVD法等によって厚さ200〜
400nm、ここでは330nm程度堆積する。マスク
形成膜MAをドープトポリシリコンとすることにより、
汚染を低減でき、また、加工を容易にすることができ
る。マスク形成膜MAとして、例えばタングステン等の
ような金属膜を用いることもできる。この場合、固く削
れ難い、また、他の材料との選択比を取り易いことから
絶縁膜(酸化膜)の加工精度を向上させることができ
る。
【0098】次いで、マスク形成膜MA上に、例えば厚
さ50〜200nm、ここでは80nm程度の反射防止
膜15bおよび厚さ400nm程度のフォトレジスト膜
PRを下層から順に回転塗布法等によって堆積する。フ
ォトレジスト膜PRは、キャパシタ孔形成領域が露出さ
れ、それ以外が覆われるように、上記堆積処理後に通常
の露光処理によってパターニングされている。図31
は、この段階のウエハ6の要部平面図を示している。図
31では、図面を見易くするため、フォトレジスト膜P
Rに相対的に濃い網掛けのハッチングを付し、反射防止
膜15bに相対的に薄い網掛けのハッチングを付した。
図31において、キャパシタ孔形成用の開口部における
長手方向の寸法D11は、例えば250nm程度、その
幅方向の寸法D12は、例えば130nm程度、キャパ
シタ孔形成用の開口部の長手方向の隣接寸法D13は、
例えば170nm程度、キャパシタ孔形成用の開口部の
幅方向の隣接寸法(分離幅)D14は、例えば130n
m程度である。本発明者らの検討によれば、上記分離幅
が、例えば150nm程度、または140nm程度、特
に130nm程度あるいはそれ以下の製品に上記本発明
のエッチング方法を採用することが好ましい。これは、
その隣接間隔が狭くなると隣接キャパシタ孔同士がボー
イングにより短絡するからであり、ボーイングを低減ま
たは無くせる本発明を適用すれば、それを防止できるか
らである。キャパシタ孔を穿孔した後のエッチングや洗
浄処理により孔内の側面が若干エッチングされるので、
分離幅が狭い場合(例えば130nm)は特に本発明の
エッチング方法が効果的である。
【0099】続いて、図32および図33に示すよう
に、上記したフォトレジスト膜PRをマスクとして反射
防止膜15bをエッチング除去する。この際のエッチン
グ条件は、例えば次の通りである。すなわち、エッチン
グ装置および条件は、例えば上記反射防止膜15aの場
合と同じである。
【0100】その後、フォトレジストPRをエッチング
マスクとして、そこから露出するドープトポリシリコン
膜をエッチング除去することにより、図34および図3
5に示すように、ハードマスクパターン2bをパターン
形成する。
【0101】その後、フォトレジスト膜PRを図36お
よび図37に示すようにアッシング処理等によって除去
する。図38は、この段階のウエハ6の要部平面図であ
る。図38においては、図面を見易くするためハードマ
スクパターン2bに網掛けのハッチングを付した。ハー
ドマスクパターン2bには、平面角丸四角形状の複数の
開口部が規則的に並んで形成されている。その開口部か
らは絶縁膜1bが露出されている。この開口部から露出
する部分にキャパシタ孔が形成される。
【0102】次いで、上記本発明のエッチング技術を用
いて、図39および図40に示すように、ハードマスク
パターン2bをエッチングマスクとして、そこから露出
する絶縁膜1b部分をエッチング除去することにより、
キャパシタ孔3bを形成する(HARCプロセス)。
【0103】このHARCプロセスの際のエッチング条
件は、例えば次の通りである。まず、キャパシタ孔3b
の途中深さまでは、上記第1ステップのエッチング処理
を行う。その際のエッチングガスおよびそのガス流量
は、例えばC58:O2:Ar=24:28:700c
3/min程度、バイアスパワー(高周波電力)は上
部電極5d(図9参照)が、例えば1800W程度、サ
セプタ5b(図9参照)が、例えば1500W程度、処
理時間は、例えば2分程度である。また、プラズマ密度
は、例えば5×1011/cm3程度である。ステップの
切り換えは、処理時間で行った。この段階では、例えば
コンタクトホール3bの深さが、完全に掘れた場合の半
分程度まで、すなわち、例えば1μm程度となるまでエ
ッチング処理を行った。したがって、この段階のコンタ
クトホール3bの底部に絶縁膜1bが残されている。
【0104】続いて、上記エッチング処理時間後に、プ
ラズマ放電を切らずに連続で上記第2ステップのエッチ
ング処理に移行する。すなわち、上記第1ステップのエ
ッチング条件のうち、O2の流量のみを24cm3/mi
n程度に切り換え(低減し)、残りの絶縁膜1bをエッ
チング除去した。これにより、キャパシタ孔3bを完成
させた。
【0105】第2ステップのエッチング条件は、上記に
代えて次のようにしても良い。すなわち、上記第1ステ
ップのエッチング条件のうち、C58の流量のみを28
cm 3/min程度に切り換えて(増加し)、O2の量を
相対的に低減するようにしても良い。
【0106】また、他の手段として、上記第1ステップ
のエッチング条件のうち、サセプタ5b(図9参照)へ
のバイアスパワー(高周波電力)のみを、例えば120
0W程度に切り換え(低減し)ても良い。
【0107】また、他の手段として、上記第1ステップ
のエッチング条件のうち、上部電極5d(図9参照)へ
のバイアスパワー(高周波電力)のみを、例えば200
0W程度に切り換え(増加し)ても良い。
【0108】さらに、他の手段として、エッチング装置
5の上下電極のバイアスパワー(高周波電力)を変える
手段と、上記エッチングガスの酸素またはCF系ガスの
流量を変える手段とを適宜組み合わせても良い。
【0109】第2ステップのエッチング時間は、プラズ
マからの発光のうち、窒化炭素(CN)を観測し、下地
の窒化シリコン等からなる絶縁膜20が露出した際の波
形の立ち上がりを酸化シリコン膜(絶縁膜3e)のエッ
チングが終了したジャスト時間としてオーバー・エッチ
ング処理を30%実施した。
【0110】このような第1,第2ステップのエッチン
グ処理後におけるハードマスクパターン2bは、その上
部がエッチング処理前に比べて削られている。そして、
ハードマスクパターン2bは、その厚さがキャパシタ孔
3bに近づくにつれて薄くなるように削られている。図
41は、この処理後のウエハ6の要部平面図を示してい
る。図41においては、図面を見易くするため、ハード
マスクパターン2bおよびプラグ22aに網掛けのハッ
チングを付した。キャパシタ孔3bの底面からは、プラ
グ22a上部および絶縁膜20が露出されている。
【0111】このような第2ステップのエッチングの終
了後、ポリシリコン膜(ハードマスクパターン2b)に
対する酸化シリコン膜(絶縁膜1b)の選択比を算出し
た。ここで選択比は、図42に例示するようにエッチン
グされた場合に、(酸化シリコン膜(絶縁膜1b)のエ
ッチングレート)/(ポリシリコン膜(ハードマスクパ
ターン2b)のエッチングレート)で算出できる。ポリ
シリコン膜(ハードマスクパターン2b)のエッチング
レートは、図42に示す部分の寸法D15を基にして算
出した。その結果、選択比を向上させることができた。
例えば上記第1ステップの条件のみでキャパシタ孔3b
を完全に穿孔した場合、上記ポリシリコン膜(ハードマ
スクパターン2b)の残膜厚は、例えば所定領域中の各
位置の平均で39.53nm程度である。また、上記ポ
リシリコン膜(ハードマスクパターン2b)が消失して
しまう領域もある。これに対して、本実施の形態のステ
ップエッチング処理(エッチングガス流量を変える手
段)の場合は、上記上記ポリシリコン膜(ハードマスク
パターン2b)の残膜厚が、例えば所定領域中の各位置
の平均で93.02nm程度である。また、本実施の形
態のステップエッチング処理(エッチング装置5の上下
電極へ印加するバイアスパワー(高周波電力)を変える
手段)の場合は、上記ポリシリコン膜(ハードマスクパ
ターン2b)の残膜厚が、例えば所定領域中の各位置の
平均で76.74nm程度である。いずれの場合も上記
上記ポリシリコン膜(ハードマスクパターン2b)の残
膜の厚さを大幅に向上させることができる。また、いず
れの場合も、上記ポリシリコン膜(ハードマスクパター
ン2b)が所定の領域で消失してしまうこともない。
【0112】また、ボーイング等により隣接するキャパ
シタ孔3b間の絶縁膜1bの厚さが最小となる箇所(最
小寸法)を測定した結果、上記第1ステップの条件のみ
でキャパシタ孔3bを完全に穿孔した場合、上記最小寸
法は、例えば所定領域中の各位置の平均で56.98n
m程度である。これに対して、本実施の形態のステップ
エッチング処理(エッチングガス流量を変える手段)の
場合は、上記最小寸法が、例えば所定領域中の各位置の
平均で80.23nm程度である。また、本実施の形態
のステップエッチング処理(エッチング装置5の上下電
極へ印加するバイアスパワー(高周波電力)を変える手
段)の場合は、上記最小寸法が、例えば所定領域中の各
位置の平均で79.07nm程度である。いずれの場合
も最小寸法を大幅に向上させることが可能となる。
【0113】また、キャパシタ孔3bの孔底寸法(直
径)DAは、上記第1ステップの条件のみでキャパシタ
孔3bを穿孔した場合、例えば所定領域中の各位置の平
均で73.67nm程度である。これに対して、本実施
の形態のステップエッチング処理(エッチングガス流量
を変える手段)の場合は、上記孔底寸法DAが、例えば
所定領域中の各位置の平均で72nm程度である。ま
た、本実施の形態のステップエッチング処理(エッチン
グ装置5の上下電極へ印加するバイアスパワー(高周波
電力)を変える手段)の場合は、上記孔底寸法DAが、
例えば所定領域中の各位置の平均で64.67nm程度
である。したがって、上記第1ステップのみでキャパシ
タ孔3bを開口した場合とほぼ同等の開口性が得られて
いる。
【0114】このように、本実施の形態によれば、高ア
スペクト比のコンタクトホール3bを、ボーイングを生
じることなく、またはボーイングが生じても許容の範囲
の良好な垂直形状で形成することが可能となる。また、
高アスペクト比のコンタクトホール3bを、マスク選択
比を充分に確保した状態で良好に開口することが可能と
なる。また、高アスペクト比のコンタクトホール3b
を、その上部径の増大を招くこともなく、開口すること
が可能となる。したがって、DRAMの歩留まりおよび
信頼性を向上させることが可能となる。また、微細化を
推進できるので、DRAMの性能および集積度の向上を
推進させることが可能となる。
【0115】図43および図44は、キャパシタ孔3b
内に、情報蓄積用容量素子24を形成した際の断面図を
示している。情報蓄積用容量素子24は、下部電極24
aと、その表面に形成された容量絶縁膜24bと、プレ
ート電極24cとを有している。下部電極24aは、例
えばドープトポリシリコン膜からなり、プラグ22a,
16bを通じてメモリセル選択MISQsの一方のn型
半導体領域10b、n +型半導体領域10cと電気的に
接続されている。容量絶縁膜24bは、例えば窒化シリ
コン膜、窒化シリコン膜と酸化シリコン膜との積層膜あ
るいは酸化タンタル(TaO5)等からなる。プレート
電極24cは、チタンナイトライド等のような金属膜か
らなる。
【0116】ところで、以上の本実施の形態におけるS
ACおよびHARCプロセスの各種エッチング条件は、
本発明者らが行ったものの中で最も良好な条件の組合せ
の一例である。上記各種条件は、一方の値を変えると他
方の値も変わるというように互いに密接な関係にあるも
のであり、上記のものに限定されるものではなく種々変
更可能である。
【0117】そこで、上記SACおよびHARCプロセ
スの各種エッチング条件について、本発明者らの検討結
果について説明する。
【0118】まず、プラズマ密度について説明する。プ
ラズマ密度が低すぎる場合、エッチングガスの解離によ
るエッチャント(Cxy)の生成量が不足し、また、エ
ッチャントと被エッチング膜(酸化シリコン膜(SiO
2))の反応に要するエネルギーを供給するイオンの供
給量が不足する。その結果、被エッチング膜(酸化シリ
コン膜)のエッチングレートが低くなり、エッチングが
ストップすることもある。一方、プラズマ密度が高すぎ
る場合、エッチングガスが過剰解離してしまい、被エッ
チング膜(酸化シリコン膜)上の堆積膜が厚くなりすぎ
るため、エッチングが進行しなくなる。
【0119】エッチングガスが解離してエッチャントと
なるためには、Cxyのように炭素(C)とフッ素
(F)とが結合した分子であることが必要である。解離
が進行しすぎると、炭素、フッ素単体になってしまい、
単体では、酸化シリコン膜のエッチングは進行しない。
例:SiO2+2CF2 → SiF4+2CO以上のこ
とを考慮すると、プラズマ密度は、例えば1010〜10
13/cm3程度、また、好ましくは、例えば1010〜1
12/cm3程度、あるいは、5×1010〜5×1011
/cm3程度とすることが望ましい。
【0120】次に、エッチング装置の上下電極間隔につ
いて説明する。この電極間隔は、上記プラズマ密度を制
御する機能を有している。例えば電極間隔が40mm以
上となると、プラズマ密度およびプラズマの均一性が低
下する。そこで、上記したプラズマ密度を考慮すると、
上記電極間隔は、例えば17〜30mm程度がプラズマ
密度および均一性の面から好ましい。
【0121】次に、エッチングガス中のフロロカーボン
系のガスについて説明する。このフロロカーボン系のガ
スは、飽和型と不飽和型に分類できる。飽和型は、炭素
(C)原子が全て単結合のものであり、エッチングガス
として、例えばCF4、CHF3、CH22、CH3F、
26、C38、C48を用いることができる。また、
不飽和型は、炭素(C)原子が二重もしくは三重結合を
有するものであり、エッチングガスとして、例えばC5
8またはC46を用いることができる。
【0122】上記本実施の形態において、主反応ガスと
してC58を採用したのは、例えば次の理由からであ
る。まず、炭素の数が多いほど,堆積物(Cxy)のデ
ポ性を良好にでき、窒化シリコン選択比を向上させるこ
とができるからである。また、その窒化シリコン選択比
と孔の垂直形状(孔の側壁の保護性を堆積膜(Cxy
により向上できる)とを向上させることができ、エッチ
ング反応と堆積反応とのバランスが良い。また、フォト
レジスト膜上に堆積膜(Cxy)が被着することでフォ
トレジスト膜の保護性を向上させることができるので、
孔の加工形状および加工寸法を向上させることができる
からである。さらに、C58ガスは地球温暖化ポテンシ
ャル(GWP)(90〜100)、大気中での寿命(1年)が、CF
4(GWP;6500,寿命;50000年)、C48(GWP;870,寿命;3200
年)等と比べて極めて低い。しかも、可燃性、爆発性、
毒性の面でも特に問題とはならない。ただし、C58
独で用いずに、上記したCF4、CHF3、CH22、C4
8を添加しても良い。すなわち、フッ素(F)を有す
るガスを添加することで、上記した堆積物(Cxy)を
除去し、デポ性を抑えることが可能となる。
【0123】また、エッチングガス中の希釈ガスは、プ
ラズマ中で電離してイオンとなりエッチャントと被エッ
チング膜の反応を促進させることに加えて、エッチング
ガス中の反応ガス濃度を希釈して過剰なエッチングおよ
び堆積反応が生じないようにする機能を有している。希
釈ガスとしてアルゴンガスを使用したのは、不活性ガス
であるため化学反応によって他のガスとの反応生成物を
生じないためである。また、アルゴンガスにヘリウムガ
ス等を添加することで反応を制御することも可能であ
る。また、アルゴンガスに代えてヘリウムガス等の不活
性ガスを用いることもできる。
【0124】以上、本発明者によってなされた発明を実
施の形態に基づき具体的に説明したが、本発明は前記実
施の形態に限定されるものではなく、その要旨を逸脱し
ない範囲で種々変更可能であることはいうまでもない。
【0125】例えば前記実施の形態では孔を形成する場
合に本発明を適用した場合について説明したが、これに
限定されるものではなく、例えば配線形成用の溝を形成
する場合に本発明を適用することも可能である。
【0126】また、以上の説明では主として本発明者に
よってなされた発明をその背景となった利用分野である
DRAMに適用した場合について説明したが、それに限
定されるものではなく、例えばSRAM(Static Rando
m Access Memory)またはフラッシュメモリ(EEPR
OM;Electric Erasable Programmable Read Only Mem
ory)等のようなメモリ回路を有する半導体集積回路装
置の製造方法、CMIS(Complementary MIS:相補型
電界効果トランジスタ)回路を有する半導体集積回路装
置の製造方法またはマイクロプロセッサ等のような論理
回路を有する半導体集積回路装置の製造方法、あるいは
メモリ回路と論理回路とを同一半導体基板に設けている
混載型の半導体集積回路装置の製造方法にも適用でき
る。
【0127】
【発明の効果】本願によって開示される発明のうち、代
表的なものによって得られる効果を簡単に説明すれば、
以下の通りである。
【0128】本発明によれば、半導体基板上に堆積され
た酸化シリコン系の絶縁膜に対して、フロロカーボン系
のガスおよび酸素を有するエッチングガスを用いプラズ
マエッチング処理を施すことにより、前記酸化シリコン
系の絶縁膜を選択的にエッチングして孔または溝を形成
する際に、最初は、ポリマー層のデポジション性が弱い
条件でエッチング処理を行い、続いてポリマー層のデポ
ジション性が強い条件に切り換えてエッチング処理を行
うことにより、高アスペクト比の孔または溝を穿孔する
ことが可能となる。
【図面の簡単な説明】
【図1】(a)および(b)は本発明者らが検討した深
孔のエッチング形成処理の課題を説明する試料の要部断
面図である。
【図2】酸素の相対量が少ない条件、すなわち、ポリマ
ー層等のデポジション性が強い条件(開口性の悪い条
件)でエッチング処理をした場合のエッチング初期段階
の試料の要部断面図である。
【図3】(a)〜(d)は、酸素の相対量が多い条件、
すなわち、ポリマー層等のデポジション性が弱い条件
(開口性の良い条件)でエッチング処理をした場合のエ
ッチング各段階における試料の要部断面図である。
【図4】(a)〜(c)は、本発明者らが検討したエッ
チング技術であって、エッチング処理時の課題を考慮し
た深孔のエッチング形成処理時における試料の要部断面
図である。
【図5】(a)〜(c)は、酸化シリコン等からなる絶
縁膜のエッチング原理を示す試料の要部断面図である。
【図6】(a)〜(d)は、本発明の一実施の形態であ
るエッチング処理時の試料の要部断面図である。
【図7】本発明の技術思想の具体例と、図4で説明した
エッチング技術とを比較して示したエッチング時間と酸
素量との関係を示すグラフ図である。
【図8】本発明者らが行った実験で得られた第1ステッ
プのエッチング時間と第2ステップの酸素流量依存性の
一例を示す説明図である。
【図9】本実施の形態で用いたエッチング装置の一例の
説明図である。
【図10】DRAMの製造工程中におけるウエハの全体
平面図である。
【図11】本発明の一実施の形態である半導体集積回路
装置の製造工程中の要部断面図である。
【図12】図11と同じ半導体集積回路装置の製造工程
中における図11に垂直な面の要部断面図である。
【図13】図11に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図14】図13と同じ半導体集積回路装置の製造工程
中における図13に垂直な面の要部断面図である。
【図15】図13に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図16】図15と同じ半導体集積回路装置の製造工程
中における図15に垂直な面の要部断面図である。
【図17】(a)および(b)は第1ステップのエッチ
ング処理の終点検出をする際に用いたエッチング時間と
発光強度との関係を示すグラフ図である。
【図18】図15に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図19】図18と同じ半導体集積回路装置の製造工程
中における図18に垂直な面の要部断面図である。
【図20】(a)および(b)は第2ステップのエッチ
ング処理の終点検出をする際に用いたエッチング時間と
発光強度との関係を示すグラフ図である。
【図21】図18の半導体集積回路装置の製造工程中の
要部拡大断面図である。
【図22】図18に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図23】図22と同じ半導体集積回路装置の製造工程
中における図22に垂直な面の要部断面図である。
【図24】図22に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図25】図24と同じ半導体集積回路装置の製造工程
中における図24に垂直な面の要部断面図である。
【図26】図24の半導体集積回路装置の製造工程中の
要部拡大断面図である。
【図27】図24に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図28】図24と同じ半導体集積回路装置の製造工程
中における図24に垂直な面の要部断面図である。
【図29】図27に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図30】図29と同じ半導体集積回路装置の製造工程
中における図29に垂直な面の要部断面図である。
【図31】図29および図30の半導体集積回路装置の
製造工程中における半導体ウエハの要部平面図である。
【図32】図29に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図33】図32と同じ半導体集積回路装置の製造工程
中における図32に垂直な面の要部断面図である。
【図34】図32に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図35】図34と同じ半導体集積回路装置の製造工程
中における図34に垂直な面の要部断面図である。
【図36】図34に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図37】図36と同じ半導体集積回路装置の製造工程
中における図36に垂直な面の要部断面図である。
【図38】図36および図37の半導体集積回路装置の
製造工程中における半導体ウエハの要部平面図である。
【図39】図36に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図40】図39と同じ半導体集積回路装置の製造工程
中における図39に垂直な面の要部断面図である。
【図41】図39および図40の半導体集積回路装置の
製造工程中における半導体ウエハの要部平面図である。
【図42】図39の半導体集積回路装置の製造工程中の
要部拡大断面図である。
【図43】図39に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図44】図43と同じ半導体集積回路装置の製造工程
中における図43に垂直な面の要部断面図である。
【符号の説明】
1,1a,1b 絶縁膜 2 マスクパターン 2a フォトレジストパターン 2b ハードマスクパターン 3 孔 3a コンタクトホール 3b キャパシタ孔 4,4a,4b ポリマー層 5 エッチング装置 5a チャンバ 5b サセプタ 5c 静電チャック 5d 上部電極 5d1 電極板 5d2 電極支持体 5d3 孔 5e ガス排気管 5f 第1の高周波電源 5g 第2の高周波電源 6 半導体ウエハ 6S 半導体基板 7 分離部 8 ゲート絶縁膜 9 ゲート電極 10a,10b n型半導体領域 10c n+型半導体領域 11 キャップ膜 12 絶縁膜 15a 反射防止膜 16a,16b プラグ 17 絶縁膜 18 絶縁膜 19 絶縁膜 20 絶縁膜 21 スルーホール 22a プラグ 24 情報蓄積用容量素子 24a 下部電極 24b 容量絶縁膜 24c プレート電極 100 絶縁膜 101 マスクパターン 102 孔 103,103a,103b ポリマー層 HPF ハイパスフィルタ LPF ローパスフィルタ PW pウエル Qs メモリセル選択MIS・FET WL ワード線 MA マスク形成膜 PR フォトレジスト膜
───────────────────────────────────────────────────── フロントページの続き (72)発明者 田所 昌洋 東京都小平市上水本町五丁目20番1号 株 式会社日立製作所半導体グループ内 (72)発明者 伊澤 勝 東京都国分寺市東恋ヶ窪一丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 湯之上 隆 神奈川県相模原市下九沢1120 NEC日立 メモリ株式会社内 Fターム(参考) 4M104 AA01 AA03 AA09 BB01 BB40 CC01 DD08 DD16 DD17 DD37 DD43 EE15 EE17 GG16 HH14 5F004 AA16 BC03 CA02 CA08 DA00 DA01 DA02 DA03 DA15 DA16 DB03 EA28 EB01 EB04 EB05 5F033 GG03 GG04 JJ04 KK01 LL04 PP06 QQ09 QQ12 QQ13 QQ15 QQ21 QQ25 QQ27 QQ37 QQ48 RR04 RR06 SS04 SS11 SS13 TT02 VV16 WW01 WW10 XX04 5F083 AD24 AD31 AD48 JA56 MA02 MA06 MA17 NA01 PR03 PR06 PR39 PR40

Claims (36)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板上に堆積された酸化シリコン
    系の絶縁膜に対して、フロロカーボン系のガスおよび酸
    素を有するエッチングガスを用いプラズマエッチング処
    理を施すことにより、前記酸化シリコン系の絶縁膜を選
    択的にエッチング加工する際に、第1,第2のステップ
    を順に行う工程を有し、 前記第1ステップでは、ポリマー層のデポジション性が
    前記第2ステップ時よりも弱い条件でエッチング処理を
    行い、続く第2ステップでは、ポリマー層のデポジショ
    ン性が前記第1ステップ時よりも強い条件に切り換えて
    エッチング処理を行うことを特徴とする半導体集積回路
    装置の製造方法。
  2. 【請求項2】 請求項1記載の半導体集積回路装置の製
    造方法において、前記第2ステップのエッチングガス中
    の酸素の流量比を、前記第1ステップのエッチングガス
    中の酸素の流量比よりも低くすることを特徴とする半導
    体集積回路装置の製造方法。
  3. 【請求項3】 請求項1記載の半導体集積回路装置の製
    造方法において、前記第2ステップにおけるエッチング
    装置の下部電極に印加する高周波電力を、前記第1ステ
    ップにおけるエッチング装置の下部電極に印加する高周
    波電力よりも低くすることを特徴とする半導体集積回路
    装置の製造方法。
  4. 【請求項4】 請求項1記載の半導体集積回路装置の製
    造方法において、前記酸化シリコン系の絶縁膜のエッチ
    ング加工により、酸化シリコン系の絶縁膜に孔または溝
    を形成することを特徴とする半導体集積回路装置の製造
    方法。
  5. 【請求項5】 請求項4記載の半導体集積回路装置の製
    造方法において、前記第1ステップで形成される孔また
    は溝のアスペクト比が2〜14であることを特徴とする
    半導体集積回路装置の製造方法。
  6. 【請求項6】 請求項4記載の半導体集積回路装置の製
    造方法において、前記第1ステップで形成される孔また
    は溝のアスペクト比が4〜12であることを特徴とする
    半導体集積回路装置の製造方法。
  7. 【請求項7】 請求項4記載の半導体集積回路装置の製
    造方法において、前記第1ステップで形成される孔また
    は溝のアスペクト比が6〜10であることを特徴とする
    半導体集積回路装置の製造方法。
  8. 【請求項8】 請求項4記載の半導体集積回路装置の製
    造方法において、前記第2ステップで形成される孔また
    は溝のアスペクト比が10よりも大きいことを特徴とす
    る半導体集積回路装置の製造方法。
  9. 【請求項9】 半導体基板上に堆積された酸化シリコン
    系の絶縁膜に対して、フロロカーボン系のガスおよび酸
    素を有するエッチングガスを用いプラズマエッチング処
    理を施すことにより、前記酸化シリコン系の絶縁膜を選
    択的にエッチング加工する際に、CF系の付着物の量に
    応じて第1ステップのエッチングおよび第2ステップの
    エッチングを順に行う工程を有し、前記第2ステップの
    エッチングガス中の酸素の流量比を、前記第1ステップ
    のエッチングガス中の酸素の流量比よりも低くすること
    を特徴とする半導体集積回路装置の製造方法。
  10. 【請求項10】 請求項9記載の半導体集積回路装置の
    製造方法において、前記酸化シリコン系の絶縁膜のエッ
    チング加工により、酸化シリコン系の絶縁膜に孔または
    溝を形成することを特徴とする半導体集積回路装置の製
    造方法。
  11. 【請求項11】 請求項10記載の半導体集積回路装置
    の製造方法において、前記第1ステップで形成される孔
    または溝のアスペクト比が2〜14であることを特徴と
    する半導体集積回路装置の製造方法。
  12. 【請求項12】 請求項10記載の半導体集積回路装置
    の製造方法において、前記第1ステップで形成される孔
    または溝のアスペクト比が4〜12であることを特徴と
    する半導体集積回路装置の製造方法。
  13. 【請求項13】 請求項10記載の半導体集積回路装置
    の製造方法において、前記第1ステップで形成される孔
    または溝のアスペクト比が6〜10であることを特徴と
    する半導体集積回路装置の製造方法。
  14. 【請求項14】 請求項10記載の半導体集積回路装置
    の製造方法において、前記第2ステップで形成される孔
    または溝のアスペクト比が10よりも大きいことを特徴
    とする半導体集積回路装置の製造方法。
  15. 【請求項15】 半導体基板上に堆積された酸化シリコ
    ン系の絶縁膜に対して、フロロカーボン系のガスおよび
    酸素を有するエッチングガスを用いプラズマエッチング
    処理を施し、前記酸化シリコン系の絶縁膜を選択的にエ
    ッチングすることにより、前記酸化シリコン系の絶縁膜
    に孔または溝を形成する際に、(a)第1ステップのエ
    ッチング工程では、エッチングガス中の酸素の流量比を
    第1の流量比としてエッチング処理を施すことにより、
    前記孔または溝の途中の深さまでを穿孔する工程、
    (b)第2ステップのエッチング工程では、前記エッチ
    ングガス中の酸素の流量比を前記第1の流量比よりも低
    くした状態でエッチング処理を施すことにより、前記孔
    または溝を穿孔する工程を順に有し、 前記第1ステップで形成される孔または溝のアスペクト
    比が2〜14であることを特徴とする半導体集積回路装
    置の製造方法。
  16. 【請求項16】 請求項15記載の半導体集積回路装置
    の製造方法において、前記第2ステップで形成される孔
    または溝のアスペクト比が10よりも大きいことを特徴
    とする半導体集積回路装置の製造方法。
  17. 【請求項17】 半導体基板上に堆積された酸化シリコ
    ン系の絶縁膜に対して、フロロカーボン系のガスおよび
    酸素を有するエッチングガスを用いプラズマエッチング
    処理を施し、前記酸化シリコン系の絶縁膜を選択的にエ
    ッチングすることにより、前記酸化シリコン系の絶縁膜
    に孔または溝を形成する際に、(a)第1ステップのエ
    ッチング工程では、エッチングガス中の酸素の流量比を
    第1の流量比としてエッチング処理を施すことにより、
    前記孔または溝の途中の深さまでを穿孔する工程、
    (b)第2ステップのエッチング工程では、前記エッチ
    ングガス中の酸素の流量比を前記第1の流量比よりも低
    くした状態でエッチング処理を施すことにより、前記孔
    または溝を穿孔する工程を順に有し、 前記第1ステップで形成される孔または溝のアスペクト
    比が4〜12であることを特徴とする半導体集積回路装
    置の製造方法。
  18. 【請求項18】 請求項17記載の半導体集積回路装置
    の製造方法において、前記第2ステップで形成される孔
    または溝のアスペクト比が10よりも大きいことを特徴
    とする半導体集積回路装置の製造方法。
  19. 【請求項19】 半導体基板上に堆積された酸化シリコ
    ン系の絶縁膜に対して、フロロカーボン系のガスおよび
    酸素を有するエッチングガスを用いプラズマエッチング
    処理を施し、前記酸化シリコン系の絶縁膜を選択的にエ
    ッチングすることにより、前記酸化シリコン系の絶縁膜
    に孔または溝を形成する際に、(a)第1ステップのエ
    ッチング工程では、エッチングガス中の酸素の流量比を
    第1の流量比としてエッチング処理を施すことにより、
    前記孔または溝の途中の深さまでを穿孔する工程、
    (b)第2ステップのエッチング工程では、前記エッチ
    ングガス中の酸素の流量比を前記第1の流量比よりも低
    くした状態でエッチング処理を施すことにより、前記孔
    または溝を穿孔する工程を順に有し、 前記第1ステップで形成される孔または溝のアスペクト
    比が6〜10であることを特徴とする半導体集積回路装
    置の製造方法。
  20. 【請求項20】 請求項19記載の半導体集積回路装置
    の製造方法において、前記第2ステップで形成される孔
    または溝のアスペクト比が10よりも大きいことを特徴
    とする半導体集積回路装置の製造方法。
  21. 【請求項21】 (a)半導体基板にメモリセル選択用
    電界効果トランジスタを形成する工程、(b)前記半導
    体基板上に、前記メモリセル選択用電界効果トランジス
    タのゲート電極の表面および半導体基板の表面を覆う窒
    化シリコン系の絶縁膜を堆積する工程、(c)前記半導
    体基板上に、前記窒化シリコン系の絶縁膜を覆う酸化シ
    リコン系の絶縁膜を堆積する工程、(d)前記酸化シリ
    コン系の絶縁膜に対して、フロロカーボン系のガスおよ
    び酸素を有するエッチングガスを用いプラズマエッチン
    グ処理を施し、前記酸化シリコン系の絶縁膜を選択的に
    エッチングすることにより、前記酸化シリコン系の絶縁
    膜に、前記窒化シリコン系の絶縁膜が露出される孔を形
    成する際に、CF系の付着物の量に応じて第1ステップ
    のエッチングおよび第2ステップのエッチングを順に行
    う工程を有し、 前記第2ステップのエッチングガス中の酸素の流量比
    を、前記第1ステップのエッチングガス中の酸素の流量
    比よりも低くすることを特徴とする半導体集積回路装置
    の製造方法。
  22. 【請求項22】 請求項21記載の半導体集積回路装置
    の製造方法において、前記第1ステップから第2ステッ
    プへの切り換えを、エッチング処理時に検出されるフッ
    化シリコンまたは窒化炭素の発光強度を検出することで
    自動的に行うことを特徴とする半導体集積回路装置の製
    造方法。
  23. 【請求項23】 請求項21記載の半導体集積回路装置
    の製造方法において、前記第1ステップで形成される孔
    の深さが前記メモリセル選択用電界効果トランジスタの
    ゲート電極の高さよりも上方であることを特徴とする半
    導体集積回路装置の製造方法。
  24. 【請求項24】 請求項21記載の半導体集積回路装置
    の製造方法において、前記第1ステップで形成される孔
    のアスペクト比が2〜14であることを特徴とする半導
    体集積回路装置の製造方法。
  25. 【請求項25】 請求項21記載の半導体集積回路装置
    の製造方法において、前記第1ステップで形成される孔
    のアスペクト比が4〜12であることを特徴とする半導
    体集積回路装置の製造方法。
  26. 【請求項26】 請求項21記載の半導体集積回路装置
    の製造方法において、前記第1ステップで形成される孔
    のアスペクト比が6〜10であることを特徴とする半導
    体集積回路装置の製造方法。
  27. 【請求項27】 請求項21記載の半導体集積回路装置
    の製造方法において、前記第2ステップで形成される孔
    のアスペクト比が10よりも大きいことを特徴とする半
    導体集積回路装置の製造方法。
  28. 【請求項28】 (a)半導体基板にメモリセル選択用
    電界効果トランジスタを形成する工程、(b)前記メモ
    リセル選択用電界効果トランジスタの上層に酸化シリコ
    ン系の絶縁膜を堆積する工程、(c)前記酸化シリコン
    系の絶縁膜に対して、フロロカーボン系のガスおよび酸
    素を有するエッチングガスを用いプラズマエッチング処
    理を施し、前記酸化シリコン系の絶縁膜を選択的にエッ
    チングすることにより、前記酸化シリコン系の絶縁膜
    に、情報蓄積用容量素子用の孔を形成する際に、CF系
    の付着物の量に応じて第1ステップのエッチングおよび
    第2ステップのエッチングを順に行う工程を有し、 前記第2ステップのエッチングガス中の酸素の流量比
    を、前記第1ステップのエッチングガス中の酸素の流量
    比よりも低くすることを特徴とする半導体集積回路装置
    の製造方法。
  29. 【請求項29】 請求項28記載の半導体集積回路装置
    の製造方法において、前記第1ステップから第2ステッ
    プへの切り換えを、エッチング処理時間によって行うこ
    とを特徴とする半導体集積回路装置の製造方法。
  30. 【請求項30】 請求項28記載の半導体集積回路装置
    の製造方法において、前記第1ステップで形成される孔
    の深さが前記酸化シリコン系の絶縁膜の厚さの半分また
    はそれよりも小さいことを特徴とする半導体集積回路装
    置の製造方法。
  31. 【請求項31】 請求項28記載の半導体集積回路装置
    の製造方法において、前記第1ステップで形成される情
    報蓄積用容量素子用の孔のアスペクト比が2〜14であ
    ることを特徴とする半導体集積回路装置の製造方法。
  32. 【請求項32】 請求項28記載の半導体集積回路装置
    の製造方法において、前記第1ステップで形成される情
    報蓄積用容量素子用の孔のアスペクト比が4〜12であ
    ることを特徴とする半導体集積回路装置の製造方法。
  33. 【請求項33】 請求項28記載の半導体集積回路装置
    の製造方法において、前記第1ステップで形成される情
    報蓄積用容量素子用の孔のアスペクト比が6〜10であ
    ることを特徴とする半導体集積回路装置の製造方法。
  34. 【請求項34】 請求項28記載の半導体集積回路装置
    の製造方法において、前記第2ステップで形成される情
    報蓄積用容量素子用の孔のアスペクト比が12よりも大
    きいことを特徴とする半導体集積回路装置の製造方法。
  35. 【請求項35】 (a)半導体基板にメモリセル選択用
    電界効果トランジスタを形成する工程、(b)前記半導
    体基板上に、前記メモリセル選択用電界効果トランジス
    タのゲート電極の表面および半導体基板の表面を覆う窒
    化シリコン系の絶縁膜を堆積する工程、(c)前記半導
    体基板上に、前記窒化シリコン系の絶縁膜を覆う酸化シ
    リコン系の絶縁膜を堆積する工程、(d)前記酸化シリ
    コン系の絶縁膜に対して、フロロカーボン系のガスおよ
    び酸素を有するエッチングガスを用いプラズマエッチン
    グ処理を施し、前記酸化シリコン系の絶縁膜を選択的に
    エッチングすることにより、前記酸化シリコン系の絶縁
    膜に、前記窒化シリコン系の絶縁膜が露出される孔を形
    成する際に、CF系の付着物の量に応じて第1ステップ
    のエッチングおよび第2ステップのエッチングを順に行
    う工程を有し、 前記第2ステップにおけるエッチング装置の下部電極に
    印加する高周波電力を、前記第1ステップにおけるエッ
    チング装置の下部電極に印加する高周波電力よりも低く
    することを特徴とする半導体集積回路装置の製造方法。
  36. 【請求項36】 (a)半導体基板にメモリセル選択用
    電界効果トランジスタを形成する工程、(b)前記メモ
    リセル選択用電界効果トランジスタの上層に酸化シリコ
    ン系の絶縁膜を堆積する工程、(c)前記酸化シリコン
    系の絶縁膜に対して、フロロカーボン系のガスおよび酸
    素を有するエッチングガスを用いプラズマエッチング処
    理を施し、前記酸化シリコン系の絶縁膜を選択的にエッ
    チングすることにより、前記酸化シリコン系の絶縁膜
    に、情報蓄積用容量素子用の孔を形成する際に、CF系
    の付着物の量に応じて第1ステップのエッチングおよび
    第2ステップのエッチングを順に行う工程を有し、 前記第2ステップにおけるエッチング装置の下部電極に
    印加する高周波電力を、前記第1ステップにおけるエッ
    チング装置の下部電極に印加する高周波電力よりも低く
    することを特徴とする半導体集積回路装置の製造方法。
JP2000299854A 2000-09-29 2000-09-29 半導体集積回路装置の製造方法 Pending JP2002110647A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2000299854A JP2002110647A (ja) 2000-09-29 2000-09-29 半導体集積回路装置の製造方法
KR1020010059940A KR100757652B1 (ko) 2000-09-29 2001-09-27 반도체 집적 회로 장치의 제조 방법
TW090124115A TW546731B (en) 2000-09-29 2001-09-28 Method of manufacturing a semiconductor integrated circuit device
US09/964,628 US6506674B2 (en) 2000-09-29 2001-09-28 Method of manufacturing a semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000299854A JP2002110647A (ja) 2000-09-29 2000-09-29 半導体集積回路装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009153880A Division JP2009267432A (ja) 2009-06-29 2009-06-29 半導体集積回路装置の製造方法

Publications (1)

Publication Number Publication Date
JP2002110647A true JP2002110647A (ja) 2002-04-12

Family

ID=18781606

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000299854A Pending JP2002110647A (ja) 2000-09-29 2000-09-29 半導体集積回路装置の製造方法

Country Status (4)

Country Link
US (1) US6506674B2 (ja)
JP (1) JP2002110647A (ja)
KR (1) KR100757652B1 (ja)
TW (1) TW546731B (ja)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006514783A (ja) * 2002-10-11 2006-05-11 ラム リサーチ コーポレーション プラズマエッチングのパフォーマンスを改善する方法
JP2006209128A (ja) * 2005-01-27 2006-08-10 Applied Materials Inc 保護マスクを使用したホトマスクプラズマエッチング方法
JP2006278436A (ja) * 2005-03-28 2006-10-12 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム、コンピュータ記録媒体及び処理レシピが記録された記録媒体
JP2007134654A (ja) * 2005-11-14 2007-05-31 Elpida Memory Inc キャパシタの製造方法、メモリセル、及びdram
WO2008044633A1 (fr) * 2006-10-06 2008-04-17 Tokyo Electron Limited Dispositif et procédé de gravure au plasma
JP2008091736A (ja) * 2006-10-04 2008-04-17 Hitachi High-Technologies Corp 絶縁膜ドライエッチング方法
JP2008218557A (ja) * 2007-03-01 2008-09-18 Elpida Memory Inc 半導体デバイスの製造方法および製造装置
KR100886641B1 (ko) * 2006-09-29 2009-03-04 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
JP2009135478A (ja) * 2007-11-02 2009-06-18 Applied Materials Inc 基板上に高アスペクト比の特徴部を形成する方法
JP2010503207A (ja) * 2006-08-31 2010-01-28 マイクロン テクノロジー, インク. 高アスペクト比のフィーチャを形成するための選択的化学エッチングおよび関連構造
KR100979229B1 (ko) * 2003-04-23 2010-08-31 주식회사 하이닉스반도체 반도체소자의 캐패시터 형성방법
KR101019930B1 (ko) 2007-09-25 2011-03-08 어플라이드 머티어리얼스, 인코포레이티드 개구 측벽들 상에 형성된 폴리머를 처리함으로써 고종횡비 개구들의 에칭 프로파일 구부러짐 및 휨을 방지하는 방법
JP2011142306A (ja) * 2009-11-30 2011-07-21 Soonwoo Cha 相変化メモリのためのキーホールフリー傾斜ヒーター
JP2012138520A (ja) * 2010-12-27 2012-07-19 Ulvac Japan Ltd プラズマエッチング方法及びプラズマエッチング装置
JP2015060863A (ja) * 2013-09-17 2015-03-30 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2015115541A (ja) * 2013-12-13 2015-06-22 東京エレクトロン株式会社 エッチング方法
WO2015194380A1 (ja) * 2014-06-16 2015-12-23 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US9824904B2 (en) 2001-04-30 2017-11-21 Lam Research Corporation Method and apparatus for controlling spatial temperature distribution
JP2017536701A (ja) * 2014-12-04 2017-12-07 北京北方華創微電子装備有限公司Beijing Naura Microelectronics Equipment Co., Ltd. 二酸化ケイ素基板のエッチング方法およびエッチング装置
US9984931B2 (en) 2015-09-23 2018-05-29 Samsung Electronics Co., Ltd. Semiconductor device, method of fabricating the same, and patterning method
KR20180138528A (ko) * 2017-06-21 2018-12-31 도쿄엘렉트론가부시키가이샤 에칭 방법

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100430472B1 (ko) * 2001-07-12 2004-05-10 삼성전자주식회사 듀얼 다마신 공정을 이용한 배선 형성 방법
US6787475B2 (en) 2001-09-06 2004-09-07 Zhuxu Wang Flash step preparatory to dielectric etch
JP2003282540A (ja) * 2002-03-25 2003-10-03 Tokyo Electron Ltd プラズマエッチング方法
US20040161946A1 (en) * 2002-06-24 2004-08-19 Hsin-Yi Tsai Method for fluorocarbon film depositing
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
DE102004020834B4 (de) * 2004-04-28 2010-07-15 Qimonda Ag Herstellungsverfahren für eine Halbleiterstruktur
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US7723238B2 (en) * 2004-06-16 2010-05-25 Tokyo Electron Limited Method for preventing striation at a sidewall of an opening of a resist during an etching process
DE102005004409B4 (de) * 2005-01-31 2011-01-20 Advanced Micro Devices, Inc., Sunnyvale Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε
KR100650899B1 (ko) * 2005-12-13 2006-11-27 동부일렉트로닉스 주식회사 플래시 메모리 셀의 제조 방법
US7608195B2 (en) * 2006-02-21 2009-10-27 Micron Technology, Inc. High aspect ratio contacts
US20070246795A1 (en) * 2006-04-20 2007-10-25 Micron Technology, Inc. Dual depth shallow trench isolation and methods to form same
US20080105203A1 (en) * 2006-09-28 2008-05-08 Tokyo Electron Limited Component for substrate processing apparatus and method of forming film on the component
JP2008085092A (ja) * 2006-09-28 2008-04-10 Elpida Memory Inc 半導体装置の製造方法
JP5065787B2 (ja) * 2007-07-27 2012-11-07 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、および記憶媒体
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
US8120137B2 (en) * 2008-05-08 2012-02-21 Micron Technology, Inc. Isolation trench structure
US7998869B2 (en) * 2008-10-31 2011-08-16 Samsung Electronics Co., Ltd. Contact patterning method with transition etch feedback
CN102768933B (zh) * 2009-01-31 2017-06-30 应用材料公司 用于蚀刻的方法
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
DE102011004581A1 (de) * 2011-02-23 2012-08-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Technik zur Reduzierung der plasmahervorgerufenen Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika durch modifizierten HF-Leistungshochlauf
US8252684B1 (en) * 2011-05-30 2012-08-28 Nanya Technology Corp. Method of forming a trench by a silicon-containing mask
US9224618B2 (en) * 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US9165785B2 (en) * 2013-03-29 2015-10-20 Tokyo Electron Limited Reducing bowing bias in etching an oxide layer
US9059092B2 (en) * 2013-09-17 2015-06-16 Taiwan Semiconductor Manufacturing Company Limited Chemical dielectric formation for semiconductor device fabrication
TWI733431B (zh) * 2014-06-18 2021-07-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於tsv/mems/功率元件蝕刻的化學物質
US10163719B2 (en) * 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
KR102487054B1 (ko) 2017-11-28 2023-01-13 삼성전자주식회사 식각 방법 및 반도체 장치의 제조 방법
KR20200039074A (ko) 2018-10-04 2020-04-16 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11158571B2 (en) * 2018-12-20 2021-10-26 Micron Technology, Inc. Devices including conductive interconnect structures, related electronic systems, and related methods
WO2021003224A1 (en) * 2019-07-03 2021-01-07 Lam Research Corporation Method for etching features using a targeted deposition for selective passivation
KR102622412B1 (ko) * 2019-07-05 2024-01-09 삼성전자주식회사 관통 홀을 포함하는 반도체 패키지 및 이의 제조 방법
CN113035836B (zh) * 2021-03-01 2022-03-08 长鑫存储技术有限公司 半导体结构的制备方法及半导体结构
CN114628323B (zh) * 2022-05-05 2023-01-24 长鑫存储技术有限公司 半导体结构的制作方法及半导体结构

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194325B1 (en) * 1992-09-08 2001-02-27 Applied Materials Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
KR0126801B1 (ko) * 1993-12-22 1998-04-02 김광호 반도체 장치의 배선 형성방법
DE69732157T2 (de) * 1996-02-28 2005-12-29 Ebara Corp. Transportroboter mit Tropfwasserschutz
JP3798491B2 (ja) * 1997-01-08 2006-07-19 東京エレクトロン株式会社 ドライエッチング方法
JPH10209124A (ja) 1997-01-21 1998-08-07 Mitsubishi Electric Corp ドライエッチング方法
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US5817579A (en) * 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6074952A (en) * 1998-05-07 2000-06-13 Vanguard International Semiconductor Corporation Method for forming multi-level contacts
JP2001077086A (ja) * 1999-08-31 2001-03-23 Oki Electric Ind Co Ltd 半導体装置のドライエッチング方法

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9824904B2 (en) 2001-04-30 2017-11-21 Lam Research Corporation Method and apparatus for controlling spatial temperature distribution
JP2006514783A (ja) * 2002-10-11 2006-05-11 ラム リサーチ コーポレーション プラズマエッチングのパフォーマンスを改善する方法
KR100979229B1 (ko) * 2003-04-23 2010-08-31 주식회사 하이닉스반도체 반도체소자의 캐패시터 형성방법
JP2006209128A (ja) * 2005-01-27 2006-08-10 Applied Materials Inc 保護マスクを使用したホトマスクプラズマエッチング方法
JP2006278436A (ja) * 2005-03-28 2006-10-12 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム、コンピュータ記録媒体及び処理レシピが記録された記録媒体
JP4663368B2 (ja) * 2005-03-28 2011-04-06 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP2007134654A (ja) * 2005-11-14 2007-05-31 Elpida Memory Inc キャパシタの製造方法、メモリセル、及びdram
JP4552835B2 (ja) * 2005-11-14 2010-09-29 エルピーダメモリ株式会社 キャパシタの製造方法
JP2010503207A (ja) * 2006-08-31 2010-01-28 マイクロン テクノロジー, インク. 高アスペクト比のフィーチャを形成するための選択的化学エッチングおよび関連構造
US8088691B2 (en) 2006-08-31 2012-01-03 Micron Technology, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7723239B2 (en) 2006-09-29 2010-05-25 Hynix Semiconductor Inc Method for fabricating capacitor in semiconductor device
KR100886641B1 (ko) * 2006-09-29 2009-03-04 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US7585776B2 (en) 2006-10-04 2009-09-08 Hitachi High-Technologies Corporation Dry etching method of insulating film
JP2008091736A (ja) * 2006-10-04 2008-04-17 Hitachi High-Technologies Corp 絶縁膜ドライエッチング方法
WO2008044633A1 (fr) * 2006-10-06 2008-04-17 Tokyo Electron Limited Dispositif et procédé de gravure au plasma
US10861678B2 (en) 2006-10-06 2020-12-08 Tokyo Electron Limited Plasma etching apparatus and method
US8852385B2 (en) 2006-10-06 2014-10-07 Tokyo Electron Limited Plasma etching apparatus and method
US10229815B2 (en) 2006-10-06 2019-03-12 Tokyo Electron Limited Plasma etching apparatus and method
JP2008218557A (ja) * 2007-03-01 2008-09-18 Elpida Memory Inc 半導体デバイスの製造方法および製造装置
KR101019930B1 (ko) 2007-09-25 2011-03-08 어플라이드 머티어리얼스, 인코포레이티드 개구 측벽들 상에 형성된 폴리머를 처리함으로써 고종횡비 개구들의 에칭 프로파일 구부러짐 및 휨을 방지하는 방법
JP2009135478A (ja) * 2007-11-02 2009-06-18 Applied Materials Inc 基板上に高アスペクト比の特徴部を形成する方法
JP2011142306A (ja) * 2009-11-30 2011-07-21 Soonwoo Cha 相変化メモリのためのキーホールフリー傾斜ヒーター
US9082969B2 (en) 2009-11-30 2015-07-14 Micron Technology, Inc. Keyhole-free sloped heater for phase change memory
JP2012138520A (ja) * 2010-12-27 2012-07-19 Ulvac Japan Ltd プラズマエッチング方法及びプラズマエッチング装置
JP2015060863A (ja) * 2013-09-17 2015-03-30 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2015115541A (ja) * 2013-12-13 2015-06-22 東京エレクトロン株式会社 エッチング方法
WO2015194380A1 (ja) * 2014-06-16 2015-12-23 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP2016021546A (ja) * 2014-06-16 2016-02-04 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP2017536701A (ja) * 2014-12-04 2017-12-07 北京北方華創微電子装備有限公司Beijing Naura Microelectronics Equipment Co., Ltd. 二酸化ケイ素基板のエッチング方法およびエッチング装置
US9984931B2 (en) 2015-09-23 2018-05-29 Samsung Electronics Co., Ltd. Semiconductor device, method of fabricating the same, and patterning method
US10593596B2 (en) 2015-09-23 2020-03-17 Samsung Electronics Co., Ltd. Semiconductor device, method of fabricating the same, and patterning method
KR20180138528A (ko) * 2017-06-21 2018-12-31 도쿄엘렉트론가부시키가이샤 에칭 방법
KR102557053B1 (ko) 2017-06-21 2023-07-18 도쿄엘렉트론가부시키가이샤 에칭 방법

Also Published As

Publication number Publication date
KR20020025761A (ko) 2002-04-04
US20020039843A1 (en) 2002-04-04
KR100757652B1 (ko) 2007-09-10
US6506674B2 (en) 2003-01-14
TW546731B (en) 2003-08-11

Similar Documents

Publication Publication Date Title
JP2002110647A (ja) 半導体集積回路装置の製造方法
US10186428B2 (en) Removal methods for high aspect ratio structures
KR100689916B1 (ko) 반도체 집적 회로 장치의 제조 방법
JP4554461B2 (ja) 半導体装置の製造方法
US6174451B1 (en) Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US20060252265A1 (en) Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
WO1999016110A2 (en) Plasma process for selectively etching oxide using fluoropropane or fluoropropylene
TW200823998A (en) Self-aligned contact etch with high sensitivity to nitride shoulder
JP2012500480A (ja) バリア層除去方法及び装置
JP2009267432A (ja) 半導体集積回路装置の製造方法
KR20140021610A (ko) 풀 메탈 게이트 구조를 패터닝하는 방법
US20200135491A1 (en) Systems and methods for etching metals and metal derivatives
JP2003347279A (ja) 半導体装置の製造方法
JP4577328B2 (ja) 半導体装置の製造方法
JP3296551B2 (ja) 凹槽に薄膜を沈積させる際のステップカバレージを改善する方法及び半導体素子の製造への適用
KR100497609B1 (ko) 실리콘 질화막 식각방법
JP4308018B2 (ja) エッチング方法
JP2005136097A (ja) 半導体装置の製造方法
CN113517289B (zh) 半导体结构及其形成方法
KR100520140B1 (ko) 반도체소자의캐패시터제조방법
JP2000100782A (ja) 半導体装置の製造方法
JPH0266943A (ja) 窒化シリコン膜のエッチング方法
JPH01251719A (ja) 表面処理方法
JP2000100783A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060202

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20060816

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080417

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080423

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080620

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081001

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081127

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090401

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090629

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090713

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20090821