JP2002060944A - 前駆原料混合物、膜付着方法、及び構造の形成 - Google Patents

前駆原料混合物、膜付着方法、及び構造の形成

Info

Publication number
JP2002060944A
JP2002060944A JP2001122174A JP2001122174A JP2002060944A JP 2002060944 A JP2002060944 A JP 2002060944A JP 2001122174 A JP2001122174 A JP 2001122174A JP 2001122174 A JP2001122174 A JP 2001122174A JP 2002060944 A JP2002060944 A JP 2002060944A
Authority
JP
Japan
Prior art keywords
precursor
nitrile
group
aryl
mixture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001122174A
Other languages
English (en)
Inventor
Bushanan Douglas
ダグラス・ブシャナン
Anne Newmeyer Deborah
デボラ・アン・ニューメイヤー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2002060944A publication Critical patent/JP2002060944A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/0805Capacitors only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 CVD及びALDに有用な前駆原料混合物、
これを用いて膜を成長させる方法、及びこの膜を組み込
む電子素子を形成する方法の提供。 【解決手段】 Li等の金属元素を含む、少なくとも1
つの前駆化合物であり、前駆化合物に、水素化物等の元
素を含む、少なくとも1つの前駆物質を含み、これが脂
肪族炭化水素等の不活性液内に溶解、乳化または浮遊さ
れる。前駆原料混合物は、溶液、乳濁液または懸濁液で
あり、固相、液相及び気相の混合物から構成され、これ
らが混合物全体に渡り分散される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は付着方法に関し、特
に、化学蒸着(CVD:chemical vapor deposition)
及び原子層付着(ALD:atomic layer deposition)
プロセスにおいて有用な前駆原料混合物に関する。本発
明はまた、本発明の前駆原料混合物から付着される少な
くとも1つの膜、層または被覆を含む電子素子を形成す
る方法に関する。
【0002】
【従来の技術】性能を改善するために半導体素子の寸法
が縮小すると、膜厚をより薄く均一な寸法に管理する必
要性が増加する。寸法が縮小するとき、厚さの均一性
は、隣接素子とのオーバラップを最小化するために重要
である。均一性の改善は、浅ソース/ドレイン拡散
(0.25μm)を利用する現行技術において重要であ
る。浅い拡散は、酸化物を通じる打込みにより獲得され
るので、その酸化物の厚さの不均一性は、不均一なソー
ス/ドレイン拡散深さを生じ、素子性能を劣化させる。
厚さの均一性の改善はまた、エッチングの間に、膜の均
一性に直接依存するオーバエッチングを最小化するため
に重要である。
【0003】半導体アプリケーションにおいて、CVD
またはALDにより付着されるほとんどの膜は、キャリ
ア・ガスを生の(すなわち溶媒の無い)前駆物質を通じ
て高温で泡立てる従来のバブラ(bubbler)技術を用い
て成長され、この場合、均一な前駆フラックスを膜に巡
らせるためには、前駆物質の蒸気圧が一定であることが
望まれる。しかしながら、蒸気圧は温度に直接関係する
ので、従来のバブラ技術は、ランの間またはランとラン
の間に、バブラ温度を最小変化に維持することを要求さ
れるという欠点を有する。前駆フラックスの変動は、膜
成長速度の変化につながることが知られている。個体化
合物は、時間の経過に伴い焼結し、表面領域を変化させ
ることが知られており、ランとランの間の膜成長速度の
不均一を生じる。焼結は液体前駆物質にとっては問題で
ないが、時間の経過につれ、液体前駆物質は、それに課
せられる熱サイクル及び熱負荷により劣化し得る。更
に、高温では、分解プロセスが加速される。従来のバブ
ラ内での気化の間の前駆物質の高温、並びに熱サイクル
は、時間の経過に伴う、前駆物質の早期劣化に寄与す
る。前駆物質は配位子再配列、クラスタ形成または酸化
により、それらの化学状態を変化させる。前駆物質は、
前駆物質を通じて気泡化される浄化不十分なキャリア・
ガスや、空気漏れ、またはバブラ壁上で吸収される水及
び酸素を通じて、バブラ内に不用意に導入され得る水ま
たは酸素と反応し得る。
【0004】従来のバブラ技術において一般に使用さ
れ、前述の不都合を被る前駆物質の例には、水素化物、
アルキル、アルケニル、シクロアルケニル、アリール、
アルキン、カルボニル、アミド、リン化物、硝酸塩、ハ
ロゲン化物、アルコキシド、シロキシド及びシリルが含
まれる。アルキルアミン・アランなどの熱的に不安定な
水素化物は、CVD及びALDにとって特に魅力的であ
る。なぜなら、それらの高反応性は通常、低い熱処理温
度に通じて不純物混入を低減するからである。残念なが
ら、アルキルアミン・アランは保存、移送及び気化の間
に周知のように不安定であり、その結果、膜の再現性は
悪い。トリメチルアミン・アラン、トリエチルアミン・
アラン、及びジエチルメチルアミン・アランなどのアル
キルアミン・アランは、保存の間、及びCVD反応炉へ
の移送の間に40℃以上で分解することが知れている。
前駆物質を室温以下で保存し、分解を最小化するために
は、注意が必要である。従って、移送及び気化温度は、
前駆物質の熱分解により制限される(例えば、Dario
M.Frigo及びGerbrand J.M.van Eijdenによる"Chemis
try of Materials"、1994、6、190-195、並びにC.E.C
hryssou及びC.W.Pittによる"Applied Physics A Mate
rials Science and Processing"、vol.65、1997、469-
475を参照)。
【0005】熱的に不安定な前駆物質の別の例には、
(シクロペンタジエニル)Cu(PEt3)などのCu
(I)化合物があり、これは70℃程度の低い温度で、
PEt3を失い分解することが知られている。他の例に
は、トリメチルインジウム及びトリエチルインジウムな
どのアルキルがある。トリエチルインジウムは液体であ
り、バブラ内において室温で分解することが知れてい
る。トリメチルインジウムは室温では固体であり、時間
の経過に伴い、有効蒸気圧の変化が観測され、成長結果
の望ましくない不均一性及び非再現性を生じる(G.B.
Stringfellowによる"Organometallic Vapor-Phase Epit
axy:Theory and Practice"、San Diego、CA:Academic
Press、1989を参照)。
【0006】他の例にはアルコキシドが含まれ、これは
時間の経過に伴う配位子再配列、加水分解、オリゴメリ
ゼーション、環形成、クラスタ形成及び酸化により、化
学状態を変えることが知られている。従来のバブラ技術
で遭遇する高温において、これらの分解プロセスは加速
される。更にアルコキシドは、特に水及び酸素不純物に
敏感であり、これらは前駆物質を通じて気泡化される浄
化不十分なキャリア・ガスや、空気漏れ、またはバブラ
壁上で吸収される水及び酸素を通じてバブラ内に不用意
に導入され得る。加水分解反応が発生し得、これらの反
応が、従来のバブラ技術において一般に遭遇する高温に
おいて加速される。アルコキシドはまた、時間と共に相
互変化する多くの異性体形態で存在し得、その結果、可
変の蒸気圧を生じる。例えば、アルミニウム・イソプロ
ポキシドは、異性体間のゆっくりした相互変化速度によ
り、多数の異性体形態で存在する。これらの異性体の蒸
気圧は大きく変化するため、この化合物から成長される
Al23の付着速度を、従来のバブラ技術を用いて制御
することを困難にする(R.G.Gordon、K.Kramer、X.
LiuによるMRS Symp Proc.Vol.446、1997、p.383を参
照)。
【0007】他の例には、アルコキシドと同様に振る舞
うアミドがあり、これは配位子再配列、加水分解、酸
化、オリゴメリゼーション、及び環形成の傾向があり、
幾つかの相互変化可能な異性体形態で存在し、時間の経
過に伴い、再現不能な蒸気圧を生成する。他の例には、
硝酸チタン、硝酸ジルコニウム及び硝酸ガリウムなどの
無水金属硝酸塩が含まれる。これらの錯体は空気及び水
に敏感であり、約100℃の温度で分解することが知ら
れている。VO(NO33及びCrO2(NO3 2など
の金属オキソ硝酸塩は、空気及び水に敏感であることに
加え、光に敏感であり0℃で保存されるべきである。こ
れについては、例えば、D.G.Colombo、D.C.Gilme
r、V.G.Young、S.A.Campbell及びW.L.Gladfelter
による"Chemical Vapor Deposition"、1998、4、No.
6、1998、P.220で開示されている。
【0008】
【発明が解決しようとする課題】CVD成長のために、
溶液内に溶解された前駆物質を含むβジケトネートを使
用することについては、既に述べられている。米国特許
第5204314号、同第5225561号、同第52
80012号、同第5453494号、及び同第591
9522号は、少なくとも1個のβジケトネート配位子
またはβジケトネート誘導体に結合されるCa、Srま
たはBa錯体を含む溶液を用いて、Ca、SrまたはB
aを成長させる方法について開示している。米国特許第
5555154号は、テトラヒドロフラン内にPb、Z
r及びTiジピバロイルメタネートを含む溶液を使用す
る場合の化学蒸着によるPbZrTiO3の成長につい
て開示している。米国特許第5677002号及び同第
5679815号は、少なくとも1個のβジケトネート
配位子またはβジケトネート誘導体に結合されるNb及
びTaの溶液を用いて、膜を含むタンタル及びニオブを
成長させる方法について開示する。米国特許第5698
022号は、ランタニド金属/リン酸化物膜の化学蒸着
に有用な前駆物質組成を教示しており、これは溶媒内の
ランタニド金属βジケトネート及びリン含有配位子から
成る前駆化合物を含む。米国特許第5783716号
は、少なくとも1個のβジケトネート配位子またはβジ
ケトネート誘導体に結合されるPt錯体を含む溶液を用
いて、CVDによりPtを成長させる方法について開示
する。米国特許第5820664号は、化学蒸着に有用
な金属原料試薬溶液について開示しており、これは少な
くとも1個のβジケトネート配位子またはβジケトネー
ト誘導体に配位結合される金属を含む金属配位錯体を含
む。米国特許第5900279号は、錯体の配位子の1
つ内に溶解されるβジケトネート含有前駆物質から成る
溶液を開示する。米国特許第5916359号は、2つ
の異なるC6−C12アルカンと、グリム・ベースの溶媒
またはポリアミンの3成分溶液内に溶解される、Sr、
Bi、Taのβジケトネート含有前駆物質を含む前駆物
質組成を用いて、CVDによりSrBi2Ta29を成
長させる方法を開示する。米国特許第5980983号
は、金属含有膜の付着のために、金属βジケトネートの
混合物の使用を開示する。βジケトネート前駆物質の様
々な開示にも関わらず、βジケトネート含有前駆物質
は、錯体分解経路を有することが知られており、それに
より相当量の炭素または他の不要な不純物が結果の膜に
組み込まれる。
【0009】米国特許第5900279号は、CVDに
とって有用な溶液を教示しており、これは基本的に金属
有機化合物の配位子から成る液体に追加される金属有機
化合物を含む。例えば、M(βジケトネート)をβジケ
トン内で溶解する。この引例は、膜形成のための前駆物
質の分解の間に過剰な配位子が存在する欠点を被る。配
位子溶媒は、前駆物質及び前駆物質分解フラグメントと
同一の分解経路を取る傾向があり、従って、気相でのま
たは膜表面での前駆物質の分解を妨げる。気化された前
駆物質、部分的に分解された前駆物質、気化された配位
子溶剤、及びその分解副産物との間の気相反応が発生し
得、前駆物質の揮発性の低下、気化器及び反応炉内での
微粒子形成、及び結果的に再現不能な成長速度を生じ得
る。
【0010】従来の付着プロセスに関する欠点を鑑み、
付着プロセスを継続的に開発する必要性があり、様々な
電子素子において使用される薄く付着された層または膜
を形成するために、新たに改善された前駆原料混合物を
使用することが望ましい。
【0011】本発明は、CVD及びALDアプリケーシ
ョンにとって有用な前駆原料混合物、本発明の前駆原料
混合物を用いて、膜(同様に層、被覆及び多層)を成長
させる方法、及び、本発明により付着された膜を組み込
む電子素子を形成する方法に関する。本発明により形成
される好適な電子素子には、トランジスタ、コンデン
サ、ダイオード、抵抗器、スイッチ、発光ダイオード、
レーザ、配線構造、相互接続構造、または本発明の膜が
組み込まれる任意の他の構造が含まれる。
【0012】
【課題を解決するための手段】特に、本発明の前駆原料
混合物は、Li、Na、K、Rb、Cs、Fr、Be、
Mg、Ti、Zr、Hf、Sc、Y、La、V、Nb、
Ta、Cr、Mo、W、Mn、Re、Fe、Ru、O
s、Co、Rh、Ir、Ni、Pd、Pt、Cu、A
g、Au、Zn、Cd、Hg、B、Al、Ga、In、
Tl、Si、Ge、Sn、Pb、As、P、Sb及びB
iを含むグループから選択される元素から成る少なくと
も1つの前駆物質を含み、これに水素化物、アルキル、
アルケニル、カルボニル、アミド、イミド、ヒドラジ
ド、リン化物、ニトロシル、ニトリル(nitryl)、硝酸
塩、ニトリル(nitrile)、ハロゲン化物、アジド、ア
ルコキシ、シロキシ、シリル、及びこれらのハロゲン
化、スルホン化、またはケイ化誘導体を含むグループか
ら選択される、少なくとも1個の配位子が結合される。
配位子は、脂肪族炭化水素、芳香族炭化水素、アルコー
ル、エーテル、アルデヒド、ケトン、酸、フェノール、
エステル、アミン、アルキルニトリル、ハロゲン化炭化
水素、ケイ化炭化水素、チオエーテル、アミン、シアン
酸塩、イソシアン酸塩、チオシアン酸塩、シリコーン
油、ニトロアルキル、アルキル硝酸塩、及びこれらの混
合物を含むグループから選択される不活性液体内に溶
解、乳化または浮遊される。前駆原料混合物は溶液、エ
マルション(乳濁液)またはサスペンション(懸濁液)
であり、固相、液相及び気相の混合物から構成され、こ
れらが混合物全体に渡り分散される。
【0013】本発明はまた、本発明の前駆原料混合物を
用いて、基板上に膜を成長させるCVDまたはALD法
に関する。膜形成方法は、前駆原料混合物内の前駆物質
を気化するステップと、気化された前駆物質の成分を基
板上に付着して、膜を形成するステップとを含む。この
点に関し、不活性液が前駆物質と一緒に気化されても、
気化されなくてもよい。1実施例では、不活性液が前駆
物質と一緒に気化される。本発明の別の実施例では、不
活性液は気化されず、反応炉から液体の形態で転送され
る。
【0014】本発明の別の態様は、本方法により付着さ
れる1つ以上の層を組み込む多層構造の形成に関する。
【0015】更に本発明の別の態様は、少なくとも1つ
の構成要素が本発明の前駆原料混合物から導出される、
複合要素膜(multicomponent film)の形成に関する。
【0016】更に本発明の別の態様には、次のものが含
まれる。すなわち、本方法により付着される膜を組み込
む電子構造の形成。図1に示されるように、本方法によ
り付着される膜を組み込み、単一基板上に形成されるn
型電界効果トランジスタ(NFET)及びp型電界効果
トランジスタ(PFET)の両方を含む、相補型金属酸
化膜半導体(CMOS)集積回路論理素子の形成。図2
に示されるように、本方法により付着される膜を組み込
む集積回路コンデンサの形成。図4に示されるように、
本方法により付着される膜を組み込む集積回路配線構造
の形成。
【0017】
【発明の実施の形態】前述のように、本発明はCVDま
たはALDに有用な前駆原料混合物に関し、この混合物
は、i)本発明の少なくとも1個の前駆物質と、ii)
不活性液とを含む。
【0018】前駆物質は、Li、Na、K、Rb、C
s、Fr、Be、Mg、Ti、Zr、Hf、Sc、Y、
La、V、Nb、Ta、Cr、Mo、W、Mn、Re、
Fe、Ru、Os、Co、Rh、Ir、Ni、Pd、P
t、Cu、Ag、Au、Zn、Cd、Hg、B、Al、
Ga、In、Tl、Si、Ge、Sn、Pb、As、
P、Sb及びBiを含むグループから選択される元素を
含む任意の化合物として定義され、これに水素化物
(H)、アルキル(CR3)、アルケニル(CRC
2)、シクロアルケニル、アリール、アルキン(CC
R)、カルボニル(CO)、アミド(NR2)、イミド
(NR)、ヒドラジド(NRNR2)、リン化物(P
2)、ニトロシル(NO)、ニトリル(NO2)、硝酸
塩(NO3)、ニトリル(RCN)、イソニトリル(R
NC)、ハロゲン化物(F、Cl、BrまたはI)、ア
ジド(N3)、アルコキシ(OR)、シロキシ(OSi
3)、シリル(SiR 3)、及びこれらのハロゲン化、
スルホン化、またはケイ化誘導体を含むグループから選
択される、少なくとも1個の配位子が結合される。そし
て、気化器に送られると、前駆物質が容易に気体に変換
される。配位子のハロゲン化誘導体は、F、Cl、Br
及びIを含むグループから選択されるハロゲンによる、
H置換基の置換として定義される。配位子のスルホン化
誘導体は、SによるO置換基の置換として定義される。
配位子のケイ化誘導体は、SiによるC置換基の置換と
して定義される。
【0019】本発明の前駆物質の一般化化学式は、次の
ように表される。
【数式3】MR1 x2 yz
【0020】ここでMは、Li、Na、K、Rb、C
s、Fr、Be、Mg、Ti、Zr、Hf、Sc、Y、
La、V、Nb、Ta、Cr、Mo、W、Mn、Re、
Fe、Ru、Os、Co、Rh、Ir、Ni、Pd、P
t、Cu、Ag、Au、Zn、Cd、Hg、B、Al、
Ga、In、Tl、Si、Ge、Sn、Pb、As、
P、Sb及びBiを含むグループから選択される元素で
あり、R1及びR2は、水素化物、アルキル、アルケニ
ル、シクロアルケニル、アリール、アルキン、カルボニ
ル、アミド、イミド、ヒドラジド、リン化物、ニトロシ
ル、ニトリル(nitryl)、硝酸塩、ニトリル(nitril
e)、イソニトリル、ハロゲン化物、アジド、アルコキ
シ、シロキシ、シリル、及びこれらのハロゲン化、スル
ホン化、またはケイ化誘導体を含むグループから選択さ
れる、同一のまたは異なる配位子である。また、Aは任
意の配位的に結合または会合される配位子であり、ホス
フィン(R 3P)、亜リン酸塩((RO)3P)、アミン
(R3N)、アルシン(R3As)、スチビン(R3
b)、エーテル(R2O)、硫化物(R2S)、ニトリル
(RCN)、イソニトリル(RNC)、アルケン、ヒド
ラジン、ピリジン、窒素複素環式化合物、大環状分子、
シッフ塩基、シクロアルケン、アルコール(ROH)、
ホスフィン酸化物(R3PO)、アルキリデン、亜硝酸
塩、アルキン、及び水を含むグループから選択される。
また、x≧1、x+y=元素Mの原子価であり、y≧
0、及びz≧0である。
【0021】本発明の好適な前駆物質は、容易に気化さ
れる化合物である。特に、好適な前駆物質は、ジメチル
−、ジエチル−、またはジイソブチル−B、Al、G
a、In、AsまたはSb水素化物;Me2AlH(N
EtMe2);第3ブチルアルシン;(Me3N)AlH
3;(EtMe2N)AlH3;(Et3N)AlH3;C
pWH2;Cp2MoH2;トリメチル−、トリエチル
−、トリイソブチル−、トリnプロピル−、トリイソプ
ロピル−、トリnブチル−、トリネオペンチル−、また
はエチルジメチル−B、Al、Ga、In、Asまたは
Sb;テトラメチル−、テトラエチル−、テトラフェニ
ル−、またはテトラnブチル−Si、Ge、Snまたは
Pb;ジメチル−、ジエチル−、またはジイソブチル−
B、Al、Ga、In、AsまたはSb水素化物、塩化
物、フッ化物、臭化物、ヨウ化物、Cp、アミド、ジメ
チルアミドまたはアジド;トリエチル−、トリイソブチ
ル−、トリnプロピル−、トリイソプロピル−、トリn
ブチル−、またはエチルジメチル−B、Al、Ga、I
n、AsまたはSbトリメチルアミン、ジエチルメチル
アミン、ジメチルエチルアミン、またはトリエチルアミ
ン;ジメチル−またはジエチル−Zn、CdまたはH
g;(ネオペンチル)4Cr;Et3Pb(ネオペントキ
シ);Cp2Me2Zr;(MeNC)2PtMe2;Cp
Ir(C242;ビスCp−Co、Mo、Fe、M
n、Ni、Ru、V、Os、MgまたはCr;ビスエチ
ルベンゼン;ビスベンゼン−Co、MoまたはCr;ト
リフェニル−Bi、SbまたはAs;トリビニルボロ
ン;トリスCp−Sc、Y、La、Ce、Pr、Nd、
Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Y
bまたはLu;トリスアリルイリジウム;CpCr(C
O)2;Cp2ZrMe2;CpCuPEt3;EtCpC
uPEt3;CPIn;CpIr(シクロオクタジエ
ン);CpPd(アリル);CpGaMe2;CpGa
Et2;(シクロヘキサジエン)FeCO3;(シクロオ
クタテトラエン)FeCO3;エチルフェロセン;Cp
Mn(CO)3;(シクロヘプタトリエン)Mo(C
O)3;NdCp3;SmCp3;ScCp3;TbC
3;TlCp;TmCp3;Cp2WH2;(メシチレ
ン)W(CO)3;CpRe(CO)3;CpRh(C
O)2;Ir(アリル)3;Pt(アリル)2;CpIr
(シクロオクタネジオン);[Ir(OMe)(シクロ
オクタネジオン)]2;Ru(シクロオクタネジオン)
(アリル)2;Ru3CO12;Fe(CO)5;Co2(C
O)8;Ru(CO)3(1、3−シクロヘキサジエ
ン);Os3CO12;Cr(CO)6;CpCo(CO)
2;Mn2(CO)10;CpMn(CO)3;Mo(C
O)6;Ni(CO)4;Re2(CO)10;CpRe
(CO)3;CpRh(CO)2;Ru3(CO)12;W
(CO)6;CpV(CO)4;CF3Co(CO)4;P
t(CO)2(シクロオクタネジオン);Ir(CO)2
(シクロオクタネジオン);(CO)4Fe[P(OC
33];(CO) 4Fe[N(CH33];CoNO
(CO)3;ブトキシ、OCH(CF32、OCMe
2(CF3)、OCMe(CF32、OSi(CH33
OC(CH33、OC(SiMe33、またはOC(C
33Li、Na、K、Rb、Cs、Fr、Cu、A
g、Au、HgまたはTl;テトラメトキシ、テトラエ
トキシ、テトライソプロポキシ、テトラブトキシ、テト
ラnブトキシ、テトライソブトキシ、テトラ第3ブトキ
シ、テトラOCH(CF32、テトラOCMe2(C
3)、テトラOCMe(CF32、テトラOC(C
33、テトラOC(SiMe33、テトラOC(CF
33またはテトラOSi(CH33Si、Ge、Sn、
Pb、Ti、ZrまたはHf;VO(イソプロポキシ)
3、トリイソプロポキシ、トリ第2ブトキシ、トリnブ
トキシ、トリイソブトキシ、トリメトキシ、トリエトキ
シ、(OCH(CF323、(OCMe2(C
3))3、(OCMe(CF323、(OC(CH3
33、(OC(SiMe333、(OC(C
333、または(OSi(CH333、B、Al、
Ga、In、P、AsまたはSb;Et3Pb(イソプ
ロポキシド);(第3ブトキシ)CuPMe3;テトラ
キス(ジメチルアミノ)、テトラキス(ジメチルアミ
ノ)Ti、Zr、Hf、Si、Ge、SnまたはPb;
ジエチルアミノジエチルアルシン;ジエチルアミノアル
シン二塩化物;ビスジメチルアミノアルシン塩化物;M
2Zn(トリエチルアミン)2;ジエチルアミノジメチ
ルスタンナン;トリス(ジメチルアミノ)ホスフィン;
トリス(ジメチルアミノ)アンチモニ;トリス(ジメチ
ルアミノ)アルシン;トリス(ジメチルアミノ)スチビ
ン;トリスビス(トリメチルシリル)エルビウムアミ
ド;ビス(ジメチルアミノ)(トリメチルエチルエチレ
ンジアミノ)アルミニウム;(CO)4Fe[N(C
33];Li、NaまたはKN(SiMe3);ペン
タジメチルアミノタンタル;ジエチルアミノジメチルス
ズ;ヘキサジメチルアミノジタングステン;トリスジメ
チルアミノ(トリメチルエチレンジアミノ)チタン;C
pCu(トリフェニルホスフィン);(第3ブトキシ)
CuPMe3;Pt(PF34;Ni(PF34;Cr
(PF36;(Et3P)3Mo(CO)3;Ir(P
34;Ti(NO34;Zr(NO34;Hf(NO
34;Si(CH33(NO3);RuNO(N
33;硝酸ガリウム;Sn(NO34;Co(N
33;VO(NO33;CrO2(NO32;TiC
4;HfCl4;ZrCl4;InCl2;ZnCl2
AlCl3;SiCl4;GaCl3;SnCl4;CoC
3;ジメチル−、ジエチル−、またはジイソブチル−
Al、B、Ge、SiまたはAsハロゲン化物;N(S
iMe32Li、NaまたはK;B(CH2SiMe3
3;{(Me3Si)2N}3−B、Al、GaまたはI
n;(Me3SiCH24−Ti、ZrまたはHf;
{(Me3Si)2N}2−Zn、CdまたはHgであ
る。ここでCpはシクロペンタジエニルまたは代替シク
ロペンタジニエルであり、メチル、エチル、イソプロピ
ル、nブチル、第2ブチル、第3ブチル、トリメチルシ
リル、または他の類似の置換基による、H置換基の置換
が考慮される。
【0022】不活性液は、本発明の前駆物質の保存及び
気化の間に、前駆物質と接触するとき、分解しない任意
の液体として定義される。より詳細には、前駆原料混合
物内で使用される不活性液は、脂肪族炭化水素、芳香族
炭化水素、アルコール、エーテル、アルデヒド、ケト
ン、酸、フェノール、エステル、アミン、アルキルニト
リル、ハロゲン化炭化水素、ケイ化炭化水素、チオエー
テル、アミン、シアン酸塩、イソシアン酸塩、チオシア
ン酸塩、シリコーン油、ニトロアルキル、アルキル硝酸
塩、及びこれらの混合物を含むグループから選択され
る。好適には、不活性液は基本的に、C5−C12アルカ
ンから構成される。ここで"基本的に構成される"とは、
本発明では、容量で70%乃至100%として定義され
る。任意的な添加剤が存在し得るが、添加剤は容量で不
活性液の30%程度を占めるに過ぎない。
【0023】不活性液の選択は、次の基準にもとづく。
すなわち、前駆物質と接触するとき、または前駆物質の
気化の間に分解しないような、十分な不活性を有するこ
とであり、それにより反応炉の高温域を通過するとき
に、成長する膜表面に不利に吸着することなく、結果の
膜内に不要な不純物を導入しない。
【0024】本発明の前駆原料混合物は、溶液、エマル
ション(乳濁液)またはサスペンション(懸濁液)であ
り、固相、液相及び気相の混合物から構成され、これら
が混合物全体に渡り分散される。
【0025】本発明の前駆原料混合物は、任意のCVD
またはALDプロセスにおいて、現在使用されている任
意の配送手段と共に使用され得る。従って、本発明は特
定のCVDまたはALD装置に、または任意の配送シス
テムに限定されるものではない。化学蒸着(CVD)
は、反応炉への複数の試薬の同時導入として定義され
る。一方、原子層付着(ALD)は、反応炉への複数の
試薬の順次導入として定義され、例えば、原子層エピタ
キシ、デジタル化学蒸着、パルス化学蒸着、及び他の類
似の方法が含まれる。
【0026】本発明によれば、任意のCVDまたはAL
Dプロセスにおいて、本発明の前駆原料混合物を用い
て、基板上に膜が形成される。膜は、前駆原料混合物内
の前駆物質を気化し、その後、気化した前駆物質の成分
を基板上に付着することにより形成される。この点に関
し、不活性液が前駆物質と一緒に気化されても、気化さ
れなくてもよい。本発明の1実施例では、不活性液が前
駆物質と一緒に気化される。別の実施例では、不活性液
は気化されず、反応炉から液体の形態で転送される。
【0027】膜の形成に加え、前駆原料混合物は、本発
明の1つ以上の膜層を組み込む多層構造の形成におい
て、或いは、少なくとも1つの構成要素が本方法の前駆
原料混合物から導出される、複合要素膜(multicompone
nt film)の形成において使用される。
【0028】また、本発明の前駆原料混合物は、本方法
により付着された膜を組み込む構造、すなわち電子素子
構造の形成において使用される。用語"電子素子構造"
は、本発明では、トランジスタ、コンデンサ、ダイオー
ド、抵抗器、バリスタ、スイッチ、発光ダイオード、レ
ーザ、配線構造、及び相互接続構造を意味するために使
用される。
【0029】更に、前駆原料混合物は、相補型金属酸化
膜半導体(CMOS)集積回路論理素子の形成において
使用される。より詳細には、本発明は、単一基板上に形
成されるn型電界効果トランジスタ(NFET)及びp
型電界効果トランジスタ(PFET)の両方を含む、C
MOS集積回路の形成に関する。図1に示されるよう
に、NFET素子11が基板10のp型導電性領域13
上に形成され、ゲート誘電体15上に形成されるゲート
電極14と、ゲート電極14の横方向の両側に形成され
る1対のn型ソース/ドレイン領域16とを含む。同様
に、PFET素子17が基板10のn型導電性領域18
上に形成され、ゲート誘電体15上に形成されるゲート
電極19と、ゲート電極19の両側の側壁に沿って形成
される1対のp型ソース/ドレイン領域20とを含む。
NFET及びPFET素子は、浅トレンチ分離21及び
スペーサ22により分離される。本発明のこの点に関
し、ゲート電極14、ゲート電極19、ゲート誘電体1
5、及びスペーサ22を含むトランジスタ構成要素の少
なくとも1つが、本方法により付着される。
【0030】本発明の前駆原料混合物はまた、集積回路
コンデンサの形成に使用される。図2に示されるよう
に、典型的なコンデンサは、基板30上に、バリア32
と一緒に形成され、プラグ31によりトランジスタに接
続される。コンデンサは、底部電極33、(強誘電性ま
たは非強誘電性の)誘電体34、及び頂部電極35を含
む。本発明のこの点に関し、プラグ31、バリア32、
底部電極33、誘電体34、及び頂部電極35を含むコ
ンデンサ構成要素の少なくとも1つが、本方法により付
着される。コンデンサはスタックまたはトレンチであり
得る。
【0031】前駆原料混合物はまた、集積回路配線構造
の形成において使用される。図3に示されるように、典
型的な配線構造は、トレンチ41及びバイア42を誘電
体層43内にエッチングすることにより形成される。誘
電体層43の下には、配線層の金属薄膜配線44及び誘
電体層45が存在する。図4では、トレンチ及びバイア
が、バリア材料46及び配線金属47により充填されて
いる。本発明のこの点に関し、誘電体層43及び45、
金属薄膜配線44、バリア材料46、及び配線金属47
を含む配線構造構成要素の少なくとも1つが、本方法に
より付着される。
【0032】2重ダマシーン構造のエッチング・フィー
チャを共形被覆するバリア層も、本発明の前駆原料混合
物を用いて形成される。
【0033】前記の説明は本発明の総括的な説明であ
り、以下では本発明の特定の詳細について述べることに
する。
【0034】水素化物含有化合物のための前駆原料混合
物:水素化物含有化合物の好適な前駆原料混合物は、次
のように構成される。 i)MR1 x2 yz ここでMはLi、Na、K、Rb、Cs、Fr、Be、
Mg、Ti、Zr、Hf、V、Nb、Ta、Cr、M
o、W、Mn、Re、Fe、Ru、Os、Co、Rh、
Ir、Ni、Pd、Cu、Ag、Au、Zn、Cd、H
g、B、Al、Ga、In、Tl、Si、Ge、Sn、
Pb、As、Sb及びBiを含むグループから選択され
る元素であり、好適にはB、Al、Ga、In、As、
Sb、Si、Ge、Sn、Pb、Zn、Cd及びHgで
ある。また、R1は水素化物であり、R2は水素化物、ア
ルキル、アルケニル、シクロアルケニル、アリール、ア
ルキン、カルボニル、アミド、イミド、ヒドラジド、リ
ン化物、ニトロシル、ニトリル(nitryl)、硝酸塩、ニ
トリル(nitrile)、ハロゲン化物、アジド、アルコキ
シ、シロキシ、シリル及びこれらのハロゲン化、スルホ
ン化、またはケイ化誘導体を含むグループから選択され
る配位子であり、R1及びR2は同一の配位子であって
も、そうでなくてもよい。Aは任意の配位結合される配
位子であり、ホスフィン、亜リン酸塩、アリール、アミ
ン、アルシン、スチビン、エーテル、硫化物、ニトリ
ル、イソニトリル、アルケン、アルキン、ヒドラジン、
ピリジン、窒素複素環式化合物、大環状分子、シッフ塩
基、シクロアルケン、アルコール、ホスフィン酸化物、
アルキリデン、亜硝酸塩、及び水を含むグループから選
択される。また、x≧1、y≧0、及びz≧0であり、
x+y=元素Mの原子価である。
【0035】ii)不活性液 不活性液は脂肪族炭化水素、芳香族炭化水素、アルコー
ル、エーテル、アルデヒド、ケトン、酸、フェノール、
エステル、アミン、アルキルニトリル、ハロゲン化炭化
水素、ケイ化炭化水素、チオエーテル、アミン、シアン
酸塩、イソシアン酸塩、チオシアン酸塩、シリコーン
油、ニトロアルキル、アルキル硝酸塩、及びこれらの混
合物を含むグループから選択される。好適には、不活性
液は基本的に、C5−C12アルカンから構成される。
【0036】iii)任意添加剤 保存または気化の間に分解する傾向のある不安的な水素
化物含有化合物の場合、化合物の安定性を改善するため
に、追加の非水素化物配位子が混合物に追加されてもよ
い。水素化物含有化合物の不安的なアダクトの場合、化
合物の安定性を改善するために、追加のアダクトが混合
物に追加され得る。化合物の安定性を改善するために、
他の配位化合物が混合物に追加されてもよく、それらに
はホスフィン、亜リン酸塩、アリール、アミン、アルシ
ン、スチビン、エーテル、硫化物、ニトリル、イソニト
リル、アルケン、ピリジン、複素環式化合物、テトラヒ
ドロフラン、ジメチルホルムアミド、大環状分子、シッ
フ塩基、シクロアルケン、アルコール、ホスフィン酸化
物、及びアルキンなどが含まれる。全ての任意の添加剤
は、容量で不活性液の30%程度を占めるに過ぎない。
【0037】少なくとも1つの水素化物含有化合物から
構成される、特に好適な前駆原料混合物には、ジメチル
−、ジエチル−、またはジイソブチル−B、Al、G
a、In、AsまたはSb水素化物;第3ブチルアルシ
ン;CpWH2またはCp2MoH2が含まれ、これらは
基本的に、C5−C12アルカン液から成る液体内に溶
解、乳化または浮遊される。他の好適な前駆原料混合物
は、少なくとも1つの水素化物含有化合物から成り、こ
れはMe2AlH(NEtMe2);(Me3N)Al
3;(EtMe2N)AlH3または(Et3N)AlH
3などを含み、これらが選択的にアミンを追加された
(但しこれは容量で不活性液の30%以下)、基本的に
5−C12アルカン液から成る液体内に乳化または浮遊
される。
【0038】アルキル含有化合物のための前駆原料混合
物:アルキル含有化合物の好適な前駆原料混合物は、次
のように構成される。 i)MR1 x2 yz ここでMはLi、Na、K、Rb、Cs、Fr、Be、
Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W、
Mn、Re、Fe、Ru、Os、Co、Rh、Ir、N
i、Pd、Cu、Ag、Au、Zn、Cd、Hg、B、
Al、Ga、In、Tl、Si、Ge、Sn、Pb、A
s、Sb及びBiを含むグループから選択される元素で
あり、好適にはB、Al、Ga、In、As、Sb、S
i、Ge、Sn、Pb、Zn、Cd及びHgである。ま
た、R1はC1−C8アルキル、またはC4−C12シクロア
ルキルであり、R2は水素化物、アルキル、アルケニ
ル、シクロアルケニル、アリール、アルキン、カルボニ
ル、アミド、イミド、ヒドラジド、リン化物、ニトロシ
ル、ニトリル(nitryl)、硝酸塩、ニトリル(nitril
e)、ハロゲン化物、アジド、アルコキシ、シロキシ、
シリル、及びこれらのハロゲン化、スルホン化、または
ケイ化誘導体を含むグループから選択される配位子であ
り、R1及びR2は同一の配位子であっても、そうでなく
てもよい。Aはホスフィン、亜リン酸塩、アリール、ア
ミン、アルシン、スチビン、エーテル、硫化物、ニトリ
ル、イソニトリル、アルケン、アルキン、ヒドラジン、
ピリジン、窒素複素環式化合物、大環状分子、シッフ塩
基、シクロアルケン、アルコール、ホスフィン酸化物、
アルキリデン、亜硝酸塩、及び水を含むグループから選
択される、任意の配位結合される配位子である。また、
x≧1、y≧0、及びz≧0であり、x+y=元素Mの
原子価である。
【0039】ii)不活性液 不活性液は脂肪族炭化水素、芳香族炭化水素、アルコー
ル、エーテル、アルデヒド、ケトン、酸、フェノール、
エステル、アミン、アルキルニトリル、ハロゲン化炭化
水素、ケイ化炭化水素、チオエーテル、アミン、シアン
酸塩、イソシアン酸塩、チオシアン酸塩、シリコーン
油、ニトロアルキル、アルキル硝酸塩、及びこれらの混
合物を含むグループから選択される。好適には、不活性
液は基本的に、C5−C12アルカンから構成される。
【0040】iii)任意添加剤 保存または気化の間に分解する傾向のある不安的なアル
キル含有化合物の場合、化合物の安定性を改善するため
に、追加のアルキル配位子が混合物に追加されてもよ
い。アルキル含有化合物の不安的なアダクトの場合、化
合物の安定性を改善するために、追加のアダクトが混合
物に追加され得る。化合物の安定性を改善するために、
他の配位化合物が混合物に追加されてもよく、それらに
はホスフィン、亜リン酸塩、アリール、アミン、アルシ
ン、スチビン、エーテル、硫化物、ニトリル、イソニト
リル、アルケン、ピリジン、複素環式化合物、テトラヒ
ドロフラン、ジメチルホルムアミド、大環状分子、シッ
フ塩基、シクロアルケン、アルコール、ホスフィン酸化
物、及びアルキンなどが含まれる。全ての任意の添加剤
は、容量で不活性液の30%程度を占めるに過ぎない。
【0041】少なくとも1つのアルキル含有化合物から
構成される、特に好適な前駆原料混合物には、トリメチ
ル−、トリエチル−、またはトリイソブチル−、トリn
プロピル−、トリイソプロピル−、トリnブチル−、ト
リネオペンチル−、またはエチルジメチル−B、Al、
Ga、In、AsまたはSb;テトラメチル−、テトラ
エチル−、テトラフェニル−、またはテトラnブチル−
Si、Ge、SnまたはPb;ジメチル−、ジエチル
−、またはジイソブチル−B、Al、Ga、In、As
またはSb水素化物、塩化物、フッ化物、臭化物、ヨウ
化物、Cp、アミド、ジメチルアミドまたはアジド;ト
リエチル−、トリイソブチル−、トリnプロピル−、ト
リイソプロピル−、トリnブチル−、またはエチルジメ
チル−B、Al、Ga、In、AsまたはSbトリメチ
ルアミン、ジエチルメチルアミン、ジメチルエチルアミ
ン、またはトリエチルアミン;ジメチルまたはジエチル
Zn、CdまたはHg;(ネオペンチル)4Cr;Et3
Pb(ネオペントキシ);Cp2Me2Zr;(MeN
C)2PtMe2;またはCpIr(C242が含まれ
る。ここでCpはシクロペンタジエニルまたは代替シク
ロペンタジニエルであり、C5−C12アルカン液内に溶
解、乳化、または浮遊されるメチル、エチル、イソプロ
ピル、nブチル、第2ブチル、第3ブチル、トリメチル
シリル、または他の類似の置換基による、H置換基の置
換が考慮される。他の好適な前駆原料混合物は、少なく
とも1つのアルキル含有化合物から成り、これは選択的
にメタンまたはエタンを追加された(但しこれらは容量
で不活性液の30%以下)、基本的にC5−C12アルカ
ン液から成る液体内に乳化または浮遊されるトリメチル
またはトリエチルなどを含む。
【0042】アルケニル含有化合物のための前駆原料混
合物:アルケニル含有化合物の好適な前駆原料混合物
は、次のように構成される。 i)MR1xR2yz ここでMはLi、Na、K、Rb、Cs、Fr、Be、
Mg、Ti、Zr、Hf、Sc、Y、La、V、Nb、
Ta、Cr、Mo、W、Mn、Re、Fe、Ru、O
s、Co、Rh、Ir、Ni、Pd、Pt、Cu、A
g、Au、Zn、Cd、Hg、B、Al、Ga、In、
Tl、Si、Ge、Sn、Pb、As、P、Sb及びB
iを含むグループから選択される元素であり、好適には
Bi、As、Cr、Zr、Cu、Co、In、Ir、F
e、La、Mg、Mn、Mo、Ni、Os、Ru、Tl
またはWである。また、R1はC1−C8アルケニル、C4
−C12シクロアルケニル、またはC5−C12アリールで
あり、R2は水素化物、アルキル、アルケニル、シクロ
アルケニル、アリール、アルキン、カルボニル、アミ
ド、イミド、ヒドラジド、リン化物、ニトロシル、ニト
リル(nitryl)、硝酸塩、ニトリル(nitrile)、ハロ
ゲン化物、アジド、アルコキシ、シロキシ、シリル、及
びこれらのハロゲン化、スルホン化、またはケイ化誘導
体を含むグループから選択される配位子であり、R1
びR2は同一の配位子であっても、そうでなくてもよ
い。Aはホスフィン、亜リン酸塩、アリール、アミン、
アルシン、スチビン、エーテル、硫化物、ニトリル、イ
ソニトリル、アルケン、アルキン、ヒドラジン、ピリジ
ン、窒素複素環式化合物、大環状分子、シッフ塩基、シ
クロアルケン、アルコール、ホスフィン酸化物、アルキ
リデン、亜硝酸塩、及び水を含むグループから選択され
る、任意の配位結合される配位子である。また、x≧
1、y≧0、及びz≧0であり、x+y=元素Mの原子
価である。
【0043】ii)不活性液 不活性液は脂肪族炭化水素、芳香族炭化水素、アルコー
ル、エーテル、アルデヒド、ケトン、酸、フェノール、
エステル、アミン、アルキルニトリル、ハロゲン化炭化
水素、ケイ化炭化水素、チオエーテル、アミン、シアン
酸塩、イソシアン酸塩、チオシアン酸塩、シリコーン
油、ニトロアルキル、アルキル硝酸塩、及びこれらの混
合物を含むグループから選択される。好適には、不活性
液は基本的に、C5−C12アルカンから構成される。
【0044】iii)任意添加剤 保存または気化の間に分解する傾向のある不安的なアル
ケニル含有化合物の場合、化合物の安定性を改善するた
めに、追加のアルケニル配位子が混合物に追加されても
よい。アルケニル含有化合物の不安的なアダクトの場
合、化合物の安定性を改善するために、追加のアダクト
が混合物に追加され得る。化合物の安定性を改善するた
めに、他の配位化合物が混合物に追加されてもよく、そ
れらにはホスフィン、亜リン酸塩、アリール、アミン、
アルシン、スチビン、エーテル、硫化物、ニトリル、イ
ソニトリル、アルケン、ピリジン、複素環式化合物、テ
トラヒドロフラン、ジメチルホルムアミド、大環状分
子、シッフ塩基、シクロアルケン、アルコール、ホスフ
ィン酸化物、及びアルキンなどが含まれる。全ての任意
の添加剤は、容量で不活性液の30%程度を占めるに過
ぎない。
【0045】少なくとも1つのアルケニル含有化合物か
ら構成される、特に好適な前駆原料混合物は、ビスCp
−Co、Mo、Fe、Mn、Ni、Ru、V、Os、M
gまたはCr;ビスエチルベンゼン−、ビスベンゼン−
Co、MoまたはCr;トリフェニル−Bi、Sbまた
はAs;トリビニルボロン;トリスCp−Sc、Y、L
a、Ce、Pr、Nd、Sm、Eu、Gd、Tb、D
y、Ho、Er、Tm、YbまたはLu;テトラCpT
h、Pa、V、Np、PuまたはAm;トリスアリルイ
リジウム;CpCr(CO)2;Cp2ZrMe2;Cp
CuPEt3;CPIn;CpIr(シクロオクタジエ
ン);CpPd(アリル);CpGaMe2;CpGa
Et2;(シクロヘキサジエン)FeCO3;(シクロオ
クタテトラエン)FeCO3;エチルフェロセン;Cp
Mn(CO)3;(シクロヘプタトリエン)Mo(C
o)3;NdCp3;SmCp3;ScCp3;TbC
3;TlCp;Cp2WH2;(メシチレン)W(C
O)3;CpRe(CO)3;CpRh(CO)2;Ir
(アリル)3;Pt(アリル)2;CpIr(シクロオク
タネジオン);[Ir(OMe)(シクロオクタネジオ
ン)]2;及びRu(シクロオクタネジオン)(アリ
ル)2を含むグループから選択され、ここでCpはシク
ロペンタジエニルまたは代替シクロペンタジニエルであ
り、C5−C12アルカン液内に溶解、乳化、または浮遊
されるメチル、エチル、イソプロピル、nブチル、第2
ブチル、第3ブチル、トリメチルシリル、または他の類
似の置換基による、H置換基の置換が考慮される。
【0046】カルボニル含有化合物のための前駆原料混
合物:カルボニル含有化合物の好適な前駆原料混合物
は、次のように構成される。 i)MR1 x2 yz ここでMはLi、Na、K、Rb、Cs、Fr、Be、
Mg、Ti、Zr、Hf、Sc、Y、La、V、Nb、
Ta、Cr、Mo、W、Mn、Re、Fe、Ru、O
s、Co、Rh、Ir、Ni、Pd、Pt、Cu、A
g、Au、Zn、Cd、Hg、B、Al、Ga、In、
Tl、Si、Ge、Sn、Pb、As、P、Sb及びB
iを含むグループから選択される元素であり、好適には
Ru、Fe、Co、Os、Cr、Mn、Mo、Ni、R
e、Rh、W、PtまたはIrである。また、R1はカ
ルボニルであり、R2は水素化物、アルキル、アルケニ
ル、シクロアルケニル、アリール、アルキン、カルボニ
ル、アミド、イミド、ヒドラジド、リン化物、ニトロシ
ル、ニトリル(nitryl)、硝酸塩、ニトリル(nitril
e)、ハロゲン化物、アジド、アルコキシ、シロキシ、
シリル、及びこれらのハロゲン化、スルホン化、または
ケイ化誘導体を含むグループから選択される配位子であ
り、R1及びR2は同一の配位子であっても、そうでなく
てもよい。Aはホスフィン、亜リン酸塩、アリール、ア
ミン、アルシン、スチビン、エーテル、硫化物、ニトリ
ル、イソニトリル、アルケン、アルキン、ヒドラジン、
ピリジン、窒素複素環式化合物、大環状分子、シッフ塩
基、シクロアルケン、アルコール、ホスフィン酸化物、
アルキリデン、亜硝酸塩、及び水を含むグループから選
択される、任意の配位結合される配位子である。また、
x≧1、y≧0、及びz≧0であり、x+y=元素Mの
原子価である。
【0047】ii)不活性液 不活性液は脂肪族炭化水素、芳香族炭化水素、アルコー
ル、エーテル、アルデヒド、ケトン、酸、フェノール、
エステル、アミン、アルキルニトリル、ハロゲン化炭化
水素、ケイ化炭化水素、チオエーテル、アミン、シアン
酸塩、イソシアン酸塩、チオシアン酸塩、シリコーン
油、ニトロアルキル、アルキル硝酸塩、及びこれらの混
合物を含むグループから選択される。好適には、不活性
液は基本的に、C5−C12アルカンから構成される。
【0048】iii)任意添加剤 保存または気化の間に分解する傾向のある不安的なカル
ボニル含有化合物の場合、化合物の安定性を改善するた
めに、追加の非カルボニル配位子が混合物に追加されて
もよい。カルボニル含有化合物の不安的なアダクトの場
合、化合物の安定性を改善するために、追加のアダクト
が混合物に追加され得る。化合物の安定性を改善するた
めに、他の配位化合物が混合物に追加されてもよく、そ
れらにはホスフィン、亜リン酸塩、アリール、アミン、
アルシン、スチビン、エーテル、硫化物、ニトリル、イ
ソニトリル、アルケン、ピリジン、複素環式化合物、テ
トラヒドロフラン、ジメチルホルムアミド、大環状分
子、シッフ塩基、シクロアルケン、アルコール、ホスフ
ィン酸化物、及びアルキンなどが含まれる。全ての任意
の添加剤は、容量で不活性液の30%程度を占めるに過
ぎない。
【0049】少なくとも1つのカルボニル含有化合物か
ら構成される、特に好適な前駆原料混合物には、Ru3
CO12;Fe(CO)5;Co2(CO)8;Ru(C
O)3(1、3−シクロヘキサジエン);Os3CO12
Cr(CO)6;CpCo(CO)2;Mn2(C
O)10;CpMn(CO)3;(シクロヘプタトリエ
ン)Mo(CO)3;Mo(CO)6;Ni(CO)4
Re2(CO)10;CpRe(CO) 3;CpRh(C
O)2;Ru3(CO)12;W(CO)6;CpV(C
O)4;CF3Co(CO)4;Pt(CO)2(シクロオ
クタネジオン);Ir(CO)2(シクロオクタネジオ
ン);(CO)4Fe[P(OCH33];(CO)4
e[N(CH33]及びCoNO(CO)3が含まれ
る。ここでCpはシクロペンタジエニルまたは代替シク
ロペンタジニエルであり、C5−C12アルカン液内に溶
解、乳化、または浮遊されるメチル、エチル、イソプロ
ピル、nブチル、第2ブチル、第3ブチル、トリメチル
シリル、または他の類似の置換基による、H置換基の置
換が考慮される。
【0050】アルコキシ含有化合物のための前駆原料混
合物:アルコキシ含有化合物の好適な前駆原料混合物
は、次のように構成される。 i)MR1 x2 yz ここで、MはLi、Na、K、Rb、Cs、Fr、B
e、Mg、Ti、Zr、Hf、Sc、Y、La、V、N
b、Ta、Cr、Mo、W、Mn、Re、Fe、Ru、
Os、Co、Rh、Ir、Ni、Pd、Pt、Cu、A
g、Au、Zn、Cd、Hg、B、Al、Ga、In、
Tl、Si、Ge、Sn、Pb、As、P、Sb及びB
iを含むグループから選択される元素であり、好適には
B、Al、Ga、In、As、Sb、Si、Ge、T
i、ZrまたはHfである。また、R 1はアルコキシま
たはシロキシであり、R2は水素化物、アルキル、アル
ケニル、シクロアルケニル、アリール、アルキン、カル
ボニル、アミド、イミド、ヒドラジド、リン化物、ニト
ロシル、ニトリル(nitryl)、硝酸塩、ニトリル(nitr
ile)、ハロゲン化物、アジド、アルコキシ、シロキ
シ、シリル及びこれらのハロゲン化、スルホン化、また
はケイ化誘導体を含むグループから選択される配位子で
あり、R1及びR2は同一の配位子であっても、そうでな
くてもよい。Aはホスフィン、亜リン酸塩、アリール、
アミン、アルシン、スチビン、エーテル、硫化物、ニト
リル、イソニトリル、アルケン、アルキン、ヒドラジ
ン、ピリジン、窒素複素環式化合物、大環状分子、シッ
フ塩基、シクロアルケン、アルコール、ホスフィン酸化
物、アルキリデン、亜硝酸塩、及び水を含むグループか
ら選択される、任意の配位結合される配位子である。ま
た、x≧1、y≧0、及びz≧0であり、x+y=元素
Mの原子価である。
【0051】ii)不活性液 不活性液は脂肪族炭化水素、芳香族炭化水素、アルコー
ル、エーテル、アルデヒド、ケトン、酸、フェノール、
エステル、アミン、アルキルニトリル、ハロゲン化炭化
水素、ケイ化炭化水素、チオエーテル、アミン、シアン
酸塩、イソシアン酸塩、チオシアン酸塩、シリコーン
油、ニトロアルキル、アルキル硝酸塩、及びこれらの混
合物を含むグループから選択される。好適には、不活性
液は基本的に、C5−C12アルカンから構成される。
【0052】iii)任意添加剤 保存または気化の間に分解する、または化学的に再配列
する傾向のある不安的なアルコキシ含有化合物の場合、
化合物の安定性を改善するために、追加のアルコキシド
配位子が混合物に追加されてもよい。アルコキシ含有化
合物の不安的なアダクトの場合、化合物の安定性を改善
するために、追加のアダクトが混合物に追加され得る。
化合物の安定性を改善するために、他の配位化合物が混
合物に追加されてもよく、それらにはホスフィン、亜リ
ン酸塩、アリール、アミン、アルシン、スチビン、エー
テル、硫化物、ニトリル、イソニトリル、アルケン、ピ
リジン、複素環式化合物、テトラヒドロフラン、ジメチ
ルホルムアミド、大環状分子、シッフ塩基、シクロアル
ケン、アルコール、ホスフィン酸化物、及びアルキンな
どが含まれる。全ての任意の添加剤は、容量で不活性液
の30%程度を占めるに過ぎない。
【0053】少なくとも1つのアルコキシ含有化合物か
ら構成される、特に好適な前駆原料混合物には、ブトキ
シ、OCH(CF32、OCMe2(CF3)、OCMe
(CF32、OSi(CH33、OC(CH33、OC
(SiMe33、またはOC(CF33Li、Na、
K、Rb、Cs、Fr、Cu、Ag、Au、Hgまたは
Tl;テトラメトキシ、テトラエトキシ、テトライソプ
ロポキシ、テトラ第3ブトキシ、テトライソブトキシ、
テトラブトキシ、テトラOCH(CF32、テトラOC
Me2(CF3)、テトラOCMe(CF32、テトラO
C(CH33、テトラOC(SiMe33、テトラOC
(CF33またはテトラOSi(CH33Si、Ge、
Sn、Pb、Ti、ZrまたはHf;VO(イソプロポ
キシ)3、トリイソプロポキシ、トリ第2ブトキシ、ト
リnブトキシ、トリイソブトキシ、トリメトキシ、トリ
エトキシ、トリOCH(CF32、トリOCMe2(C
3)、トリOCMe(CF32、トリOC(C
33、トリOC(SiMe33、トリOC(C
33、またはトリOSi(CH33、B、Al、G
a、In、P、AsまたはSb;Et3Pb(イソプロ
ポキシド)または(第3ブトキシ)CuPMe3が含ま
れ、これらがC5−C12アルカン液内に溶解、乳化、ま
たは浮遊される。
【0054】アミノ含有化合物のための前駆原料混合
物:アミノ含有化合物の好適な前駆原料混合物は、次の
ように構成される。 i)MR1 x2 yz ここでMはLi、Na、K、Rb、Cs、Fr、Be、
Mg、Ti、Zr、Hf、Sc、Y、La、V、Nb、
Ta、Cr、Mo、W、Mn、Re、Fe、Ru、O
s、Co、Rh、Ir、Ni、Pd、Pt、Cu、A
g、Au、Zn、Cd、Hg、B、Al、Ga、In、
Tl、Si、Ge、Sn、Pb、As、P、Sb及びB
iを含むグループから選択される元素であり、好適には
B、Al、Ga、In、As、Sb、Si、Ge、S
n、Pd、Zn、Cd、Hg、Ti、ZrまたはHfで
ある。また、R1はアミノであり、R2は水素化物、アル
キル、アルケニル、シクロアルケニル、アリール、アル
キン、カルボニル、アミド、イミド、ヒドラジド、リン
化物、ニトロシル、ニトリル(nitryl)、硝酸塩、ニト
リル(nitrile)、ハロゲン化物、アジド、アルコキ
シ、シロキシ、シリル、及びこれらのハロゲン化、スル
ホン化、またはケイ化誘導体を含むグループから選択さ
れる配位子であり、R1及びR2は同一の配位子であって
も、そうでなくてもよい。Aはホスフィン、亜リン酸
塩、アリール、アミン、アルシン、スチビン、エーテ
ル、硫化物、ニトリル、イソニトリル、アルケン、アル
キン、ヒドラジン、ピリジン、窒素複素環式化合物、大
環状分子、シッフ塩基、シクロアルケン、アルコール、
ホスフィン酸化物、アルキリデン、亜硝酸塩、及び水を
含むグループから選択される、任意の配位結合される配
位子である。また、x≧1、y≧0、及びz≧0であ
り、x+y=元素Mの原子価である。
【0055】ii)不活性液 不活性液は脂肪族炭化水素、芳香族炭化水素、アルコー
ル、エーテル、アルデヒド、ケトン、酸、フェノール、
エステル、アミン、アルキルニトリル、ハロゲン化炭化
水素、ケイ化炭化水素、チオエーテル、アミン、シアン
酸塩、イソシアン酸塩、チオシアン酸塩、シリコーン
油、ニトロアルキル、アルキル硝酸塩、及びこれらの混
合物を含むグループから選択される。好適には、不活性
液は基本的に、C5−C12アルカンから構成される。
【0056】iii)任意添加剤 保存または気化の間に分解する、または化学的に再配列
する傾向のある不安的なアミノ含有化合物の場合、化合
物の安定性を改善するために、追加のアミノ配位子が混
合物に追加されてもよい。アミノ含有化合物の不安的な
アダクトの場合、化合物の安定性を改善するために、追
加のアダクトが混合物に追加され得る。化合物の安定性
を改善するために、他の配位化合物が混合物に追加され
てもよく、それらにはホスフィン、亜リン酸塩、アリー
ル、アミン、アルシン、スチビン、エーテル、硫化物、
ニトリル、イソニトリル、アルケン、ピリジン、複素環
式化合物、テトラヒドロフラン、ジメチルホルムアミ
ド、大環状分子、シッフ塩基、シクロアルケン、アルコ
ール、ホスフィン酸化物、及びアルキンなどが含まれ
る。全ての任意の添加剤は、容量で不活性液の30%程
度を占めるに過ぎない。
【0057】少なくとも1つのアミノ含有化合物から構
成される、特に好適な前駆原料混合物には、テトラキス
(ジメチルアミノ)、テトラキス(ジメチルアミノ)T
i、Zr、Hf、Si、Ge、SnまたはPb;ジエチ
ルアミノジエチルアルシン;ジエチルアミノアルシン二
塩化物;ビスジメチルアミノアルシン塩化物;Me2
n(トリエチルアミン)2;ジエチルアミノジメチルス
タンナン;トリス(ジメチルアミノ)ホスフィン;トリ
ス(ジメチルアミノ)アンチモニ;トリス(ジメチルア
ミノ)アルシン;トリス(ジメチルアミノ)スチビン;
トリスビス(トリメチルシリル)エルビウムアミド;ビ
ス(ジメチルアミノ)(トリメチルエチルエチレンジア
ミノ)アルミニウム;(CO)4Fe[N(C
33];Li、NaまたはKN(SiMe3);ペン
タジメチルアミノタンタル;ジエチルアミノジメチルス
ズ;ヘキサジメチルアミノジタングステン、またはトリ
スジメチルアミノ(トリメチルエチレンジアミノ)チタ
ンが含まれ、これらが追加のアミンと共に、C5−C12
アルカン液内に溶解、乳化、または浮遊される。
【0058】リン化物含有化合物のための前駆原料混合
物:リン化物含有化合物の好適な前駆原料混合物は、次
のように構成される。 i)MR1 x(PR2 3yz ここで、MはLi、Na、K、Rb、Cs、Fr、B
e、Mg、Ti、Zr、Hf、Sc、Y、La、V、N
b、Ta、Cr、Mo、W、Mn、Re、Fe、Ru、
Os、Co、Rh、Ir、Ni、Pd、Pt、Cu、A
g、Au、Zn、Cd、Hg、B、Al、Ga、In、
Tl、Si、Ge、Sn、Pb、As、P、Sb及びB
iを含むグループから選択される元素であり、好適には
Cu、Ni、Pt、Ir、CrまたはMoである。ま
た、R1及びR2は水素化物、アルキル、アルケニル、シ
クロアルケニル、アリール、アルキン、カルボニル、ア
ミド、イミド、ヒドラジド、リン化物、ニトロシル、ニ
トリル(nitryl)、硝酸塩、ニトリル(nitrile)、ハ
ロゲン化物、アジド、アルコキシ、シロキシ、シリル、
及びこれらのハロゲン化、スルホン化、またはケイ化誘
導体を含むグループから選択される配位子であり、R1
及びR2は同一の配位子であっても、そうでなくてもよ
い。Aはホスフィン、亜リン酸塩、アリール、アミン、
アルシン、スチビン、エーテル、硫化物、ニトリル、イ
ソニトリル、アルケン、アルキン、ヒドラジン、ピリジ
ン、窒素複素環式化合物、大環状分子、シッフ塩基、シ
クロアルケン、アルコール、ホスフィン酸化物、アルキ
リデン、亜硝酸塩、及び水を含むグループから選択され
る、任意の配位結合される配位子である。また、x≧
1、y≧0、及びz≧0であり、x+y=元素Mの原子
価である。
【0059】ii)不活性液 不活性液は脂肪族炭化水素、芳香族炭化水素、アルコー
ル、エーテル、アルデヒド、ケトン、酸、フェノール、
エステル、アミン、アルキルニトリル、ハロゲン化炭化
水素、ケイ化炭化水素、チオエーテル、アミン、シアン
酸塩、イソシアン酸塩、チオシアン酸塩、シリコーン
油、ニトロアルキル、アルキル硝酸塩、及びこれらの混
合物を含むグループから選択される。好適には、不活性
液は基本的に、C5−C12アルカンから構成される。
【0060】iii)任意添加剤 保存または気化の間に分解する、または化学的に再配列
する傾向のある不安的なリン化物含有化合物の場合、化
合物の安定性を改善するために、追加のリン化物配位子
が混合物に追加されてもよい。リン化物含有化合物の不
安的なアダクトの場合、化合物の安定性を改善するため
に、追加のアダクトが混合物に追加され得る。化合物の
安定性を改善するために、他の配位化合物が混合物に追
加されてもよく、それらにはホスフィン、亜リン酸塩、
アリール、アミン、アルシン、スチビン、エーテル、硫
化物、ニトリル、イソニトリル、アルケン、ピリジン、
複素環式化合物、テトラヒドロフラン、ジメチルホルム
アミド、大環状分子、シッフ塩基、シクロアルケン、ア
ルコール、ホスフィン酸化物、及びアルキンなどが含ま
れる。全ての任意の添加剤は、容量で不活性液の30%
程度を占めるに過ぎない。
【0061】好適な前駆原料混合物は、CpCu(PE
3)、CpCu(トリフェニルホスフィン)、(第3
ブトキシ)CuPMe3、Pt(PF34、Ni(P
34、Cr(PF36、(Et3P)3Mo(CO)3
またはIr(PF34から成る。ここでCpはシクロペ
ンタジエニルまたは代替シクロペンタジニエルから成
り、過剰なホスフィンを含むC5−C12アルカン液内に
溶解、乳化、または浮遊されるメチル、エチル、イソプ
ロピル、nブチル、第2ブチル、第3ブチル、トリメチ
ルシリル、または他の類似の置換基による、H置換基の
置換が考慮される。
【0062】硝酸塩含有化合物のための前駆原料混合
物:硝酸塩含有化合物の好適な前駆原料混合物は、次の
ように構成される。 i)MR1 x2 yz ここで、MはLi、Na、K、Rb、Cs、Fr、B
e、Mg、Ti、Zr、Hf、Sc、Y、La、V、N
b、Ta、Cr、Mo、W、Mn、Re、Fe、Ru、
Os、Co、Rh、Ir、Ni、Pd、Pt、Cu、A
g、Au、Zn、Cd、Hg、B、Al、Ga、In、
Tl、Si、Ge、Sn、Pb、As、P、Sb及びB
iを含むグループから選択される元素であり、好適には
Ti、Zr、Hf、Si、Ga、Sn、Co、Vまたは
Crである。また、R1は硝酸塩であり、R2は水素化
物、アルキル、アルケニル、シクロアルケニル、アリー
ル、アルキン、カルボニル、アミド、イミド、ヒドラジ
ド、リン化物、ニトロシル、ニトリル(nitryl)、硝酸
塩、ニトリル(nitrile)、ハロゲン化物、アジド、ア
ルコキシ、シロキシ、シリル、及びこれらのハロゲン
化、スルホン化、またはケイ化誘導体を含むグループか
ら選択される配位子であり、R1及びR2は同一の配位子
であっても、そうでなくてもよい。Aはホスフィン、亜
リン酸塩、アリール、アミン、アルシン、スチビン、エ
ーテル、硫化物、ニトリル、イソニトリル、アルケン、
アルキン、ヒドラジン、ピリジン、窒素複素環式化合
物、大環状分子、シッフ塩基、シクロアルケン、アルコ
ール、ホスフィン酸化物、アルキリデン、亜硝酸塩、及
び水を含むグループから選択される、任意の配位結合さ
れる配位子である。また、x≧1、y≧0、及びz≧0
であり、x+y=元素Mの原子価である。
【0063】ii)不活性液 不活性液は脂肪族炭化水素、芳香族炭化水素、アルコー
ル、エーテル、アルデヒド、ケトン、酸、フェノール、
エステル、アミン、アルキルニトリル、ハロゲン化炭化
水素、ケイ化炭化水素、チオエーテル、アミン、シアン
酸塩、イソシアン酸塩、チオシアン酸塩、シリコーン
油、ニトロアルキル、アルキル硝酸塩、及びこれらの混
合物を含むグループから選択される。好適には、不活性
液は基本的に、C5−C12アルカンから構成される。
【0064】iii)任意添加剤 保存または気化の間に分解する、または化学的に再配列
する傾向のある不安的な硝酸塩含有化合物の場合、化合
物の安定性を改善するために、追加の配位子が混合物に
追加されてもよい。硝酸塩含有化合物の不安的なアダク
トの場合、化合物の安定性を改善するために、追加のア
ダクトが混合物に追加され得る。化合物の安定性を改善
するために、他の配位化合物が混合物に追加されてもよ
く、それらにはホスフィン、亜リン酸塩、アリール、ア
ミン、アルシン、スチビン、エーテル、硫化物、ニトリ
ル、イソニトリル、アルケン、ピリジン、複素環式化合
物、テトラヒドロフラン、ジメチルホルムアミド、大環
状分子、シッフ塩基、シクロアルケン、アルコール、ホ
スフィン酸化物、及びアルキンなどが含まれる。全ての
任意の添加剤は、容量で不活性液の30%程度を占める
に過ぎない。
【0065】好適な前駆原料混合物は、Ti(N
34、Zr(NO34、Hf(NO34、Si(CH
33(NO3)、RuNO(NO33、硝酸ガリウム、
Sn(NO34、Co(NO33、VO(NO33また
はCrO2(NO32から成り、これらがC5−C12アル
カン液内に溶解、乳化、または浮遊される。
【0066】ハロゲン化物含有化合物のための前駆原料
混合物:ハロゲン化物含有化合物の好適な前駆原料混合
物は、次のように構成される。 i)MR1 x2 yz ここで、MはLi、Na、K、Rb、Cs、Fr、B
e、Mg、Ti、Zr、Hf、Sc、Y、La、V、N
b、Ta、Cr、Mo、W、Mn、Re、Fe、Ru、
Os、Co、Rh、Ir、Ni、Pd、Pt、Cu、A
g、Au、Zn、Cd、Hg、B、Al、Ga、In、
Tl、Si、Ge、Sn、Pb、As、P、Sb及びB
iを含むグループから選択される元素であり、好適には
Ti、Zr、Hf、Si、Ga、Sn、Co、Vまたは
Crである。また、R1はハロゲン化物であり、R2は水
素化物、アルキル、アルケニル、シクロアルケニル、ア
リール、アルキン、カルボニル、アミド、イミド、ヒド
ラジド、リン化物、ニトロシル、ニトリル(nitryl)、
硝酸塩、ニトリル(nitrile)、ハロゲン化物、アジ
ド、アルコキシ、シロキシ、シリル、及びこれらのハロ
ゲン化、スルホン化、またはケイ化誘導体を含むグルー
プから選択される配位子であり、R1及びR2は同一の配
位子であっても、そうでなくてもよい。Aはホスフィ
ン、亜リン酸塩、アリール、アミン、アルシン、スチビ
ン、エーテル、硫化物、ニトリル、イソニトリル、アル
ケン、アルキン、ヒドラジン、ピリジン、窒素複素環式
化合物、大環状分子、シッフ塩基、シクロアルケン、ア
ルコール、ホスフィン酸化物、アルキリデン、亜硝酸
塩、及び水を含むグループから選択される、任意の配位
結合される配位子である。また、x≧1、y≧0、及び
z≧0であり、x+y=元素Mの原子価である。
【0067】ii)不活性液 不活性液は脂肪族炭化水素、芳香族炭化水素、アルコー
ル、エーテル、アルデヒド、ケトン、酸、フェノール、
エステル、アミン、アルキルニトリル、ハロゲン化炭化
水素、ケイ化炭化水素、チオエーテル、アミン、シアン
酸塩、イソシアン酸塩、チオシアン酸塩、シリコーン
油、ニトロアルキル、アルキル硝酸塩、及びこれらの混
合物を含むグループから選択される。好適には、不活性
液は基本的に、C5−C12アルカンから構成される。
【0068】iii)任意添加剤 保存または気化の間に分解する、または化学的に再配列
する傾向のある不安的なハロゲン化物含有化合物の場
合、化合物の安定性を改善するために、追加のハロゲン
化物配位子が混合物に追加されてもよい。ハロゲン化物
含有化合物の不安的なアダクトの場合、化合物の安定性
を改善するために、追加のアダクトが混合物に追加され
得る。化合物の安定性を改善するために、他の配位化合
物が混合物に追加されてもよく、それらにはホスフィ
ン、亜リン酸塩、アリール、アミン、アルシン、スチビ
ン、エーテル、硫化物、ニトリル、イソニトリル、アル
ケン、ピリジン、複素環式化合物、テトラヒドロフラ
ン、ジメチルホルムアミド、大環状分子、シッフ塩基、
シクロアルケン、アルコール、ホスフィン酸化物、及び
アルキンなどが含まれる。全ての任意の添加剤は、容量
で不活性液の30%程度を占めるに過ぎない。
【0069】好適な前駆原料混合物は、TiCl4、Z
nCl2、ZrCl4、HfCl4、AlCl3、SiCl
4、GaCl3、SnCl4、CoCl3、ジメチル−、ジ
エチル−、またはジイソブチル−Al、B、Ge、Si
またはAsハロゲン化物から成り、これらがC5−C12
アルカン液内に溶解、乳化、または浮遊される。
【0070】シリル含有化合物のための前駆原料混合
物:シリル含有化合物の好適な前駆原料混合物は、次の
ように構成される。 i)MR1 x2 yz ここで、MはLi、Na、K、Rb、Cs、Fr、B
e、Mg、Ti、Zr、Hf、Sc、Y、La、V、N
b、Ta、Cr、Mo、W、Mn、Re、Fe、Ru、
Os、Co、Rh、Ir、Ni、Pd、Pt、Cu、A
g、Au、Zn、Cd、Hg、B、Al、Ga、In、
Tl、Si、Ge、Sn、Pb、As、P、Sb及びB
iを含むグループから選択される元素であり、好適には
Ti、Zr、Hf、Si、Ga、Sn、Co、Vまたは
Crである。また、R1はシリルであり、R2は水素化
物、アルキル、アルケニル、シクロアルケニル、アリー
ル、アルキン、カルボニル、アミド、イミド、ヒドラジ
ド、リン化物、ニトロシル、ニトリル(nitryl)、硝酸
塩、ニトリル(nitrile)、ハロゲン化物、アジド、ア
ルコキシ、シロキシ、シリル、及びこれらのハロゲン
化、スルホン化、またはケイ化誘導体を含むグループか
ら選択される配位子であり、R1及びR2は同一の配位子
であっても、そうでなくてもよい。Aはホスフィン、亜
リン酸塩、アリール、アミン、アルシン、スチビン、エ
ーテル、硫化物、ニトリル、イソニトリル、アルケン、
アルキン、ヒドラジン、ピリジン、窒素複素環式化合
物、大環状分子、シッフ塩基、シクロアルケン、アルコ
ール、ホスフィン酸化物、アルキリデン、亜硝酸塩、及
び水を含むグループから選択される、任意の配位結合さ
れる配位子である。また、x≧1、y≧0、及びz≧0
であり、x+y=元素Mの原子価である。
【0071】ii)不活性液 不活性液は脂肪族炭化水素、芳香族炭化水素、アルコー
ル、エーテル、アルデヒド、ケトン、酸、フェノール、
エステル、アミン、アルキルニトリル、ハロゲン化炭化
水素、ケイ化炭化水素、チオエーテル、アミン、シアン
酸塩、イソシアン酸塩、チオシアン酸塩、シリコーン
油、ニトロアルキル、アルキル硝酸塩、及びこれらの混
合物を含むグループから選択される。好適には、不活性
液は基本的に、C5−C12アルカンから構成される。
【0072】iii)任意添加剤 保存または気化の間に分解する、または化学的に再配列
する傾向のある不安的なシリル含有化合物の場合、化合
物の安定性を改善するために、追加のシリル配位子が混
合物に追加されてもよい。シリル含有化合物の不安的な
アダクトの場合、化合物の安定性を改善するために、追
加のアダクトが混合物に追加され得る。化合物の安定性
を改善するために、他の配位化合物が混合物に追加され
てもよく、それらにはホスフィン、亜リン酸塩、アリー
ル、アミン、アルシン、スチビン、エーテル、硫化物、
ニトリル、イソニトリル、アルケン、ピリジン、複素環
式化合物、テトラヒドロフラン、ジメチルホルムアミ
ド、大環状分子、シッフ塩基、シクロアルケン、アルコ
ール、ホスフィン酸化物、及びアルキンなどが含まれ
る。全ての任意の添加剤は、容量で不活性液の30%程
度を占めるに過ぎない。
【0073】好適な前駆原料混合物は、N(SiM
32Li、NaまたはK;B(CH2SiMe33
{(Me3Si)2N}3−B、Al、GaまたはIn;
(Me3SiCH24−Ti、ZrまたはHf;{(M
3Si)2N}2−Zn、CdまたはHgから成り、こ
れらがC5−C12アルカン液内に溶解、乳化、または浮
遊される。
【0074】以下の例は、本発明を例証するために、ま
た本発明の幾つかの利点を実証するために提供されるも
のである。
【0075】例1:前駆原料混合物を使用する化学蒸着
反応炉内での膜の付着 この例では、基板がCVDのための好適な反応炉内に配
置され、2つの異なる前駆原料混合物を用いて、複合要
素金属、酸化金属、窒化金属、またはケイ化金属Hf及
びAl含有膜が付着される。
【0076】ハフニウム含有前駆原料混合物は、1リッ
トルのペンタン内に50gのテトラキス(ジメチルアミ
ノ)ハフニウムと1gのジメチルアミンを含む。アルミ
ニウム含有前駆原料混合物は、1リットルのペンタン内
に50gのトリメチルアミンと1gのトリメチルアミン
を含む。この例では、前駆原料混合物は気化器内で気化
され、蒸気がCVD反応炉内に導入される。前駆原料混
合物は40℃乃至260℃で、好適には40℃乃至18
0℃で気化される。基板温度は約100℃乃至1200
℃であり、好適には200℃乃至700℃である。金属
膜を付着するために、水素、フォーミング・ガス、また
はこれらの組み合わせなどの還元反応剤が導入される。
好適な還元剤はフォーミング・ガスである。酸化金属膜
を付着するために、酸素、オゾン、水、過酸化水素、亜
酸化窒素、またはこれらの組み合わせなどの酸化剤が導
入される。好適な酸化剤は酸素である。窒化金属を付着
するために、アンモニア、ヒドラジン、アジ化水素、第
3ブチルアミン、またはこれらの組み合わせなどの窒化
反応剤が導入される。好適な窒化反応剤はアンモニアで
ある。ケイ化金属膜を付着するために、シラン、ジシラ
ン、クロロシラン、シリルアミン、及びシルアザンなど
のケイ化剤が、前駆体蒸気と一緒にCVD反応炉内に導
入され、還元剤が前駆体蒸気と一緒にCVD反応炉内に
導入される。前駆原料混合物の蒸気及び反応剤が同時
に、好適には別々の吸気口を通じて導入される。
【0077】前述の本方法は、2つ以上の異なる前駆原
料混合物、または2つ以上の前駆物質を含む前駆原料混
合物を用いて、化学蒸着により付着される任意の複合要
素金属膜、酸化金属膜、窒化金属膜、またはケイ化金属
膜の成長を含むように拡張される。本方法はまた、複合
要素膜の少なくとも1つの要素が前駆原料混合物から導
出されるという条件の下で、化学蒸着により付着される
任意の複合要素金属膜、酸化金属膜、窒化金属膜、また
はケイ化金属膜の成長を含むように拡張される。膜の他
の要素は、従来のバブラ技術、または本発明に含まれな
い前駆原料を用いて付着されてもよい。前述の本方法は
また、1つの前駆物質だけを含む前駆原料混合物を用い
て、化学蒸着により付着される任意の単一要素金属膜、
酸化金属膜、窒化金属膜、またはケイ化金属膜の成長を
含むように拡張される。
【0078】例2:不活性液が気化されない膜成長方法 この例では、不活性液が気化されず、CVDまたはAL
D反応炉から逸らされる。前駆原料混合物は前駆物質及
び不活性液から成り、不活性液は前駆物質よりも高温で
気化する。前駆原料混合物が気化器内に導入され、そこ
で前駆物質が気化される。不活性液は気化されないが、
代わりに反応炉から液体の形態で逸らされる。
【0079】可能な装置構成の1つが、図30に示され
る。図30に示されるように、前記原料混合物はアンプ
ルから気化器に送られる。前駆原料混合物内の前駆物質
は気化器内で気化されるが、不活性液は気化されない。
気化された前駆物質は反応炉内に移送される一方、気化
されない不活性液は気化器から流出し、トラップ内に収
集される。気化器の温度は不活性液の沸点よりも低く設
定される。
【0080】好適な1方法は、90℃に設定された気化
器温度の下で、ジメチルエチルアミン・アラニン及びデ
カン(沸点174℃)から成る前駆原料混合物を使用す
る。
【0081】前述の本方法は、気化器温度が前駆物質を
揮発させるのに十分である一方、前駆原料混合物内の不
活性液の沸点よりも低いという条件の下で、化学蒸着ま
たは原子層付着により付着される、単一要素膜または複
合要素膜の成長を含むように拡張される。
【0082】例3:前駆原料混合物を用いる、原子層付
着(ALD)反応炉内における金属、酸化金属、または
窒化金属の付着 この実施例では、基板がALD用の好適な反応炉、例え
ばマイクロケミストリ社から販売されるF−200反応
炉内に配置され、2つの異なる前駆原料混合物を用い
て、Zr及びHfを含む複合要素金属膜、酸化金属膜、
または窒化金属膜が付着される。ALDは、気化された
前駆物質、反応剤、及びパージ・ガスを順次的に交互に
パルス的に送り込むことにより、循環式に行われる。
【0083】ジルコニウム含有前駆原料混合物は、1リ
ットルのペンタン内に50gの硝酸ジルコニウムを含
む。ハフニウム含有前駆原料混合物は、1リットルのペ
ンタン内に50gの酸化第3ブトキシ・ハフニウムを含
む。この例では、前駆原料混合物が気化器内で気化さ
れ、蒸気が反応炉内に循環式に導入される。金属膜を付
着するために、水素、フォーミング・ガス、またはこれ
らの組み合わせなどの還元反応剤が導入される。好適な
還元剤はフォーミング・ガスである。酸化金属膜を付着
するために、酸素、オゾン、水、過酸化水素、亜酸化窒
素、またはこれらの組み合わせなどの酸化剤が導入され
る。好適な酸化剤は水である。窒化金属を付着するため
に、アンモニア、ヒドラジン、アジ化水素、第3ブチル
アミン、またはこれらの組み合わせなどの窒化反応剤が
導入される。好適な窒化反応剤はアンモニアである。
【0084】前駆原料混合物は40℃乃至260℃で、
好適には40℃乃至180℃で気化される。基板温度は
約100℃乃至約1200℃であり、好適には150℃
乃至500℃である。前駆物質、反応剤、及び不活性パ
ージ・ガス(N2、Arまたは他の不活性ガス)が、反
応炉内に次の順序でパルス的に送られる。 1)Hf含有前駆原料混合物の蒸気 2)不活性パージ・ガス 3)反応剤 4)不活性パージ・ガス 5)Zf含有前駆原料混合物の蒸気 6)不活性パージ・ガス 7)反応剤 8)不活性パージ・ガス
【0085】前駆物質及び反応剤パルス(それぞれ前記
ステップ1、5及びステップ3、7)は、0.1秒乃至
1秒、好適には0.5秒間持続する。不活性ガス・パー
ジ・パルス(ステップ2、4、6、8)は、0.2秒乃
至5秒、好適には2秒間持続する。ステップ1乃至8の
完了が1サイクルに相当し、1サイクルの完了により、
ZrHf含有膜の約0.4乃至2単層、すなわち約0.
1nmが付着される。この例では、付着されるZrHf
含有膜の好適な厚さは、50nmであるので、500サ
イクルの前述のガス交換が行われる。
【0086】前述の本方法は、2つ以上の異なる前駆原
料混合物、または2つ以上の前駆物質を含む前駆原料混
合物を用いて、原子層付着により付着される任意の複合
要素金属膜、酸化金属膜、窒化金属膜、またはケイ化金
属膜の成長を含むように拡張される。本方法はまた、複
合要素膜の少なくとも1つの要素が前駆原料混合物から
導出されるという条件の下で、原子層付着により付着さ
れる任意の複合要素金属膜、酸化金属膜、窒化金属膜、
またはケイ化金属膜の成長を含むように拡張される。膜
の他の要素は、従来のバブラ技術、または本発明に含ま
れない前駆原料を用いて付着されてもよい。前述の本方
法はまた、1つの前駆物質だけを含む前駆原料混合物を
用いて、原子層付着により付着される任意の単一要素金
属膜、酸化金属膜、窒化金属膜、またはケイ化金属膜の
成長を含むように拡張される。代替実施例では、例2で
前述したように、不活性液が気化されず、ALD反応炉
から逸らされる。
【0087】例4:前駆原料混合物を用いる原子層付着
反応炉内でのケイ化金属膜の付着 この例では、基板がALD用の好適な反応炉、例えばマ
イクロケミストリ社から販売されるF−200反応炉内
に配置され、ケイ化コバルト膜が付着される。ALD
は、気化された前駆物質、反応剤、及びパージ・ガスを
順次的に交互にパルス的に送り込むことにより、循環式
に行われる。この例では、シランがケイ化剤として、ま
た水素が反応剤として使用される。
【0088】コバルト含有前駆原料混合物は、1リット
ルのペンタン内に50gのCo2(CO)8を含む。この
例では、前駆原料混合物が気化器内で気化され、蒸気が
反応炉内に循環式に導入される。
【0089】前駆原料混合物は40℃乃至260℃で、
好適には40℃乃至180℃で気化される。基板温度は
約100℃乃至約1200℃であり、好適には200℃
乃至800℃である。前駆物質、反応剤、及び不活性パ
ージ・ガス(N2、Arまたは他の不活性ガス)が、反
応炉内に次の順序でパルス的に送られる。 1)Co含有前駆原料混合物の蒸気 2)不活性パージ・ガス 3)水素 4)不活性パージ・ガス 5)シラン 6)不活性パージ・ガス 7)水素 8)不活性パージ・ガス
【0090】前駆物質及び反応剤パルス(それぞれ前記
ステップ1、5及びステップ3、7)は、0.1秒乃至
1秒、好適には0.5秒間持続する。不活性ガス・パー
ジ・パルス(ステップ2、4、6、8)は、0.2秒乃
至5秒、好適には2秒間持続する。ステップ1乃至8の
完了が1サイクルに相当し、1サイクルの完了により、
ケイ化コバルトの約0.4乃至2単層、すなわち約0.
1nmが付着される。この例では、付着されるケイ化コ
バルト膜の好適な厚さは、500nmであるので、50
00サイクルの前述のガス交換が行われる。
【0091】前述の本方法は、原子層付着により付着さ
れるCoSi2、HfSi2、NbSi2、Pd2Si、P
tSi、TaSi2、TiSi2、VSi2、WSi2、Z
rSi2などの任意のケイ化金属膜、及び任意の複合要
素ケイ化金属の成長を含むように拡張され、原子層付着
は、少なくとも1つの前駆原料混合物、水素または他の
還元剤、及びシランまたは他のケイ化剤を順繰りに使用
する。ケイ化剤にはシラン、ジシラン、クロロシラン、
シリルアミン、及びシルアザンなどが含まれる。別の実
施例では、ケイ化剤が前駆原料混合物内に導入される。
【0092】例5:銅の付着 この例では、本方法が銅を付着するために使用される。
銅含有前駆原料混合物は、1リットルのペンタン内に1
00gの(シクロペンタジエニル)Cu(PEt3)、
及び1gのPEt3を含む。前駆原料混合物が気化器に
移送され、そこで60℃で気化されて、蒸気が化学蒸着
反応炉内に移送される。反応炉内には、水素などの還元
剤が存在し、そこで100℃乃至300℃に、好適には
120℃乃至250℃に過熱された基板上に銅膜が付着
される。
【0093】例6:タングステンの付着 この例では、本方法がタングステンを付着するために使
用される。前駆原料混合物は、1リットルのヘキサン内
に100gのヘキサカルボニル・タングステンを含む。
前駆原料混合物が気化器に移送され、そこで80℃で気
化されて、蒸気が化学蒸着反応炉内に移送される。反応
炉内には、水素などの還元剤が存在し、そこで200℃
乃至700℃に、好適には600℃に過熱された基板上
にタングステン膜が付着される。
【0094】例7:窒化タンタルの付着 この例では、本方法が窒化タンタルを付着するために使
用される。タンタル含有前駆原料混合物は、1リットル
のペンタン内に100gのペンタジメチルアミノタンタ
ル、及び1gのジメチルアミノを含む。前駆原料混合物
が気化器に移送され、そこで60℃で気化されて蒸気が
化学蒸着反応炉内に移送される。反応炉内には、アンモ
ニアなどの窒化剤が存在し、そこで200℃乃至700
℃に、好適には500℃に過熱された基板上に窒化タン
タル膜が付着される。
【0095】例8:窒化インジウムの付着 この例では、本方法が窒化インジウムを付着するために
使用される。インジウム含有前駆原料混合物は、1リッ
トルのペンタン内に100gのトリメチルインジウム、
及び1gのジメチルエチルアミンを含む。前駆原料混合
物が気化器に移送され、そこで60℃で気化されて蒸気
が化学蒸着反応炉内に移送される。反応炉内には、アン
モニアなどの窒化剤が存在し、そこで100℃乃至70
0℃に、好適には300℃に過熱された基板上に窒化イ
ンジウム膜が付着される。
【0096】例9:ケイ酸ジルコニウムの付着 この例では、本方法がケイ酸ジルコニウムを付着するた
めに使用される。前駆原料混合物は、1リットルのヘキ
サン内に100gの酸化第3ブトキシ・ジルコニウムを
含む。第2の前駆原料混合物は、1リットルのヘキサン
内に100gのテトラエトキシシランを含む。前駆原料
混合物が気化器に移送され、そこで80℃で気化され
て、蒸気が化学蒸着反応炉内に移送される。反応炉内に
は、酸素などの酸化剤が存在し、そこで200℃乃至7
00℃に、好適には500℃に過熱された基板上にケイ
酸ジルコニウム膜が付着される。
【0097】例10:トランジスタのAl23ゲート誘
電体の形成 この例では、図1に示されるように、本方法がPFET
及びNFETのゲート誘電体層15を形成するAl23
を付着するために使用される。パターン化構造を含むシ
リコン・ウエハ基板が使用される。基板の選択領域が、
トランジスタのサイト間に配置される浅トレンチ分離
(STI)酸化物を含み、他の領域がフィールド酸化物
を含み、裸Siの選択領域がトランジスタが配置される
領域内において露出される。次に、基板が好適なモジュ
ール式クラスタ・ツール内に配置され、真空を破壊する
ことなく、裸Siの表面が処理されて原位置にSiOx
y層が形成され、その後、本発明のCVDプロセスに
より、Al23層が1nm乃至100nmの厚さに付着
される。次に、ウエハがモジュール式クラスタ・ツール
の第2のモジュールに移送され、そこで真空を破壊する
ことなく、ゲート電極がAl23上に付着される。
【0098】本方法によるAl23の付着のために、前
駆原料混合物は、1リットルのヘキサン内に103gの
ジメチルエチルアミン・アラン及び10gのジメチルエ
チルアミンを含む。前駆原料混合物が気化器に移送さ
れ、そこで80℃で気化されて、蒸気がクラスタ・ツー
ルの化学蒸着モジュールに移送される。モジュール内に
は、酸素、オゾン、N2O、水、またはこれらの混合物
などの酸化剤が存在し、そこでAl23膜が200℃で
付着される。
【0099】例11:トランジスタのZrO2ゲート誘
電体の形成 この例では、図1に示されるように、本方法がPFET
及びNFETのゲート誘電体層15を形成するZrO2
を付着するために使用される。パターン化構造を含むシ
リコン・ウエハ基板が使用される。基板の選択領域が、
トランジスタのサイト間に配置される浅トレンチ分離
(STI)酸化物を含み、他の領域がフィールド酸化物
を含み、裸Siの選択領域がトランジスタが配置される
領域内において露出される。次に、基板が好適なモジュ
ール式クラスタ・ツール内に配置され、真空を破壊する
ことなく、裸Siの表面が処理されて、原位置にSiO
xy層が形成され、その後、本発明のCVDプロセスに
よりZrO2層が1nm乃至100nmの厚さに付着さ
れる。次に、ウエハがモジュール式クラスタ・ツールの
第2のモジュールに移送され、そこで真空を破壊するこ
となく、ゲート電極がZrO2上に付着される。
【0100】本方法によるZrO2の付着のために、前
駆原料混合物は、1リットルのヘキサン内に100gの
酸化第3ブトキシ・ジルコニウムを含む。前駆原料混合
物が気化器に移送され、そこで80℃で気化されて、蒸
気がクラスタ・ツールの化学蒸着モジュールに移送され
る。モジュール内には、酸素、オゾン、N2O、水、ま
たはこれらの混合物などの酸化剤が存在し、そこでZr
2膜が400℃で付着される。
【0101】例12:トランジスタのゲート誘電体の形
成 この例では、本方法がトランジスタのゲート誘電体を付
着するために使用される。図4に示されるように、トラ
ンジスタ素子は導電性領域51上に形成され、ゲート誘
電体53上に形成されるゲート電極52と、ゲート電極
52の両側に形成される1対のn型ソース/ドレイン領
域54とを含む。ゲート誘電体53は、本方法により付
着され、異なる材料のドープまたは非ドープ混合物、
層、またはこれらの組み合わせから構成される。ゲート
誘電体53の任意の上層57は、ドーパント拡散障壁と
して作用し、ゲート電極52の付着の間に、構造を安定
化させる。ゲート誘電体53の任意の下層55は、電子
障壁層として、または下側のシリコンの酸化を防止する
層として作用するか、両方の役割を果たす。ゲート誘電
体53の中間層56は、高いKを有する誘電体層であ
る。
【0102】好適な下層55は、SiO2、SiO
xy、Si34などの誘電材料から成り、シリコン基板
の酸化または窒化により用意されるか、別々に付着され
る。他の好適な下層材料には、酸化金属や金属ケイ酸塩
が含まれる。高いKを有する中間誘電体層56は、次の
ような誘電材料、すなわち、Ta25、TiO2、Zr
2、HfO2、Al23、La23、Y23、イットリ
ウム、アルミン酸イットリウム、アルミン酸ランタン、
ケイ酸ランタン、ケイ酸イットリウム、ケイ酸ハフニウ
ム、ケイ酸ジルコニウム、これらのドープまたは非ドー
プ混合物、層または組み合わせから構成される。中間層
56は、異なる材料の幾つかの層から構成されてもよ
く、例えば、酸化アルミニウムの層間に挟まれた酸化ハ
フニウムの層であったり、酸化ジルコニウムと酸化ハフ
ニウムの混合物などの比較的同質の混合物から成る層で
ある。任意の上層57は、中間層の酸化または窒化表面
であったり、SiO2、SiOxy、Si34、Zr
2、HfO2、Al23、アルミノケイ酸塩、ケイ酸イ
ットリウム、ケイ酸ジルコニウム、ケイ酸ハフニウム、
ケイ酸ランタン、或いはこれらのドープまたは非ドープ
混合物、層または組み合わせなどの、誘電材料が付着さ
れたものである。好適なゲート誘電体は、SiOxy
下層と、Al23、ZrO2またはHfO2の中間層と、
窒化酸化金属の上部障壁層とから構成される。ゲート誘
電体53内の少なくとも1つの構成要素が、本方法によ
り、すなわち、前駆原料混合物を使用し、前駆原料混合
物を気化し、CVDまたはALD反応炉内において、前
駆原料混合物の蒸気により膜を付着する方法により、付
着される。
【0103】図6乃至図13は、本方法によるトランジ
スタの好適な形成を示す断面図である。ゲート誘電体5
3の形成が、アプライド・マテリアルズ社により製造さ
れるクラスタ・ツール内で原位置で行われる。図6にお
いて、清浄表面を有する(自然のSiO2が存在しな
い)シリコン基板50が出発点となる。図7では、シリ
コン基板50が酸化及び窒化されて、SiOxy層が下
層55として形成される。図8では、酸化ジルコニウム
の中間層56が本方法により形成される。これは酸化第
tブトキシ・ジルコニウム及びヘキサンの前駆原料混合
物を利用し、前駆原料混合物を80℃で気化し、次に、
酸素、オゾン、N2O、H2Oまたはこれらの混合物など
の酸化剤が存在する化学蒸着反応炉内において、ZrO
2膜をSiOxy層上に400℃で付着する。図9で
は、上層57がZrO2表面のプラズマ窒化物形成によ
り形成される。図10では、ポリシリコンがゲート電極
52として付着される。図11乃至図13では、ゲート
が形成され、拡張打込みが行われ、スペーサ58が形成
され、ソース/ドレイン打込みが行われて、完全な素子
が形成される。続くコンタクト形成のステップなどは省
略されている。
【0104】例13:金属ゲートを用いるトランジスタ
の形成 この例では、本方法が金属ゲートを用いるトランジスタ
を形成するために使用される。図1に示されるように、
CMOS集積回路は、単一基板上に形成されるn型電界
効果トランジスタ(NFET)及びp型電界効果トラン
ジスタ(PFET)の両方を含む。NFET素子11
は、基板10のp型導電性領域13上に形成され、ゲー
ト誘電体15上に形成されるゲート電極14と、ゲート
電極14の横方向の両側に形成される1対のn型ソース
/ドレイン領域16とを含む。同様に、PFET素子1
7が基板10のn型導電性領域18上に形成され、ゲー
ト誘電体15上に形成されるゲート電極19と、ゲート
電極19の両側の側壁に沿って形成される1対のp型導
電性ソース/ドレイン領域20とを含む。NFET及び
PFET素子は、浅トレンチ分離21及びスペーサ22
により分離される。この例では、ゲート電極14または
19が、適切な仕事関数を有するバルク金属または合金
から成る。NFET素子11のゲート電極14として適
切な金属には、Al、Ag、Bi、Cd、Fe、Ga、
Hf、In、Mn、Nb、Y、Zr及びこれらの合金な
どが含まれる。NFETに好適な少なくとも1つの金属
が、W、Mo、Cr及びCuと合金化され、ゲート電極
14を形成してもよい。PFET素子17のゲート電極
19として適切な金属には、Ni、Pt、Be、Ir、
Te、Re及びRhなどが含まれる。PFETに好適な
少なくとも1つの金属が、W、Mo、Cr及びCuと合
金化され、ゲート電極19を形成してもよい。この実施
例では、NFETまたはPFET要素、すなわちゲート
電極14、ゲート電極19、及びゲート誘電体15の少
なくとも1つが、本方法により付着される。ゲート誘電
体15は、例2で述べたように、本方法により付着され
得る。
【0105】例14:トランジスタの形成 この例では、本方法が金属ゲートを用いるトランジスタ
を形成するために使用される。図14は、NFET及び
PFETを含む一般的な素子構造を示す。この実施例で
は、NFET及びPFETが単一のp型導電基板60上
に形成される。NFET素子61は、基板60のp型導
電性領域上に形成され、ゲート誘電体64上に形成され
るゲート電極62と、ゲート電極62の横方向の両側に
形成される1対のn型ソース/ドレイン領域65とを含
む。同様に、PFET素子71が基板60のn型ウェル
72上に形成されて、浅トレンチ分離73により分離さ
れ、ゲート誘電体64上に形成されるゲート電極74
と、ゲート電極74の両側の側壁に沿って形成される1
対のp型導電性ソース/ドレイン領域76とを含む。
【0106】図15乃至図24は、標準的CMOSフロ
ーの可能な形成シーケンスの1例を示す部分断面図であ
る。図15では、浅トレンチ分離(STI)73及びn
ウェル72を有するシリコン基板60が、p型導電基板
の一部に形成される。図16では、ゲート誘電体64及
びマスキング層(例えばSi34)77が、基板上に形
成される。ゲート誘電体64は、例12で述べたよう
に、本方法により付着され得る。図17では、マスキン
グ層77内において、NFETゲートに相当する部分に
開口が形成される。図18では、NFETゲート電極6
2が形成される。NFETゲート電極62として好適な
材料には、ポリシリコン、W、Mo、Ti、Cr、C
u、Fe、Mn、Nb、V、Re、Pt、Ag、Bi、
Cd、Fe、Ga、Hf、In、Mn、Y、Zr、これ
らのドープまたは非ドープ合金、混合物、及び多層が含
まれる。NFETゲート電極62が本方法により付着さ
れもよい。図19では、化学機械研磨(CMP)ステッ
プが実行され、表面が平坦化される。図20乃至図22
では、図17乃至図19で示されたステップが、PFE
T素子の形成のために繰り返される。図20では、マス
キング層77内において、PFETゲートに相当する部
分に開口が形成される。図21では、PFETゲート電
極74が形成される。PFETのゲート電極74として
好適な材料には、ポリシリコン、Ni、W、Mo、T
i、Cr、Te、Cu、Pd、Pt、Be、Au、I
r、Te、Rh、これらのドープまたは非ドープ合金、
混合物、及び多層が含まれる。PFETゲート電極74
が本方法により付着されもよい。図22では、化学機械
研磨(CMP)ステップが実行され、表面が平坦化され
る。図23では、NFET及びPFETのそれぞれに対
して、拡張打込みが障壁を通じて行われる。図24で
は、スペーサ形成プロセスの後に、NFET及びPFE
Tのそれぞれに対してソース/ドレイン打込みが障壁を
通じて実行され、素子形成が完了する。この実施例で
は、ゲート電極及びゲート誘電体などの、少なくとも1
つ以上の層または層の構成要素が、本方法により、すな
わち、前駆原料混合物を使用し、前駆原料混合物を気化
し、CVDまたはALD反応炉内において、前駆原料混
合物の蒸気により膜を付着する方法により付着される。
【0107】例15:積層コンデンサの形成 この例では、本方法により付着される少なくとも1構成
要素を組み込む、集積回路コンデンサが形成される。図
2に示されるように、典型的なコンデンサが基板30上
に、バリア32と一緒に形成され、プラグ31によりト
ランジスタに接続される。コンデンサは、底部電極3
3、(強誘電性または非強誘電性の)誘電体34、及び
頂部電極35を含む。この実施例では、プラグ31、バ
リア32、底部電極33、誘電体34、及び頂部電極3
5を含む少なくとも1つのコンデンサ要素が、本方法に
より付着される。
【0108】図25乃至図29は、コンデンサの可能な
形成シーケンスの1例を示す部分断面図である。図25
では、トレンチを有する基板30が形成される。基板3
0はシリコン含有半導体基板、絶縁体上シリコン基板、
Ge基板、SiGe基板、またはGaAs基板、及び他
の類似の基板、誘電体、金属、有機基板、ガラス、金属
酸化物、プラスチック・ポリマ基板、及びこれらの混
合、組み合わせ及び多層などである。図26では、プラ
グ材料31及び任意のバリア32が形成される。プラグ
材料は、ポリシリコン、W、Mo、Ti、Cr、Cuな
どの従来の導電材料から成り、本方法を用いて付着され
得る。任意の導電バリア32は、TaN、TaSiN、
TiAlN、TiSiN、TaWN、TiWN、TaA
lN、NbN、ZrN、TaTiN、IrO2、Si
C、TiPt、TiNPt、TiAlN−Pt、Ru、
RuO2、RuPt、RuO2、WSi、Ti、TiS
i、これらのドープまたは非ドープのポリシリコン、或
いはAl、Pd、Ir、IrOx、Os、OsOx、Mo
Si、TiSi、ReO2、これらの混合物または多層
などの、従来の導電材料から成り、本方法を用いて付着
され得る。図27では、底部電極33が形成される。底
部電極33は、Ni、Pd、Pt、Cu、Ag、Au、
Ru、Ir、Rh、IrOx、RuOx、TaN、TaS
iN、Ta、SrRuO3、LaSrCoO3、これらの
ドープまたは非ドープ合金、混合物、または多層など
の、導電材料から構成される。図28では、誘電体34
が形成される。誘電体34は、SiO2、SiOxy
Si34や、Ta25、TiO2、ZrO 2、HfO2
Al23、La23、Y23などの金属酸化物、これら
の合金、混合物または多層などの任意の絶縁材料から構
成される。或いは、誘電体33が、化学式ABO3を有
するペロブスカイト型の酸化物などの、複合要素金属酸
化物から構成されてもよい。ここでBは、Al、Ti、
Zr、Hf、V、Nb、Ta、Cr、Mo、W、Cuを
含むグループから選択される金属を含む、少なくとも1
つの酸性酸化物であり、Aは約1乃至約3の正の形式電
荷を有する少なくとも1つの追加のカチオンである。こ
うした複合要素金属酸化物には、チタン酸ストロンチウ
ム・バリウム、ジルコン酸塩、ハフネート(hafnat
e)、チタン酸鉛、アルミン酸イットリウム、アルミン
酸ランタン、及びチタン酸ジルコニウム鉛や、ケイ酸ハ
フニウム、ケイ酸ジルコニウムなどのケイ酸塩、更に希
土類がドープされたケイ酸塩などが含まれる。図29で
は、頂部電極35が形成される。頂部電極35は、ポリ
シリコン、Ni、Pd、Pt、Cu、Ag、Au、R
u、Ir、Rh、IrOx、RuOx、TaN、TaSi
N、Ta、SrRuO3、LaSrCoO3、これらのド
ープまたは非ドープ合金、混合物、またはこれらの多層
などの導電材料から構成される。頂部電極及び底部電極
は類似であっても、そうでなくてもよい。この実施例で
は、プラグ、バリア、底部電極、誘電体、及び頂部電極
を含む少なくとも1つ以上の層が、本方法により、すな
わち、前駆原料混合物を使用し、前駆原料混合物を気化
し、CVDまたはALD反応炉内において、前駆原料混
合物の蒸気により膜を付着する方法により、付着され
る。
【0109】例16:トレンチ・コンデンサの形成 この例では、本方法により付着される少なくとも1つの
構成要素を組み込む、集積回路トレンチ・コンデンサが
形成される。基板30上にトレンチ・コンデンサを形成
する1例が、図31に示される。コンデンサの凹みが基
板30内に形成され、これがプラグ31を介して下側の
回路に接続される。回路は誘電体絶縁層(分離誘電体)
83により覆われる。基板は、シリコン含有半導体基
板、絶縁体上シリコン基板、Ge基板、SiGe基板、
GaAs基板、または他の類似の基板、誘電体、金属、
有機基板、ガラス、金属酸化物、プラスチック・ポリマ
基板、及びこれらの混合、組み合わせ及び多層などであ
る。誘電体絶縁層(分離誘電体)83は、SiO2、S
iOxy、Si34、ケイ酸リン・ガラス、或いはAl
23などの金属酸化物がドープされた、または非ドープ
の混合物、またはこれらの多層などの、任意の絶縁材料
から選択される。プラグ及びコンデンサの凹み上には、
任意の導電バリア層32、底部電極層33、誘電体層3
4、頂部電極層35、及び任意の誘電体緩衝層36が順
々に付着される。プラグ材料は、ポリシリコン、W、M
o、Ti、Cr、Cuなどの従来の材料から構成され、
本方法を用いて付着され得る。任意の導電バリア層32
は、TaN、TaSiN、TiAlN、TiSiN、T
aWN、TiWN、TaAlN、NbN、ZrN、Ta
TiN、IrO2、SiC、TiPt、TiNPt、T
iAlN−Pt、Ru、RuO2、RuPt、RuO2
WSi、Ti、TiSi、これらのドープまたは非ドー
プ・ポリシリコン、或いはAl、Pd、Ir、Ir
x、Os、OsOx、MoSi、TiSi、ReO2
これらの混合物または多層などの従来の導電材料から成
り、本方法を用いて付着され得る。底部電極33は、N
i、Pd、Pt、Cu、Ag、Au、Ru、Ir、R
h、IrOx、RuOx、TaN、TaSiN、Ta、S
rRuO3、LaSrCoO3、これらのドープまたは非
ドープ合金、混合物、または多層などの導電材料から構
成される。誘電体34は任意の絶縁材料から成り、それ
らにはSiO2、SiOxy、Si34や、Ta25
TiO2、ZrO2、HfO2、Al23、La23、Y2
3などの金属酸化物、これらの合金、混合物または多
層などが含まれる。或いは、誘電体33が、化学式AB
3を有するペロブスカイト型の酸化物などの複合要素
金属酸化物から構成されてもよい。ここでBは、Al、
Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W、
Cuを含むグループから選択される金属を含む、少なく
とも1つの酸性酸化物であり、Aは約1乃至約3の正の
形式電荷を有する少なくとも1つの追加のカチオンであ
る。こうした複合要素金属酸化物には、バリウム・チタ
ン酸ストロンチウム、ジルコン酸塩、ハフネート、チタ
ン酸鉛、アルミン酸イットリウム、アルミン酸ランタ
ン、チタン酸ジルコニウム鉛や、ケイ酸ハフニウム、ケ
イ酸ジルコニウムなどのケイ酸塩、更に希土類がドープ
されたケイ酸塩などが含まれる。頂部電極35は、ポリ
シリコン、Ni、Pd、Pt、Cu、Ag、Au、R
u、Ir、Rh、IrOx、RuOx、TaN、TaSi
N、Ta、SrRuO3、LaSrCoO3、これらのド
ープまたは非ドープ合金、混合物、または多層などの導
電材料から構成される。頂部電極及び底部電極は類似で
あっても、そうでなくてもよい。任意の誘電体緩衝層3
6は、SiO2、SiOxy、Si34、TiON、A
lN、SiN及びTiNや、Ta25、TiO2、Zr
2、HfO2、Al23、La23、Y23などの金属
酸化物、これらの合金、混合物または多層、或いは複合
要素金属酸化物などの任意の絶縁材料から構成される。
順次付着される層が平坦化され、コンデンサの凹み内に
トレンチ・コンデンサが形成される。次に、絶縁不動態
層37及び層間誘電体層38が付着され、コンデンサ凹
み内のトレンチ・コンデンサ上にバリア構造が形成され
る。絶縁不動態層37は、SiO2、SiOxy、Si3
4、TiON、AlN、SiN及びTiNや、Ta2
5、TiO2、ZrO2、HfO2、Al23、La23
23などの金属酸化物、これらの合金、混合物または
多層、或いは複合要素金属酸化物などの任意の絶縁材料
から構成される。層間誘電体38は、SiO2、SiOx
y、Si34、ケイ酸リン・ガラスや、Al23など
の金属酸化物のドープまたは非ドープ混合物、或いはこ
れらの多層などの任意の絶縁材料から選択される。バイ
アがバリア構造内に形成される。拡散障壁層81及び金
属化層82が、バリア構造及びバイア上に付着される。
拡散障壁層81は、WN、TiNまたはTaNなどであ
る。金属化層82は、Al、W、Mo、Ti、Crまた
はCu、これらのドープまたは非ドープ合金、混合物、
または多層などの任意の導電材料から選択される。この
実施例では、導電バリア材料、底部電極、誘電体、頂部
電極、絶縁不動態層、層間誘電体、拡散障壁層、分離誘
電体、及び金属化層を含む、トレンチ・コンデンサ構成
要素の少なくとも1つが、本方法により付着される。
【0110】例17:配線構造の形成 この例では、本方法により付着される少なくとも1構成
要素を組み込む、集積回路配線構造が形成される。図3
に示されるように、誘電体層43内にトレンチ41及び
バイアを42をエッチングすることにより、典型的な配
線構造が形成される。誘電体層43は、SiO2、Si
xy、Si34、ケイ酸リン・ガラスや、Al23
どの金属酸化物のドープまたは非ドープ混合物、或いは
これらの多層などの任意の絶縁材料から選択される。金
属化層はダマシーンまたは2重ダマシーン・プロセスに
より、またはリソグラフィ及びエッチングにより、パタ
ーン化される。誘電体層43の下側には、金属薄膜配線
44及び誘電体層45が存在し、前者はAl、W、M
o、Ti、CrまたはCu合金、混合物またはこれらの
多層などの任意の導電材料から選択され、後者はSiO
2、SiOxy、Si34、ケイ酸リン・ガラスや、A
23などの金属酸化物のドープまたは非ドープ混合
物、或いはこれらの多層などの任意の絶縁材料から選択
される。図4では、トレンチ及びバイアが、バリア材料
及び配線金属により充填される。ここでバリア材料は、
WN、TiNまたはTaNなどであり、配線金属は、A
l、W、Mo、TiまたはCu、これらのドープまたは
非ドープ合金、混合物、または多層などの任意の導電材
料から選択される。この実施例では、誘電体層、金属薄
膜配線、バリア材料、及び配線金属を含む配線構造構成
要素の少なくとも1つが、本方法により付着される。
【0111】本発明は特に、好適な実施例に関連して述
べられてきたが、当業者であれば、本発明の趣旨及び範
囲から逸れることなく、その形態及び詳細において、前
述の変更及び他の変更が可能であることが理解できよ
う。従って、本発明は前述の正確な形態及び詳細に限定
されるものではない。
【0112】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0113】(1)不活性液内に溶解、乳化または浮遊
される少なくとも1つの前駆化合物を含む、前駆原料混
合物であって、前記少なくとも1つの前駆化合物が、化
学式
【数式3】MR1 x2 yz を有し、ここでMがLi、Na、K、Rb、Cs、F
r、Be、Mg、Ti、Zr、Hf、Sc、Y、La、
V、Nb、Ta、Cr、Mo、W、Mn、Re、Fe、
Ru、Os、Co、Rh、Ir、Ni、Pd、Pt、C
u、Ag、Au、Zn、Cd、Hg、B、Al、Ga、
In、Tl、Si、Ge、Sn、Pb、As、P、Sb
及びBiを含むグループから選択される元素であり、R
1及びR2が水素化物、アルキル、アルケニル、シクロア
ルケニル、アリール、アルキン、カルボニル、アミド、
イミド、ヒドラジド、リン化物、ニトロシル、ニトリル
(nitryl)、硝酸塩、ニトリル(nitrile)、ハロゲン
化物、アジド、アルコキシ、シロキシ、シリル及びこれ
らのハロゲン化、スルホン化、またはケイ化誘導体を含
むグループから選択される、同一のまたは異なる配位子
であり、Aがホスフィン、亜リン酸塩、アミン、アルシ
ン、スチビン、エーテル、硫化物、ニトリル、イソニト
リル、アルケン、ヒドラジン、ピリジン、窒素複素環式
化合物、大環状分子、シッフ塩基、シクロアルケン、ア
ルコール、ホスフィン酸化物、アルキリデン、亜硝酸
塩、アルキン及び水を含むグループから選択される、任
意の配位的に結合または会合される配位子であり、x≧
1、x+y=元素Mの原子価であり、z≧0である前駆
原料混合物。 (2)前記不活性液が、脂肪族炭化水素、芳香族炭化水
素、アルコール、エーテル、アルデヒド、ケトン、酸、
フェノール、エステル、アミン、アルキルニトリル、ハ
ロゲン化炭化水素、ケイ化炭化水素、チオエーテル、ア
ミン、シアン酸塩、イソシアン酸塩、チオシアン酸塩、
シリコーン油、ニトロアルキル、アルキル硝酸塩、また
はこれらの混合物である、前記(1)記載の前駆原料混
合物。 (3)MがLi、Na、K、Rb、Cs、Fr、Be、
Mg、Ti、Zr、Hf、Sc、Y、La、V、Nb、
Ta、Cr、Mo、W、Mn、Re、Fe、Ru、O
s、Co、Rh、Ir、Ni、Pd、Pt、Cu、A
g、Au、Zn、Cd、Hg、B、Al、Ga、In、
Tl、Si、Ge、Sn、Pb、As、P、Sbまたは
Biであり、R1が水素化物であり、R2が水素化物、ア
ルキル、アルケニル、シクロアルケニル、アリール、ア
ルキン、カルボニル、アミド、イミド、ヒドラジド、リ
ン化物、ニトロシル、ニトリル(nitryl)、硝酸塩、ニ
トリル(nitrile)、ハロゲン化物、アジド、アルコキ
シ、シロキシ、シリル、またはこれらのハロゲン化、ス
ルホン化、またはケイ化誘導体であり、Aがホスフィ
ン、亜リン酸塩、アリール、アミン、アルシン、スチビ
ン、エーテル、硫化物、ニトリル、イソニトリル、アル
ケン、アルキン、ヒドラジン、ピリジン、窒素複素環式
化合物、大環状分子、シッフ塩基、シクロアルケン、ア
ルコール、ホスフィン酸化物、アルキリデン、亜硝酸塩
または水である、前記(1)記載の前駆原料混合物。 (4)MがLi、Na、K、Rb、Cs、Fr、Be、
Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W、
Mn、Re、Fe、Ru、Os、Co、Rh、Ir、N
i、Pd、Cu、Ag、Au、Zn、Cd、Hg、B、
Al、Ga、In、Tl、Si、Ge、Sn、Pb、A
s、SbまたはBiであり、R1がC1−C8アルキル、
またはC4−C12シクロアルキルであり、R2が水素化
物、アルキル、アルケニル、シクロアルケニル、アリー
ル、アルキン、カルボニル、アミド、イミド、ヒドラジ
ド、リン化物、ニトロシル、ニトリル(nitryl)、硝酸
塩、ニトリル(nitrile)、ハロゲン化物、アジド、ア
ルコキシ、シロキシ、シリル、またはこれらのハロゲン
化、スルホン化、またはケイ化誘導体であり、Aがホス
フィン、亜リン酸塩、アリール、アミン、アルシン、ス
チビン、エーテル、硫化物、ニトリル、イソニトリル、
アルケン、アルキン、ヒドラジン、ピリジン、窒素複素
環式化合物、大環状分子、シッフ塩基、シクロアルケ
ン、アルコール、ホスフィン酸化物、アルキリデン、亜
硝酸塩または水である、前記(1)記載の前駆原料混合
物。 (5)MがLi、Na、K、Rb、Cs、Fr、Be、
Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W、
Mn、Re、Fe、Ru、Os、Co、Rh、Ir、N
i、Pd、Cu、Ag、Au、Zn、Cd、Hg、B、
Al、Ga、In、Tl、Si、Ge、Sn、Pb、A
s、SbまたはBiであり、R1がC2−C8アルケニ
ル、C4−C12シクロアルケニル、またはC5−C18アリ
ールであり、R2が水素化物、アルキル、アルケニル、
シクロアルケニル、アリール、アルキン、カルボニル、
アミド、イミド、ヒドラジド、リン化物、ニトロシル、
ニトリル(nitryl)、硝酸塩、ニトリル(nitrile)、
ハロゲン化物、アジド、アルコキシ、シロキシ、シリ
ル、またはこれらのハロゲン化、スルホン化、またはケ
イ化誘導体であり、Aがホスフィン、亜リン酸塩、アリ
ール、アミン、アルシン、スチビン、エーテル、硫化
物、ニトリル、イソニトリル、アルケン、アルキン、ヒ
ドラジン、ピリジン、窒素複素環式化合物、大環状分
子、シッフ塩基、シクロアルケン、アルコール、ホスフ
ィン酸化物、アルキリデン、亜硝酸塩または水である、
前記(1)記載の前駆原料混合物。 (6)MがLi、Na、K、Rb、Cs、Fr、Be、
Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W、
Mn、Re、Fe、Ru、Os、Co、Rh、Ir、N
i、Pd、Cu、Ag、Au、Zn、Cd、Hg、B、
Al、Ga、In、Tl、Si、Ge、Sn、Pb、A
s、SbまたはBiであり、R1がカルボニルであり、
2が水素化物、アルキル、アルケニル、シクロアルケ
ニル、アリール、アルキン、カルボニル、アミド、イミ
ド、ヒドラジド、リン化物、ニトロシル、ニトリル(ni
tryl)、硝酸塩、ニトリル(nitrile)、ハロゲン化
物、アジド、アルコキシ、シロキシ、シリル、またはこ
れらのハロゲン化、スルホン化、またはケイ化誘導体で
あり、Aがホスフィン、亜リン酸塩、アリール、アミ
ン、アルシン、スチビン、エーテル、硫化物、ニトリ
ル、イソニトリル、アルケン、アルキン、ヒドラジン、
ピリジン、窒素複素環式化合物、大環状分子、シッフ塩
基、シクロアルケン、アルコール、ホスフィン酸化物、
アルキリデン、亜硝酸塩または水である、前記(1)記
載の前駆原料混合物。 (7)MがLi、Na、K、Rb、Cs、Fr、Be、
Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W、
Mn、Re、Fe、Ru、Os、Co、Rh、Ir、N
i、Pd、Cu、Ag、Au、Zn、Cd、Hg、B、
Al、Ga、In、Tl、Si、Ge、Sn、Pb、A
s、SbまたはBiであり、R1がアルコキシまたはシ
ロキシであり、R2が水素化物、アルキル、アルケニ
ル、シクロアルケニル、アリール、アルキン、カルボニ
ル、アミド、イミド、ヒドラジド、リン化物、ニトロシ
ル、ニトリル(nitryl)、硝酸塩、ニトリル(nitril
e)、ハロゲン化物、アジド、アルコキシ、シロキシ、
シリル、またはこれらのハロゲン化、スルホン化、また
はケイ化誘導体であり、Aがホスフィン、亜リン酸塩、
アリール、アミン、アルシン、スチビン、エーテル、硫
化物、ニトリル、イソニトリル、アルケン、アルキン、
ヒドラジン、ピリジン、窒素複素環式化合物、大環状分
子、シッフ塩基、シクロアルケン、アルコール、ホスフ
ィン酸化物、アルキリデン、亜硝酸塩または水である、
前記(1)記載の前駆原料混合物。 (8)MがLi、Na、K、Rb、Cs、Fr、Be、
Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W、
Mn、Re、Fe、Ru、Os、Co、Rh、Ir、N
i、Pd、Cu、Ag、Au、Zn、Cd、Hg、B、
Al、Ga、In、Tl、Si、Ge、Sn、Pb、A
s、SbまたはBiであり、R1がアミドであり、R2
水素化物、アルキル、アルケニル、シクロアルケニル、
アリール、アルキン、カルボニル、アミド、イミド、ヒ
ドラジド、リン化物、ニトロシル、ニトリル(nitry
l)、硝酸塩、ニトリル(nitrile)、ハロゲン化物、ア
ジド、アルコキシ、シロキシ、シリル、またはこれらの
ハロゲン化、スルホン化、またはケイ化誘導体であり、
Aがホスフィン、亜リン酸塩、アリール、アミン、アル
シン、スチビン、エーテル、硫化物、ニトリル、イソニ
トリル、アルケン、アルキン、ヒドラジン、ピリジン、
窒素複素環式化合物、大環状分子、シッフ塩基、シクロ
アルケン、アルコール、ホスフィン酸化物、アルキリデ
ン、亜硝酸塩または水である、前記(1)記載の前駆原
料混合物。 (9)前記前駆化合物が化学式、
【数式4】MR1 x(PR2 3yz を有し、ここでMがTi、Zr、Hf、V、Nb、T
a、Cr、Mo、W、Mn、Re、Fe、Ru、Os、
Co、Rh、Ir、Ni、Pd、Pt、Cu、Agまた
はAuであり、R1及びR2が水素化物、アルキル、アル
ケニル、シクロアルケニル、アリール、アルキン、カル
ボニル、アミド、イミド、ヒドラジド、リン化物、ニト
ロシル、ニトリル(nitryl)、硝酸塩、ニトリル(nitr
ile)、ハロゲン化物、アジド、アルコキシ、シロキ
シ、シリル及びこれらのハロゲン化、スルホン化、また
はケイ化誘導体を含むグループから選択される配位子で
あり、Aがホスフィン、亜リン酸塩、アリール、アミ
ン、アルシン、スチビン、エーテル、硫化物、ニトリ
ル、イソニトリル、アルケン、アルキン、ヒドラジン、
ピリジン、窒素複素環式化合物、大環状分子、シッフ塩
基、シクロアルケン、アルコール、ホスフィン酸化物、
アルキリデン、亜硝酸塩及び水を含むグループから選択
される、任意の配位結合される配位子であり、x≧1、
y≧0、及びz≧0であり、x+y=元素Mの原子価で
ある、前記(1)記載の前駆原料混合物。 (10)MがLi、Na、K、Rb、Cs、Fr、B
e、Mg、Sc、Y、La、Ce、Pr、Nd、Sm、
Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、L
u、Th、Pa、U、Ti、Zr、Hf、V、Nb、T
a、Cr、Mo、W、Mn、Re、Fe、Ru、Os、
Co、Rh、Ir、Ni、Pd、Pt、Cu、Ag、A
u、Zn、Cd、Hg、B、Al、Ga、In、Tl、
Si、Ge、Sn、Pb、As、SbまたはBiであ
り、R1が硝酸塩であり、R2が水素化物、アルキル、ア
ルケニル、シクロアルケニル、アリール、アルキン、カ
ルボニル、アミド、イミド、ヒドラジド、リン化物、ニ
トロシル、ニトリル(nitryl)、硝酸塩、ニトリル(ni
trile)、ハロゲン化物、アジド、アルコキシ、シロキ
シ、シリル、またはこれらのハロゲン化、スルホン化、
またはケイ化誘導体であり、Aがホスフィン、亜リン酸
塩、アリール、アミン、アルシン、スチビン、エーテ
ル、硫化物、ニトリル、イソニトリル、アルケン、アル
キン、ヒドラジン、ピリジン、窒素複素環式化合物、大
環状分子、シッフ塩基、シクロアルケン、アルコール、
ホスフィン酸化物、アルキリデン、亜硝酸塩または水で
ある、前記(1)記載の前駆原料混合物。 (11)MがLi、Na、K、Rb、Cs、Fr、B
e、Mg、Sc、Y、La、Ce、Pr、Nd、Sm、
Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、L
u、Th、Pa、U、Ti、Zr、Hf、V、Nb、T
a、Cr、Mo、W、Mn、Re、Fe、Ru、Os、
Co、Rh、Ir、Ni、Pd、Pt、Cu、Ag、A
u、Zn、Cd、Hg、B、Al、Ga、In、Tl、
Si、Ge、Sn、Pb、As、SbまたはBiであ
り、R1がハロゲン化物であり、R2が水素化物、アルキ
ル、アルケニル、シクロアルケニル、アリール、アルキ
ン、カルボニル、アミド、イミド、ヒドラジド、リン化
物、ニトロシル、ニトリル(nitryl)、硝酸塩、ニトリ
ル(nitrile)、ハロゲン化物、アジド、アルコキシ、
シロキシ、シリル、またはこれらのハロゲン化、スルホ
ン化、またはケイ化誘導体であり、Aがホスフィン、亜
リン酸塩、アリール、アミン、アルシン、スチビン、エ
ーテル、硫化物、ニトリル、イソニトリル、アルケン、
アルキン、ヒドラジン、ピリジン、窒素複素環式化合
物、大環状分子、シッフ塩基、シクロアルケン、アルコ
ール、ホスフィン酸化物、アルキリデン、亜硝酸塩また
は水である、前記(1)記載の前駆原料混合物。 (12)MがLi、Na、K、Rb、Cs、Fr、B
e、Mg、Sc、Y、La、Ce、Pr、Nd、Sm、
Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、L
u、Th、Pa、U、Ti、Zr、Hf、V、Nb、T
a、Cr、Mo、W、Mn、Re、Fe、Ru、Os、
Co、Rh、Ir、Ni、Pd、Pt、Cu、Ag、A
u、Zn、Cd、Hg、B、Al、Ga、In、Tl、
Si、Ge、Sn、Pb、As、SbまたはBiであ
り、R1がシリルであり、R2が水素化物、アルキル、ア
ルケニル、シクロアルケニル、アリール、アルキン、カ
ルボニル、アミド、イミド、ヒドラジド、リン化物、ニ
トロシル、ニトリル(nitryl)、硝酸塩、ニトリル(ni
trile)、ハロゲン化物、アジド、アルコキシ、シロキ
シ、シリル、またはこれらのハロゲン化、スルホン化、
またはケイ化誘導体であり、Aがホスフィン、亜リン酸
塩、アリール、アミン、アルシン、スチビン、エーテ
ル、硫化物、ニトリル、イソニトリル、アルケン、アル
キン、ヒドラジン、ピリジン、窒素複素環式化合物、大
環状分子、シッフ塩基、シクロアルケン、アルコール、
ホスフィン酸化物、アルキリデン、亜硝酸塩または水で
ある、前記(1)記載の前駆原料混合物。 (13)MがPtであり、前記化合物が(シクロペンタ
ジエニル)Pt(アルキル)3でないという条件の下
で、R1及びR2が水素化物、アルキル、アルケニル、シ
クロアルケニル、アリール、アルキン、カルボニル、ア
ミド、イミド、ヒドラジド、リン化物、ニトロシル、ニ
トリル(nitryl)、硝酸塩、ニトリル(nitrile)、ハ
ロゲン化物、アジド、アルコキシ、シロキシ、シリル、
またはこれらのハロゲン化、スルホン化、またはケイ化
誘導体であり、Aがホスフィン、亜リン酸塩、アリー
ル、アミン、アルシン、スチビン、エーテル、硫化物、
ニトリル、イソニトリル、アルケン、アルキン、ヒドラ
ジン、ピリジン、窒素複素環式化合物、大環状分子、シ
ッフ塩基、シクロアルケン、アルコール、ホスフィン酸
化物、アルキリデン、亜硝酸塩または水である、前記
(1)記載の前駆原料混合物。 (14)前記不活性液が前記少なくとも1つの前駆化合
物よりも高い温度で気化する、前記(1)記載の前駆原
料混合物。 (15)前記不活性液がC5-12アルカンである、前記
(2)記載の前駆原料混合物。 (16)前記不活性液が容量で該不活性液の30%以下
の添加剤を含む、前記(1)記載の前駆原料混合物。 (17)前記添加剤が追加の前駆配位子、または追加の
前駆アダクトであるか、或いはホスフィン、亜リン酸
塩、アリール、アミン、アルシン、スチビン、エーテ
ル、硫化物、ニトリル、イソニトリル、アルケン、ピリ
ジン、複素環式化合物、テトラヒドロフラン、ジメチル
ホルムアミド、大環状分子、シッフ塩基、シクロアルケ
ン、アルコール、ホスフィン酸化物、及びアルキンを含
むグループから選択される他の配位化合物である、前記
(16)記載の前駆原料混合物。 (18)前記添加剤がメタノール、エタノール、イソプ
ロパノール、ネオペンタノール、トリメチルアミン、ジ
メチルエチルアミン、ジエチルメチルアミン、トリエチ
ルアミン、ジメチルアミン、ジエチルアミン、ビストリ
メチルシリルアミン、アンモニア、エチレンジアミン、
プロピレンジアミン、トリメチルエチルエチレンジアミ
ン、トリフェニルホスフィン、トリエチルホスフィン、
トリメチルホスフィン、アリル、シクロペンタジエン、
ベンゼン、エチルベンゼン、トルエン、シクロヘキサジ
エン、シクロオクタジエン、シクロヘプタトリエン、シ
クロオクタテトラエン、メシチレン、テトラヒドロフラ
ン、ジメチルホルムアミド、ジメチルスルホキシド、酢
酸ブチル、酢酸、エチルヘキサン酸、メタン、エタン、
ピリジン及びPF3を含むグループから選択される、前
記(16)記載の前駆原料混合物。 (19)前記不活性液が、脂肪族炭化水素、芳香族炭化
水素、アルコール、エーテル、アルデヒド、ケトン、
酸、フェノール、エステル、アミン、アルキルニトリ
ル、ハロゲン化炭化水素、ケイ化炭化水素、チオエーテ
ル、アミン、シアン酸塩、イソシアン酸塩、チオシアン
酸塩、シリコーン油、ニトロアルキル、アルキル硝酸
塩、及びこれらの混合物から選択される2つ以上の構成
要素と、容量で前記不活性液の30%以下の添加剤とか
ら成り、前記添加剤が追加の前駆配位子、または追加の
前駆アダクトであるか、或いはホスフィン、亜リン酸
塩、アリール、アミン、アルシン、スチビン、エーテ
ル、硫化物、ニトリル、イソニトリル、アルケン、ピリ
ジン、複素環式化合物、テトラヒドロフラン、ジメチル
ホルムアミド、大環状分子、シッフ塩基、シクロアルケ
ン、アルコール、ホスフィン酸化物、及びアルキンを含
むグループから選択される他の配位化合物である、前記
(1)記載の前駆原料混合物。 (20)前記添加剤がメタノール、エタノール、イソプ
ロパノール、ネオペンタノール、トリメチルアミン、ジ
メチルエチルアミン、ジエチルメチルアミン、トリエチ
ルアミン、ジメチルアミン、ジエチルアミン、ビストリ
メチルシリルアミン、アンモニア、エチレンジアミン、
プロピレンジアミン、トリメチルエチルエチレンジアミ
ン、トリフェニルホスフィン、トリエチルホスフィン、
トリメチルホスフィン、アリル、シクロペンタジエン、
ベンゼン、エチルベンゼン、トルエン、シクロヘキサジ
エン、シクロオクタジエン、シクロヘプタトリエン、シ
クロオクタテトラエン、メシチレン、テトラヒドロフラ
ン、ジメチルホルムアミド、ジメチルスルホキシド、酢
酸ブチル、酢酸、エチルヘキサン酸、メタン、エタン、
ピリジン、及びPF3を含むグループから選択される、
前記(19)記載の前駆原料混合物。 (21)前記不活性液がC5−C12アルカンから成り、
容量で該不活性液の30%以下の添加剤を含み、前記添
加剤が追加の前駆配位子、または追加の前駆アダクトで
あるか、或いはホスフィン、亜リン酸塩、アリール、ア
ミン、アルシン、スチビン、エーテル、硫化物、ニトリ
ル、イソニトリル、アルケン、ピリジン、複素環式化合
物、テトラヒドロフラン、ジメチルホルムアミド、大環
状分子、シッフ塩基、シクロアルケン、アルコール、ホ
スフィン酸化物、及びアルキンを含むグループから選択
される他の配位化合物である、前記(1)記載の前駆原
料混合物。 (22)前記添加剤がメタノール、エタノール、イソプ
ロパノール、ネオペンタノール、トリメチルアミン、ジ
メチルエチルアミン、ジエチルメチルアミン、トリエチ
ルアミン、ジメチルアミン、ジエチルアミン、ビストリ
メチルシリルアミン、アンモニア、エチレンジアミン、
プロピレンジアミン、トリメチルエチルエチレンジアミ
ン、トリフェニルホスフィン、トリエチルホスフィン、
トリメチルホスフィン、アリル、シクロペンタジエン、
ベンゼン、エチルベンゼン、トルエン、シクロヘキサジ
エン、シクロオクタジエン、シクロヘプタトリエン、シ
クロオクタテトラエン、メシチレン、テトラヒドロフラ
ン、ジメチルホルムアミド、ジメチルスルホキシド、酢
酸ブチル、酢酸、エチルヘキサン酸、メタン、エタン、
ピリジン、及びPF3を含むグループから選択される、
前記(21)記載の前駆原料混合物。 (23)前記少なくとも1つの前駆化合物が、ジメチル
−、ジエチル−、またはジイソブチル−B、Al、G
a、In、AsまたはSb水素化物;Me2AlH(N
EtMe2);第3ブチルアルシン;(Me3N)AlH
3;(EtMe2N)AlH3;(Et3N)AlH3;C
pWH2;Cp2MoH2;トリメチル−、トリエチル
−、トリイソブチル−、トリnプロピル−、トリイソプ
ロピル−、トリnブチル−、トリネオペンチル−、また
はエチルジメチル−B、Al、Ga、In、Asまたは
Sb;テトラメチル−、テトラエチル−、テトラフェニ
ル−、またはテトラnブチル−Si、Ti、Zr、H
f、Ge、SnまたはPb;ジメチル−、ジエチル−、
またはジイソブチル−B、Al、Ga、In、Asまた
はSb水素化物、塩化物、フッ化物、臭化物、ヨウ化
物、Cp、アミド、ジメチルアミドまたはアジド;トリ
エチル−、トリイソブチル−、トリnプロピル−、トリ
イソプロピル−、トリnブチル−、またはエチルジメチ
ル−B、Al、Ga、In、AsまたはSbトリメチル
アミン、ジエチルメチルアミン、ジメチルエチルアミ
ン、またはトリエチルアミン;ジメチル−またはジエチ
ル−Zn、CdまたはHg;(ネオペンチル)4Cr;
Et3Pb(ネオペントキシ);Cp2Me2Zr;(M
eNC)2PtMe2;CpIr(C242;ビスCp
−Co、Mo、Fe、Mn、Ni、Ru、V、Os、M
gまたはCr;ビスエチルベンゼン;ビスベンゼン−C
o、MoまたはCr;トリフェニル−Bi、Sbまたは
As;トリビニルボロン;トリスCp−Sc、Y、L
a、Ce、Pr、Nd、Sm、Eu、Gd、Tb、D
y、Ho、Er、Tm、YbまたはLu;テトラCp−
Th、Pa、U、Np、PuまたはAmトリスアリルイ
リジウム;CpCr(CO)2;Cp2ZrMe2;Cp
CuPEt3;CPIn;CpIr(シクロオクタジエ
ン);CpPd(アリル);CpGaMe2;CpGa
Et2;(シクロヘキサジエン)FeCO3;(シクロオ
クタテトラエン)FeCO3;エチルフェロセン;Cp
Mn(CO)3;(シクロヘプタトリエン)Mo(C
O)3;TlCp;Cp 2WH2;(メシチレン)W(C
O)3;CpRe(CO)3;CpRh(CO)2;Ir
(アリル)3;Pt(アリル)2;CpIr(シクロオク
タネジオン);[Ir(OMe)(シクロオクタネジオ
ン)]2;Ru(シクロオクタネジオン)(アリル)2
Ru3CO12;Fe(CO)5;Co2(CO)8;Ru
(CO)3(1、3−シクロヘキサジエン);Os3CO
12;Cr(CO)6;CpCo(CO)2;Mn2(C
O)10;CpMn(CO)3;(シクロヘプタトリエ
ン)Mo(CO)3;Mo(CO)6;Ni(CO)4
Re2(CO)10;CpRe(CO) 3;CpRh(C
O)2;Ru3(CO)12;W(CO)6;CpV(C
O)4;CF3Co(CO)4;Pt(CO)2(シクロオ
クタネジオン);Ir(CO)2(シクロオクタネジオ
ン);(CO)4Fe[P(OCH33];(CO)4
e[N(CH33];CoNO(CO)3;ブトキシ、
OCH(CF32、OCMe2(CF3)、OCMe(C
32、OSi(CH33、OC(CH33、OC(S
iMe33、またはOC(CF33Li、Na、K、R
b、Cs、Fr、Cu、Ag、Au、HgまたはTl;
テトラメトキシ、テトラエトキシ、テトライソプロポキ
シ、テトラブトキシ、テトラ第3ブトキシ、テトライソ
ブトキシ、テトラOCH(CF32、テトラOCMe2
(CF3)、テトラOCMe(CF32、テトラOC
(CH33、テトラOC(SiMe33、テトラOC
(CF33またはテトラOSi(CH33Si、Ge、
Sn、Pb、Ti、ZrまたはHf;VO(イソプロポ
キシ)3、トリイソプロポキシ、トリ第2ブトキシ、ト
リnブトキシ、トリイソブトキシ、トリメトキシ、トリ
エトキシ、トリOCH(CF32、トリOCMe2(C
3)、トリOCMe(CF32、トリOC(C
33、トリOC(SiMe33、トリOC(C
33、またはトリOSi(CH33、B、Al、G
a、In、P、AsまたはSb;Et3Pb(イソプロ
ポキシド);(第3ブトキシ)CuPMe3;テトラキ
ス(ジメチルアミノ)、テトラキス(ジメチルアミノ)
Ti、Zr、Hf、Si、Ge、SnまたはPb;ジエ
チルアミノジエチルアルシン;ジエチルアミノアルシン
二塩化物;ビスジメチルアミノアルシン塩化物;Me2
Zn(トリエチルアミン)2;ジエチルアミノジメチル
スタンナン;トリス(ジメチルアミノ)ホスフィン;ト
リス(ジメチルアミノ)アンチモニ;トリス(ジメチル
アミノ)アルシン;トリス(ジメチルアミノ)スチビ
ン;トリスビス(トリメチルシリル)エルビウムアミ
ド;ビス(ジメチルアミノ)(トリメチルエチルエチレ
ンジアミノ)アルミニウム;(CO)4Fe[N(C
33];Li、NaまたはKN(SiMe3);ペン
タジメチルアミノタンタル;ジエチルアミノジメチルス
ズ;ヘキサジメチルアミノジタングステン;トリスジメ
チルアミノ(トリメチルエチレンジアミノ)チタン;C
pCu(PEt3);CpCu(トリフェニルホスフィ
ン);(第3ブトキシ)CuPMe3;Pt(P
34;Ni(PF34;Cr(PF36;(Et
3P)3Mo(CO) 3;Ir(PF34;Ti(NO3
4;Zr(NO34;Hf(NO34;Si(CH33
(NO3);RuNO(NO33;硝酸ガリウム;Sn
(NO34;Co(NO33;VO(NO33;CrO
2(NO32;TiCl4;ZnCl2;ZrCl4;Hf
Cl4;AlCl3;SiCl4;GaCl3;SnC
4;CoCl3;ジメチル−、ジエチル−、またはジイ
ソブチル−Al、B、Ge、SiまたはAsハロゲン化
物;N(SiMe32Li、NaまたはK;B(CH2
SiMe33;{(Me3Si)2N}3−B、Al、G
aまたはIn;(Me3SiCH24−Ti、Zrまた
はHf;または{(Me3Si)2N}2−Zn、Cdま
たはHgであり、Cpがシクロペンタジエニルである、
前記(1)記載の前駆原料混合物。 (24)化学蒸着または原子層付着のための方法であっ
て、 前記(1)記載の前駆原料混合物内の前駆化合物を気化
するステップと、気化された前駆物質を、他の共同反応
剤の任意の追加と共に、化学蒸着または原子層付着反応
炉内に導入するステップと、気化された前記前駆物質の
成分を基板上に付着して、膜を形成するステップとを含
む、方法。 (25)前記膜が電子素子の構成要素である、前記(2
4)記載の方法。 (26)前記共同反応剤が、気化された前記前駆物質と
は別々に導入される、前記(24)記載の方法。 (27)前記前駆原料混合物内の前記前駆物質を気化す
るステップと、気化された前駆物質を、他の共同反応剤
及び不活性パージ・ガスの別々の追加と共に、原子層付
着反応炉内に導入するステップと、気化された前記前駆
物質、パージ・ガス、共同反応剤、及びパージ・ガスの
交互パルスの順次導入により、膜を基板上に付着するス
テップとを含む、前記(24)記載の方法。 (28)前記共同反応剤が還元剤、酸化剤、窒化剤、ま
たはケイ化剤である、前記(24)記載の方法。 (29)前記還元剤が水素、フォーミング・ガス、シラ
ン及びこれらの組み合わせを含むグループから選択され
る、前記(28)記載の方法。 (30)前記酸化剤が酸素、オゾン、水、過酸化水素、
亜酸化窒素、及びこれらの組み合わせを含むグループか
ら選択される、前記(28)記載の方法。 (31)前記窒化剤がアンモニア、ヒドラジン、アジ化
水素、第3ブチルアミン、イソプロピルアミン、及びこ
れらの組み合わせを含むグループから選択される、前記
(28)記載の方法。 (32)前記ケイ化剤がシラン、ジシラン、クロロシラ
ン、シリルアミン、及びシルアザン及びこれらの組み合
わせを含むグループから選択される、前記(28)記載
の方法。 (33)前記基板を3つ以上の異なるガスの交互パルス
のシーケンスに晒すステップを含み、前記ガスの1つが
前記前駆原料混合物の気化された前駆物質を含み、別の
ガスがパージ・ガスであり、更に別のガスが還元剤であ
る、前記(24)記載の方法。 (34)前記基板を3つ以上の異なるガスの交互パルス
のシーケンスに晒すステップを含み、前記ガスの1つが
前記前駆原料混合物の気化された前駆物質を含み、別の
ガスがパージ・ガスであり、更に別のガスが窒化剤であ
る、前記(24)記載の方法。 (35)前記基板を4つ以上の異なるガスの交互パルス
のシーケンスに晒すステップを含み、前記ガスの1つが
前記前駆原料混合物の気化された前駆物質を含み、別の
ガスがパージ・ガスであり、更に別のガスが酸化剤であ
り、更に別のガスが、任意の気化された前駆物質と、前
記(1)記載の前駆原料混合物の気化された前駆物質と
を含むグループから選択される、前記(24)記載の方
法。 (36)前記基板を4つ以上の異なるガスの交互パルス
のシーケンスに晒すステップを含み、前記ガスの1つが
前記前駆原料混合物の気化された前駆物質を含み、別の
ガスがパージ・ガスであり、更に別のガスが窒化剤であ
り、更に別のガスが、任意の気化された前駆物質と、前
記(1)記載の前駆原料混合物の気化された前駆物質と
を含むグループから選択される、前記(24)記載の方
法。 (37)前記基板を4つ以上の異なるガスの交互パルス
のシーケンスに晒すステップを含み、前記ガスの1つが
前記前駆原料混合物の気化された前駆物質を含み、別の
ガスがパージ・ガスであり、更に別のガスが還元剤であ
り、更に別のガスが、任意の気化された前駆物質と、前
記(1)記載の前駆原料混合物の気化された前駆物質と
を含むグループから選択される、前記(24)記載の方
法。 (38)前記基板を5つ以上の異なるガスの交互パルス
のシーケンスに晒すステップを含み、前記ガスの1つが
前記前駆原料混合物の気化された前駆物質を含み、別の
ガスがパージ・ガスであり、更に別のガスが還元剤であ
り、更に別のガスが任意の気化されたシリコン含有前駆
物質と、前記(1)記載の前駆原料混合物の気化された
シリコン含有前駆物質とを含むグループから選択され
る、前記(24)記載の方法。 (39)前記基板が、半導体基板、誘電体、金属、有機
基板、ガラス、金属酸化物、プラスチック・ポリマ基
板、シリコン含有半導体基板、セラミック、絶縁体上シ
リコン基板、Ge基板、SiGe基板、GaAs基板、
及びこれらの混合体または多層を含むグループから選択
される、前記(24)記載の方法。 (40)前記電子素子がトランジスタ、コンデンサ、ダ
イオード、抵抗器、スイッチ、発光ダイオード、レー
ザ、配線構造、または相互接続構造である、前記(2
5)記載の方法。 (41)底部電極と、誘電体層と、頂部電極層と、任意
の誘電体緩衝層とを含む、積層またはトレンチ・コンデ
ンサ構造を形成する方法であって、前記コンデンサがプ
ラグ及び任意の導電バリアを介して下側の回路に接続さ
れるものにおいて、前記コンデンサ構造の少なくとも1
つの構成要素が、前記(24)記載の方法により付着さ
れる方法。 (42)前記任意の誘電緩衝層が、SiO2、SiOx
y、Si34、TiON、AlN、SiN、TiN、T
25、TiO2、ZrO2、HfO2、Al2 3、La2
3、Y23、これらの合金、混合物または多層、及び
複合要素金属酸化物を含むグループから選択される、前
記(41)記載の方法。 (43)前記誘電体が強誘電材料である、前記(41)
記載の方法。 (44)前記プラグ材料が、ポリシリコン、W、Mo、
Ti、Cr、Cu、及びこれらのドープまたは非ドープ
合金、混合物、及び多層を含むグループから選択され
る、前記(41)記載の方法。 (45)前記導電バリアが、TaN、TaSiN、Ti
AlN、TiSiN、TaWN、TiWN、TaAl
N、NbN、ZrN、TaTiN、IrOx、Os、O
sOx、MoSi、TiSi、ReO2、及びこれらのド
ープまたは非ドープ合金、混合物及び多層を含むグルー
プから選択される、前記(41)記載の方法。 (46)前記底部電極が、ポリシリコン、Ni、Pd、
Pt、Cu、Ag、Au、Ru、Ir、Rh、Ir
x、TaN、TaSiN、Ta、SrRuO3、LaS
rCoO3、及びこれらのドープまたは非ドープ合金、
混合物、及び多層を含むグループから選択される、前記
(41)記載の方法。 (47)前記誘電体層が、SiO2、SiOxy、Si3
4、Ta25、TiO2、ZrO2、HfO2、Al
23、La23、Y23、複合要素金属酸化物、化学式
ABO3を有するペロブスカイト型酸化物を含むグルー
プから選択され、ここでBが、Al、Ti、Zr、H
f、V、Nb、Ta、Cr、Mo、W、Cuを含むグル
ープから選択される金属を含む、少なくとも1つの酸性
酸化物であり、Aが約1乃至約3の正の形式電荷を有す
る少なくとも1つの追加のカチオンであり、前記酸化物
が、チタン酸ストロンチウム・バリウム、ジルコン酸ス
トロンチウム・バリウム、ハフニウム酸ストロンチウム
・バリウム、チタン酸鉛、アルミン酸イットリウム、ア
ルミン酸ランタン、チタン酸ジルコニウム鉛、タンタル
酸ビスマス・ストロンチウム、ニオブ酸ビスマス・スト
ロンチウム、チタン酸ビスマス、ケイ酸ランタン、ケイ
酸イットリウム、ケイ酸ハフニウム、ケイ酸ジルコニウ
ム、希土類ドープ・ケイ酸塩、及びこれらのドープまた
は非ドープ合金、混合物、及び多層を含むグループから
選択される、前記(41)記載の方法。 (48)前記頂部電極が、ポリシリコン、Ni、Pd、
Pt、Cu、Ag、Au、Ru、Ir、Rh、Ir
x、TaN、TaSiN、Ta、SrRuO3、LaS
rCoO3、及びこれらのドープまたは非ドープ合金、
混合物、または多層を含むグループから選択される、前
記(41)記載の方法。 (49)誘電体層内にエッチングされたトレンチ及びバ
イアと、誘電体と配線材料との間の任意のバリア材料
と、配線材料とを含む、配線構造を形成する方法であっ
て、前記配線構造の少なくとも1つの構成要素が、前記
(24)記載の方法により付着される方法。 (50)前記誘電体層が、SiO2、SiOxy、Si3
4、ケイ酸リン・ガラス、金属酸化物、Al23、及
びこれらのドープまたは非ドープ合金、混合物、及び多
層を含むグループから選択される、前記(49)記載の
方法。 (51)前記任意のバリア材料が、WN、TiN、Ta
N、SiO2、SiOxy、Si34、ケイ酸リン・ガ
ラス、金属酸化物、Al23、及びこれらのドープまた
は非ドープ合金、混合物、及び多層を含むグループから
選択される、前記(49)記載の方法。 (52)前記配線材料が、ポリシリコン、Al、W、M
o、Ti、Cr、Cu、及び及びこれらのドープまたは
非ドープ合金、混合物、及び多層を含むグループから選
択される、前記(49)記載の方法。 (53)ソース及びドレイン領域と、前記ソース領域と
ドレイン領域の間のチャネル領域と、前記チャネル領域
上に位置合わせされるゲート誘電体と、前記ゲート誘電
体上に位置合わせされるゲート電極とを含む電子素子を
形成する方法であって、前記電子素子の少なくとも1つ
の構成要素が、前記(24)記載の方法により付着され
る方法。 (54)前記ゲート誘電体が、SiO2、SiOxy
Si34、Ta25、TiO2、ZrO2、HfO2、A
23、La23、Y23、複合要素金属酸化物、化学
式ABO3を有するペロブスカイト型酸化物を含むグル
ープから選択され、ここでBが、Al、Ti、Zr、H
f、V、Nb、Ta、Cr、Mo、W、Cuを含むグル
ープから選択される金属を含む、少なくとも1つの酸性
酸化物であり、Aが約1乃至約3の正の形式電荷を有す
る少なくとも1つの追加のカチオンであり、前記酸化物
が、チタン酸ストロンチウム・バリウム、ジルコン酸ス
トロンチウム・バリウム、ハフニウム酸ストロンチウム
・バリウム、チタン酸鉛、アルミン酸イットリウム、ア
ルミン酸ランタン、チタン酸ジルコニウム鉛、タンタル
酸ビスマス・ストロンチウム、ニオブ酸ビスマス・スト
ロンチウム、チタン酸ビスマス、ケイ酸ランタン、ケイ
酸イットリウム、ケイ酸ハフニウム、ケイ酸ジルコニウ
ム、希土類ドープ・ケイ酸塩、及びこれらのドープまた
は非ドープ合金、混合物、及び多層を含むグループから
選択される、前記(53)記載の方法。 (55)前記ゲート誘電体が2つ以上の層から構成され
る、前記(53)記載の方法。 (56)前記ゲート電極が、ポリシリコン、Al、A
g、Bi、Cd、Fe、Ga、Hf、In、Mn、N
b、Y、Zr、Ni、Pt、Be、Ir、Te、Re、
Rh、W、Mo、Cr、Fe、Pd、Au、Rh、T
i、Cr、Cu、及びこれらのドープまたは非ドープ合
金、混合物、及び多層を含むグループから選択される、
前記(53)記載の方法。
【図面の簡単な説明】
【図1】単一基板上にPFET及びNFET素子の両方
を有する集積回路の断面図である。
【図2】集積回路コンデンサの断面図である。
【図3】集積回路配線構造の断面図である。
【図4】集積回路配線構造の断面図である。
【図5】トランジスタの断面図である。
【図6】清浄表面を有するシリコン基板50が提供され
る、トランジスタの形成を示す断面図である。
【図7】シリコン基板50が酸化及び窒化されて、Si
xy層が下層55として形成される、トランジスタの
形成を示す断面図である。
【図8】酸化ジルコニウムの中間層56が本方法により
形成される、トランジスタの形成を示す断面図である。
【図9】上層57がZrO2表面のプラズマ窒化物形成
により形成される、トランジスタの形成を示す断面図で
ある。
【図10】ポリシリコンがゲート電極52として付着さ
れる、トランジスタの形成を示す断面図である。
【図11】ゲートが形成される、トランジスタの形成を
示す断面図である。
【図12】拡張打込みが行われ、スペーサ58が形成さ
れる、トランジスタの形成を示す断面図である。
【図13】ソース/ドレイン打込みが行われて、完全な
素子が形成される、トランジスタの形成を示す断面図で
ある。
【図14】トランジスタの断面図である。
【図15】浅トレンチ分離(STI)73及びnウェル
72を有するシリコン基板60が、p型導電基板の一部
に形成される、トランジスタの形成を示す断面図であ
る。
【図16】ゲート誘電体64及びマスキング層(例えば
Si34)77が基板上に形成される、トランジスタの
形成を示す断面図である。
【図17】マスキング層77内において、NFETゲー
トに相当する部分に開口が形成される、トランジスタの
形成を示す断面図である。
【図18】NFETゲート電極62が形成される、トラ
ンジスタの形成を示す断面図である。
【図19】化学機械研磨(CMP)により表面が平坦化
される、トランジスタの形成を示す断面図である。
【図20】マスキング層77内において、PFETゲー
トに相当する部分に開口が形成される、トランジスタの
形成を示す断面図である。
【図21】PFETゲート電極74が形成される、トラ
ンジスタの形成を示す断面図である。
【図22】化学機械研磨(CMP)により表面が平坦化
される、トランジスタの形成を示す断面図である。
【図23】NFET及びPFETのそれぞれに対して、
拡張打込みが障壁を通じて行われる、トランジスタの形
成を示す断面図である。
【図24】スペーサ形成プロセスの後に、NFET及び
PFETのそれぞれに対して、ソース/ドレイン打込み
が障壁を通じて実行される、トランジスタの形成を示す
断面図である。
【図25】トレンチを有する基板30が形成される、積
層コンデンサの形成を示す断面図である。
【図26】プラグ材料31及び任意のバリア32が形成
される、積層コンデンサの形成を示す断面図である。
【図27】底部電極33が形成される、積層コンデンサ
の形成を示す断面図である。
【図28】誘電体34が形成される、積層コンデンサの
形成を示す断面図である。
【図29】頂部電極35が形成される、積層コンデンサ
の形成を示す断面図である。
【図30】膜または被覆の化学蒸着または原子層付着の
ための配送システムを示す図である。
【図31】トレンチ・コンデンサの断面図である。
【符号の説明】
10、30 基板 13、51 p型導電性領域(pウェル) 14、52、62、74 ゲート電極 15、53、64 ゲート誘電体 16、54、65 n型ソース/ドレイン 18、72 n型導電性領域(nウェル) 20、76 p型ソース/ドレイン領域 21、73 浅トレンチ分離 22、58 スペーサ 31 プラグ 32 バリア 33 底部電極 34 誘電体層 35 頂部電極 36 誘電体緩衝層 37 絶縁不動態層 38 層間誘電体層 41 トレンチ 42 バイア 43、45 誘電体層 44 金属薄膜配線 46 バリア材料 47 配線金属 50、60 シリコン基板 55 下層 56 中間層 57 上層 77 マスキング層 81 拡散障壁層 82 金属化膜 83 誘電体絶縁層(分離誘電体)
フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/285 H01L 21/285 C 5F048 21/31 21/31 B 5F103 21/768 21/90 A 5F140 21/822 29/78 301G 21/8238 27/08 321D 27/04 27/04 C 27/092 29/78 (72)発明者 ダグラス・ブシャナン アメリカ合衆国10567、ニューヨーク州コ ートランド・メナー、イースト・コーズウ ェイ 10 (72)発明者 デボラ・アン・ニューメイヤー アメリカ合衆国06811、コネチカット州ダ ンバリー、オーク・レーン 3 Fターム(参考) 4K030 AA06 AA11 AA13 AA14 BA01 BA02 BA05 BA10 BA20 BA22 BA38 BA42 BA43 BA48 CA04 CA06 CA07 EA01 FA10 LA15 4M104 BB01 BB02 BB04 BB05 BB06 BB08 BB13 BB14 BB16 BB18 BB32 CC05 DD45 EE03 EE12 EE16 EE17 GG09 GG10 GG14 5F033 HH08 HH11 HH18 HH19 HH20 HH32 HH33 HH34 JJ08 JJ11 JJ18 JJ19 JJ20 JJ32 JJ33 JJ34 KK08 KK12 KK17 KK18 KK19 KK20 MM01 MM02 MM12 MM13 NN06 NN07 PP06 RR03 RR04 RR06 RR08 RR14 SS11 5F038 AC05 AC10 AC16 EZ20 5F045 AA03 AA15 AB03 AB31 AC01 AC02 AC07 AF01 AF07 AF10 CA01 CA05 CA09 CB05 CB10 DC63 5F048 AC03 BB04 BB09 BB11 BB13 BG14 5F103 AA04 DD16 DD27 DD28 HH03 HH04 HH05 LL01 LL07 LL11 LL14 5F140 AB03 BD02 BD04 BD05 BD07 BD09 BD11 BD12 BE10 BF01 BF05 BF06 BF07 BG08 BG28 BH15 CB01 CB04 CB08 CE07

Claims (56)

    【特許請求の範囲】
  1. 【請求項1】不活性液内に溶解、乳化または浮遊される
    少なくとも1つの前駆化合物を含む、前駆原料混合物で
    あって、前記少なくとも1つの前駆化合物が、化学式 【数式1】MR1 x2 yz を有し、ここでMがLi、Na、K、Rb、Cs、F
    r、Be、Mg、Ti、Zr、Hf、Sc、Y、La、
    V、Nb、Ta、Cr、Mo、W、Mn、Re、Fe、
    Ru、Os、Co、Rh、Ir、Ni、Pd、Pt、C
    u、Ag、Au、Zn、Cd、Hg、B、Al、Ga、
    In、Tl、Si、Ge、Sn、Pb、As、P、Sb
    及びBiを含むグループから選択される元素であり、R
    1及びR2が水素化物、アルキル、アルケニル、シクロア
    ルケニル、アリール、アルキン、カルボニル、アミド、
    イミド、ヒドラジド、リン化物、ニトロシル、ニトリル
    (nitryl)、硝酸塩、ニトリル(nitrile)、ハロゲン
    化物、アジド、アルコキシ、シロキシ、シリル及びこれ
    らのハロゲン化、スルホン化、またはケイ化誘導体を含
    むグループから選択される、同一のまたは異なる配位子
    であり、Aがホスフィン、亜リン酸塩、アミン、アルシ
    ン、スチビン、エーテル、硫化物、ニトリル、イソニト
    リル、アルケン、ヒドラジン、ピリジン、窒素複素環式
    化合物、大環状分子、シッフ塩基、シクロアルケン、ア
    ルコール、ホスフィン酸化物、アルキリデン、亜硝酸
    塩、アルキン及び水を含むグループから選択される、任
    意の配位的に結合または会合される配位子であり、x≧
    1、x+y=元素Mの原子価であり、z≧0である前駆
    原料混合物。
  2. 【請求項2】前記不活性液が、脂肪族炭化水素、芳香族
    炭化水素、アルコール、エーテル、アルデヒド、ケト
    ン、酸、フェノール、エステル、アミン、アルキルニト
    リル、ハロゲン化炭化水素、ケイ化炭化水素、チオエー
    テル、アミン、シアン酸塩、イソシアン酸塩、チオシア
    ン酸塩、シリコーン油、ニトロアルキル、アルキル硝酸
    塩、またはこれらの混合物である、請求項1記載の前駆
    原料混合物。
  3. 【請求項3】MがLi、Na、K、Rb、Cs、Fr、
    Be、Mg、Ti、Zr、Hf、Sc、Y、La、V、
    Nb、Ta、Cr、Mo、W、Mn、Re、Fe、R
    u、Os、Co、Rh、Ir、Ni、Pd、Pt、C
    u、Ag、Au、Zn、Cd、Hg、B、Al、Ga、
    In、Tl、Si、Ge、Sn、Pb、As、P、Sb
    またはBiであり、R1が水素化物であり、R2が水素化
    物、アルキル、アルケニル、シクロアルケニル、アリー
    ル、アルキン、カルボニル、アミド、イミド、ヒドラジ
    ド、リン化物、ニトロシル、ニトリル(nitryl)、硝酸
    塩、ニトリル(nitrile)、ハロゲン化物、アジド、ア
    ルコキシ、シロキシ、シリル、またはこれらのハロゲン
    化、スルホン化、またはケイ化誘導体であり、Aがホス
    フィン、亜リン酸塩、アリール、アミン、アルシン、ス
    チビン、エーテル、硫化物、ニトリル、イソニトリル、
    アルケン、アルキン、ヒドラジン、ピリジン、窒素複素
    環式化合物、大環状分子、シッフ塩基、シクロアルケ
    ン、アルコール、ホスフィン酸化物、アルキリデン、亜
    硝酸塩または水である、請求項1記載の前駆原料混合
    物。
  4. 【請求項4】MがLi、Na、K、Rb、Cs、Fr、
    Be、Ti、Zr、Hf、V、Nb、Ta、Cr、M
    o、W、Mn、Re、Fe、Ru、Os、Co、Rh、
    Ir、Ni、Pd、Cu、Ag、Au、Zn、Cd、H
    g、B、Al、Ga、In、Tl、Si、Ge、Sn、
    Pb、As、SbまたはBiであり、R1がC1−C8
    ルキル、またはC4−C12シクロアルキルであり、R2
    水素化物、アルキル、アルケニル、シクロアルケニル、
    アリール、アルキン、カルボニル、アミド、イミド、ヒ
    ドラジド、リン化物、ニトロシル、ニトリル(nitry
    l)、硝酸塩、ニトリル(nitrile)、ハロゲン化物、ア
    ジド、アルコキシ、シロキシ、シリル、またはこれらの
    ハロゲン化、スルホン化、またはケイ化誘導体であり、
    Aがホスフィン、亜リン酸塩、アリール、アミン、アル
    シン、スチビン、エーテル、硫化物、ニトリル、イソニ
    トリル、アルケン、アルキン、ヒドラジン、ピリジン、
    窒素複素環式化合物、大環状分子、シッフ塩基、シクロ
    アルケン、アルコール、ホスフィン酸化物、アルキリデ
    ン、亜硝酸塩または水である、請求項1記載の前駆原料
    混合物。
  5. 【請求項5】MがLi、Na、K、Rb、Cs、Fr、
    Be、Ti、Zr、Hf、V、Nb、Ta、Cr、M
    o、W、Mn、Re、Fe、Ru、Os、Co、Rh、
    Ir、Ni、Pd、Cu、Ag、Au、Zn、Cd、H
    g、B、Al、Ga、In、Tl、Si、Ge、Sn、
    Pb、As、SbまたはBiであり、R1がC2−C8
    ルケニル、C4−C12シクロアルケニル、またはC5−C
    18アリールであり、R2が水素化物、アルキル、アルケ
    ニル、シクロアルケニル、アリール、アルキン、カルボ
    ニル、アミド、イミド、ヒドラジド、リン化物、ニトロ
    シル、ニトリル(nitryl)、硝酸塩、ニトリル(nitril
    e)、ハロゲン化物、アジド、アルコキシ、シロキシ、
    シリル、またはこれらのハロゲン化、スルホン化、また
    はケイ化誘導体であり、Aがホスフィン、亜リン酸塩、
    アリール、アミン、アルシン、スチビン、エーテル、硫
    化物、ニトリル、イソニトリル、アルケン、アルキン、
    ヒドラジン、ピリジン、窒素複素環式化合物、大環状分
    子、シッフ塩基、シクロアルケン、アルコール、ホスフ
    ィン酸化物、アルキリデン、亜硝酸塩または水である、
    請求項1記載の前駆原料混合物。
  6. 【請求項6】MがLi、Na、K、Rb、Cs、Fr、
    Be、Ti、Zr、Hf、V、Nb、Ta、Cr、M
    o、W、Mn、Re、Fe、Ru、Os、Co、Rh、
    Ir、Ni、Pd、Cu、Ag、Au、Zn、Cd、H
    g、B、Al、Ga、In、Tl、Si、Ge、Sn、
    Pb、As、SbまたはBiであり、R1がカルボニル
    であり、R2が水素化物、アルキル、アルケニル、シク
    ロアルケニル、アリール、アルキン、カルボニル、アミ
    ド、イミド、ヒドラジド、リン化物、ニトロシル、ニト
    リル(nitryl)、硝酸塩、ニトリル(nitrile)、ハロ
    ゲン化物、アジド、アルコキシ、シロキシ、シリル、ま
    たはこれらのハロゲン化、スルホン化、またはケイ化誘
    導体であり、Aがホスフィン、亜リン酸塩、アリール、
    アミン、アルシン、スチビン、エーテル、硫化物、ニト
    リル、イソニトリル、アルケン、アルキン、ヒドラジ
    ン、ピリジン、窒素複素環式化合物、大環状分子、シッ
    フ塩基、シクロアルケン、アルコール、ホスフィン酸化
    物、アルキリデン、亜硝酸塩または水である、請求項1
    記載の前駆原料混合物。
  7. 【請求項7】MがLi、Na、K、Rb、Cs、Fr、
    Be、Ti、Zr、Hf、V、Nb、Ta、Cr、M
    o、W、Mn、Re、Fe、Ru、Os、Co、Rh、
    Ir、Ni、Pd、Cu、Ag、Au、Zn、Cd、H
    g、B、Al、Ga、In、Tl、Si、Ge、Sn、
    Pb、As、SbまたはBiであり、R1がアルコキシ
    またはシロキシであり、R2が水素化物、アルキル、ア
    ルケニル、シクロアルケニル、アリール、アルキン、カ
    ルボニル、アミド、イミド、ヒドラジド、リン化物、ニ
    トロシル、ニトリル(nitryl)、硝酸塩、ニトリル(ni
    trile)、ハロゲン化物、アジド、アルコキシ、シロキ
    シ、シリル、またはこれらのハロゲン化、スルホン化、
    またはケイ化誘導体であり、Aがホスフィン、亜リン酸
    塩、アリール、アミン、アルシン、スチビン、エーテ
    ル、硫化物、ニトリル、イソニトリル、アルケン、アル
    キン、ヒドラジン、ピリジン、窒素複素環式化合物、大
    環状分子、シッフ塩基、シクロアルケン、アルコール、
    ホスフィン酸化物、アルキリデン、亜硝酸塩または水で
    ある、請求項1記載の前駆原料混合物。
  8. 【請求項8】MがLi、Na、K、Rb、Cs、Fr、
    Be、Ti、Zr、Hf、V、Nb、Ta、Cr、M
    o、W、Mn、Re、Fe、Ru、Os、Co、Rh、
    Ir、Ni、Pd、Cu、Ag、Au、Zn、Cd、H
    g、B、Al、Ga、In、Tl、Si、Ge、Sn、
    Pb、As、SbまたはBiであり、R1がアミドであ
    り、R2が水素化物、アルキル、アルケニル、シクロア
    ルケニル、アリール、アルキン、カルボニル、アミド、
    イミド、ヒドラジド、リン化物、ニトロシル、ニトリル
    (nitryl)、硝酸塩、ニトリル(nitrile)、ハロゲン
    化物、アジド、アルコキシ、シロキシ、シリル、または
    これらのハロゲン化、スルホン化、またはケイ化誘導体
    であり、Aがホスフィン、亜リン酸塩、アリール、アミ
    ン、アルシン、スチビン、エーテル、硫化物、ニトリ
    ル、イソニトリル、アルケン、アルキン、ヒドラジン、
    ピリジン、窒素複素環式化合物、大環状分子、シッフ塩
    基、シクロアルケン、アルコール、ホスフィン酸化物、
    アルキリデン、亜硝酸塩または水である、請求項1記載
    の前駆原料混合物。
  9. 【請求項9】前記前駆化合物が化学式、 【数式2】MR1 x(PR2 3yz を有し、ここでMがTi、Zr、Hf、V、Nb、T
    a、Cr、Mo、W、Mn、Re、Fe、Ru、Os、
    Co、Rh、Ir、Ni、Pd、Pt、Cu、Agまた
    はAuであり、R1及びR2が水素化物、アルキル、アル
    ケニル、シクロアルケニル、アリール、アルキン、カル
    ボニル、アミド、イミド、ヒドラジド、リン化物、ニト
    ロシル、ニトリル(nitryl)、硝酸塩、ニトリル(nitr
    ile)、ハロゲン化物、アジド、アルコキシ、シロキ
    シ、シリル及びこれらのハロゲン化、スルホン化、また
    はケイ化誘導体を含むグループから選択される配位子で
    あり、Aがホスフィン、亜リン酸塩、アリール、アミ
    ン、アルシン、スチビン、エーテル、硫化物、ニトリ
    ル、イソニトリル、アルケン、アルキン、ヒドラジン、
    ピリジン、窒素複素環式化合物、大環状分子、シッフ塩
    基、シクロアルケン、アルコール、ホスフィン酸化物、
    アルキリデン、亜硝酸塩及び水を含むグループから選択
    される、任意の配位結合される配位子であり、x≧1、
    y≧0、及びz≧0であり、x+y=元素Mの原子価で
    ある、請求項1記載の前駆原料混合物。
  10. 【請求項10】MがLi、Na、K、Rb、Cs、F
    r、Be、Mg、Sc、Y、La、Ce、Pr、Nd、
    Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Y
    b、Lu、Th、Pa、U、Ti、Zr、Hf、V、N
    b、Ta、Cr、Mo、W、Mn、Re、Fe、Ru、
    Os、Co、Rh、Ir、Ni、Pd、Pt、Cu、A
    g、Au、Zn、Cd、Hg、B、Al、Ga、In、
    Tl、Si、Ge、Sn、Pb、As、SbまたはBi
    であり、R1が硝酸塩であり、R2が水素化物、アルキ
    ル、アルケニル、シクロアルケニル、アリール、アルキ
    ン、カルボニル、アミド、イミド、ヒドラジド、リン化
    物、ニトロシル、ニトリル(nitryl)、硝酸塩、ニトリ
    ル(nitrile)、ハロゲン化物、アジド、アルコキシ、
    シロキシ、シリル、またはこれらのハロゲン化、スルホ
    ン化、またはケイ化誘導体であり、Aがホスフィン、亜
    リン酸塩、アリール、アミン、アルシン、スチビン、エ
    ーテル、硫化物、ニトリル、イソニトリル、アルケン、
    アルキン、ヒドラジン、ピリジン、窒素複素環式化合
    物、大環状分子、シッフ塩基、シクロアルケン、アルコ
    ール、ホスフィン酸化物、アルキリデン、亜硝酸塩また
    は水である、請求項1記載の前駆原料混合物。
  11. 【請求項11】MがLi、Na、K、Rb、Cs、F
    r、Be、Mg、Sc、Y、La、Ce、Pr、Nd、
    Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Y
    b、Lu、Th、Pa、U、Ti、Zr、Hf、V、N
    b、Ta、Cr、Mo、W、Mn、Re、Fe、Ru、
    Os、Co、Rh、Ir、Ni、Pd、Pt、Cu、A
    g、Au、Zn、Cd、Hg、B、Al、Ga、In、
    Tl、Si、Ge、Sn、Pb、As、SbまたはBi
    であり、R1がハロゲン化物であり、R2が水素化物、ア
    ルキル、アルケニル、シクロアルケニル、アリール、ア
    ルキン、カルボニル、アミド、イミド、ヒドラジド、リ
    ン化物、ニトロシル、ニトリル(nitryl)、硝酸塩、ニ
    トリル(nitrile)、ハロゲン化物、アジド、アルコキ
    シ、シロキシ、シリル、またはこれらのハロゲン化、ス
    ルホン化、またはケイ化誘導体であり、Aがホスフィ
    ン、亜リン酸塩、アリール、アミン、アルシン、スチビ
    ン、エーテル、硫化物、ニトリル、イソニトリル、アル
    ケン、アルキン、ヒドラジン、ピリジン、窒素複素環式
    化合物、大環状分子、シッフ塩基、シクロアルケン、ア
    ルコール、ホスフィン酸化物、アルキリデン、亜硝酸塩
    または水である、請求項1記載の前駆原料混合物。
  12. 【請求項12】MがLi、Na、K、Rb、Cs、F
    r、Be、Mg、Sc、Y、La、Ce、Pr、Nd、
    Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Y
    b、Lu、Th、Pa、U、Ti、Zr、Hf、V、N
    b、Ta、Cr、Mo、W、Mn、Re、Fe、Ru、
    Os、Co、Rh、Ir、Ni、Pd、Pt、Cu、A
    g、Au、Zn、Cd、Hg、B、Al、Ga、In、
    Tl、Si、Ge、Sn、Pb、As、SbまたはBi
    であり、R1がシリルであり、R2が水素化物、アルキ
    ル、アルケニル、シクロアルケニル、アリール、アルキ
    ン、カルボニル、アミド、イミド、ヒドラジド、リン化
    物、ニトロシル、ニトリル(nitryl)、硝酸塩、ニトリ
    ル(nitrile)、ハロゲン化物、アジド、アルコキシ、
    シロキシ、シリル、またはこれらのハロゲン化、スルホ
    ン化、またはケイ化誘導体であり、Aがホスフィン、亜
    リン酸塩、アリール、アミン、アルシン、スチビン、エ
    ーテル、硫化物、ニトリル、イソニトリル、アルケン、
    アルキン、ヒドラジン、ピリジン、窒素複素環式化合
    物、大環状分子、シッフ塩基、シクロアルケン、アルコ
    ール、ホスフィン酸化物、アルキリデン、亜硝酸塩また
    は水である、請求項1記載の前駆原料混合物。
  13. 【請求項13】MがPtであり、前記化合物が(シクロ
    ペンタジエニル)Pt(アルキル)3でないという条件
    の下で、R1及びR2が水素化物、アルキル、アルケニ
    ル、シクロアルケニル、アリール、アルキン、カルボニ
    ル、アミド、イミド、ヒドラジド、リン化物、ニトロシ
    ル、ニトリル(nitryl)、硝酸塩、ニトリル(nitril
    e)、ハロゲン化物、アジド、アルコキシ、シロキシ、
    シリル、またはこれらのハロゲン化、スルホン化、また
    はケイ化誘導体であり、Aがホスフィン、亜リン酸塩、
    アリール、アミン、アルシン、スチビン、エーテル、硫
    化物、ニトリル、イソニトリル、アルケン、アルキン、
    ヒドラジン、ピリジン、窒素複素環式化合物、大環状分
    子、シッフ塩基、シクロアルケン、アルコール、ホスフ
    ィン酸化物、アルキリデン、亜硝酸塩または水である、
    請求項1記載の前駆原料混合物。
  14. 【請求項14】前記不活性液が前記少なくとも1つの前
    駆化合物よりも高い温度で気化する、請求項1記載の前
    駆原料混合物。
  15. 【請求項15】前記不活性液がC5-12アルカンである、
    請求項2記載の前駆原料混合物。
  16. 【請求項16】前記不活性液が容量で該不活性液の30
    %以下の添加剤を含む、請求項1記載の前駆原料混合
    物。
  17. 【請求項17】前記添加剤が追加の前駆配位子、または
    追加の前駆アダクトであるか、或いはホスフィン、亜リ
    ン酸塩、アリール、アミン、アルシン、スチビン、エー
    テル、硫化物、ニトリル、イソニトリル、アルケン、ピ
    リジン、複素環式化合物、テトラヒドロフラン、ジメチ
    ルホルムアミド、大環状分子、シッフ塩基、シクロアル
    ケン、アルコール、ホスフィン酸化物、及びアルキンを
    含むグループから選択される他の配位化合物である、請
    求項16記載の前駆原料混合物。
  18. 【請求項18】前記添加剤がメタノール、エタノール、
    イソプロパノール、ネオペンタノール、トリメチルアミ
    ン、ジメチルエチルアミン、ジエチルメチルアミン、ト
    リエチルアミン、ジメチルアミン、ジエチルアミン、ビ
    ストリメチルシリルアミン、アンモニア、エチレンジア
    ミン、プロピレンジアミン、トリメチルエチルエチレン
    ジアミン、トリフェニルホスフィン、トリエチルホスフ
    ィン、トリメチルホスフィン、アリル、シクロペンタジ
    エン、ベンゼン、エチルベンゼン、トルエン、シクロヘ
    キサジエン、シクロオクタジエン、シクロヘプタトリエ
    ン、シクロオクタテトラエン、メシチレン、テトラヒド
    ロフラン、ジメチルホルムアミド、ジメチルスルホキシ
    ド、酢酸ブチル、酢酸、エチルヘキサン酸、メタン、エ
    タン、ピリジン及びPF3を含むグループから選択され
    る、請求項16記載の前駆原料混合物。
  19. 【請求項19】前記不活性液が、脂肪族炭化水素、芳香
    族炭化水素、アルコール、エーテル、アルデヒド、ケト
    ン、酸、フェノール、エステル、アミン、アルキルニト
    リル、ハロゲン化炭化水素、ケイ化炭化水素、チオエー
    テル、アミン、シアン酸塩、イソシアン酸塩、チオシア
    ン酸塩、シリコーン油、ニトロアルキル、アルキル硝酸
    塩、及びこれらの混合物から選択される2つ以上の構成
    要素と、容量で前記不活性液の30%以下の添加剤とか
    ら成り、前記添加剤が追加の前駆配位子、または追加の
    前駆アダクトであるか、或いはホスフィン、亜リン酸
    塩、アリール、アミン、アルシン、スチビン、エーテ
    ル、硫化物、ニトリル、イソニトリル、アルケン、ピリ
    ジン、複素環式化合物、テトラヒドロフラン、ジメチル
    ホルムアミド、大環状分子、シッフ塩基、シクロアルケ
    ン、アルコール、ホスフィン酸化物、及びアルキンを含
    むグループから選択される他の配位化合物である、請求
    項1記載の前駆原料混合物。
  20. 【請求項20】前記添加剤がメタノール、エタノール、
    イソプロパノール、ネオペンタノール、トリメチルアミ
    ン、ジメチルエチルアミン、ジエチルメチルアミン、ト
    リエチルアミン、ジメチルアミン、ジエチルアミン、ビ
    ストリメチルシリルアミン、アンモニア、エチレンジア
    ミン、プロピレンジアミン、トリメチルエチルエチレン
    ジアミン、トリフェニルホスフィン、トリエチルホスフ
    ィン、トリメチルホスフィン、アリル、シクロペンタジ
    エン、ベンゼン、エチルベンゼン、トルエン、シクロヘ
    キサジエン、シクロオクタジエン、シクロヘプタトリエ
    ン、シクロオクタテトラエン、メシチレン、テトラヒド
    ロフラン、ジメチルホルムアミド、ジメチルスルホキシ
    ド、酢酸ブチル、酢酸、エチルヘキサン酸、メタン、エ
    タン、ピリジン、及びPF3を含むグループから選択さ
    れる、請求項19記載の前駆原料混合物。
  21. 【請求項21】前記不活性液がC5−C12アルカンから
    成り、容量で該不活性液の30%以下の添加剤を含み、
    前記添加剤が追加の前駆配位子、または追加の前駆アダ
    クトであるか、或いはホスフィン、亜リン酸塩、アリー
    ル、アミン、アルシン、スチビン、エーテル、硫化物、
    ニトリル、イソニトリル、アルケン、ピリジン、複素環
    式化合物、テトラヒドロフラン、ジメチルホルムアミ
    ド、大環状分子、シッフ塩基、シクロアルケン、アルコ
    ール、ホスフィン酸化物、及びアルキンを含むグループ
    から選択される他の配位化合物である、請求項1記載の
    前駆原料混合物。
  22. 【請求項22】前記添加剤がメタノール、エタノール、
    イソプロパノール、ネオペンタノール、トリメチルアミ
    ン、ジメチルエチルアミン、ジエチルメチルアミン、ト
    リエチルアミン、ジメチルアミン、ジエチルアミン、ビ
    ストリメチルシリルアミン、アンモニア、エチレンジア
    ミン、プロピレンジアミン、トリメチルエチルエチレン
    ジアミン、トリフェニルホスフィン、トリエチルホスフ
    ィン、トリメチルホスフィン、アリル、シクロペンタジ
    エン、ベンゼン、エチルベンゼン、トルエン、シクロヘ
    キサジエン、シクロオクタジエン、シクロヘプタトリエ
    ン、シクロオクタテトラエン、メシチレン、テトラヒド
    ロフラン、ジメチルホルムアミド、ジメチルスルホキシ
    ド、酢酸ブチル、酢酸、エチルヘキサン酸、メタン、エ
    タン、ピリジン、及びPF3を含むグループから選択さ
    れる、請求項21記載の前駆原料混合物。
  23. 【請求項23】前記少なくとも1つの前駆化合物が、ジ
    メチル−、ジエチル−、またはジイソブチル−B、A
    l、Ga、In、AsまたはSb水素化物;Me2Al
    H(NEtMe2);第3ブチルアルシン;(Me3N)
    AlH3;(EtMe2N)AlH 3;(Et3N)AlH
    3;CpWH2;Cp2MoH2;トリメチル−、トリエチ
    ル−、トリイソブチル−、トリnプロピル−、トリイソ
    プロピル−、トリnブチル−、トリネオペンチル−、ま
    たはエチルジメチル−B、Al、Ga、In、Asまた
    はSb;テトラメチル−、テトラエチル−、テトラフェ
    ニル−、またはテトラnブチル−Si、Ti、Zr、H
    f、Ge、SnまたはPb;ジメチル−、ジエチル−、
    またはジイソブチル−B、Al、Ga、In、Asまた
    はSb水素化物、塩化物、フッ化物、臭化物、ヨウ化
    物、Cp、アミド、ジメチルアミドまたはアジド;トリ
    エチル−、トリイソブチル−、トリnプロピル−、トリ
    イソプロピル−、トリnブチル−、またはエチルジメチ
    ル−B、Al、Ga、In、AsまたはSbトリメチル
    アミン、ジエチルメチルアミン、ジメチルエチルアミ
    ン、またはトリエチルアミン;ジメチル−またはジエチ
    ル−Zn、CdまたはHg;(ネオペンチル)4Cr;
    Et3Pb(ネオペントキシ);Cp2Me2Zr;(M
    eNC)2PtMe2;CpIr(C242;ビスCp
    −Co、Mo、Fe、Mn、Ni、Ru、V、Os、M
    gまたはCr;ビスエチルベンゼン;ビスベンゼン−C
    o、MoまたはCr;トリフェニル−Bi、Sbまたは
    As;トリビニルボロン;トリスCp−Sc、Y、L
    a、Ce、Pr、Nd、Sm、Eu、Gd、Tb、D
    y、Ho、Er、Tm、YbまたはLu;テトラCp−
    Th、Pa、U、Np、PuまたはAmトリスアリルイ
    リジウム;CpCr(CO)2;Cp2ZrMe2;Cp
    CuPEt3;CPIn;CpIr(シクロオクタジエ
    ン);CpPd(アリル);CpGaMe2;CpGa
    Et2;(シクロヘキサジエン)FeCO3;(シクロオ
    クタテトラエン)FeCO3;エチルフェロセン;Cp
    Mn(CO)3;(シクロヘプタトリエン)Mo(C
    O)3;TlCp;Cp2WH2;(メシチレン)W(C
    O)3;CpRe(CO)3;CpRh(CO)2;Ir
    (アリル)3;Pt(アリル)2;CpIr(シクロオク
    タネジオン);[Ir(OMe)(シクロオクタネジオ
    ン)]2;Ru(シクロオクタネジオン)(アリル)2
    Ru3CO12;Fe(CO)5;Co2(CO)8;Ru
    (CO)3(1、3−シクロヘキサジエン);Os3CO
    12;Cr(CO)6;CpCo(CO)2;Mn2(C
    O)10;CpMn(CO)3;(シクロヘプタトリエ
    ン)Mo(CO)3;Mo(CO)6;Ni(CO)4
    Re2(CO)10;CpRe(CO)3;CpRh(C
    O)2;Ru3(CO)12;W(CO)6;CpV(C
    O)4;CF3Co(CO)4;Pt(CO)2(シクロオ
    クタネジオン);Ir(CO)2(シクロオクタネジオ
    ン);(CO)4Fe[P(OCH33];(CO)4
    e[N(CH33];CoNO(CO)3;ブトキシ、
    OCH(CF32、OCMe2(CF3)、OCMe(C
    32、OSi(CH33、OC(CH33、OC(S
    iMe33、またはOC(CF33Li、Na、K、R
    b、Cs、Fr、Cu、Ag、Au、HgまたはTl;
    テトラメトキシ、テトラエトキシ、テトライソプロポキ
    シ、テトラブトキシ、テトラ第3ブトキシ、テトライソ
    ブトキシ、テトラOCH(CF32、テトラOCMe2
    (CF3)、テトラOCMe(CF32、テトラOC
    (CH33、テトラOC(SiMe33、テトラOC
    (CF33またはテトラOSi(CH33Si、Ge、
    Sn、Pb、Ti、ZrまたはHf;VO(イソプロポ
    キシ)3、トリイソプロポキシ、トリ第2ブトキシ、ト
    リnブトキシ、トリイソブトキシ、トリメトキシ、トリ
    エトキシ、トリOCH(CF32、トリOCMe2(C
    3)、トリOCMe(CF32、トリOC(C
    33、トリOC(SiMe33、トリOC(C
    33、またはトリOSi(CH33、B、Al、G
    a、In、P、AsまたはSb;Et3Pb(イソプロ
    ポキシド);(第3ブトキシ)CuPMe3;テトラキ
    ス(ジメチルアミノ)、テトラキス(ジメチルアミノ)
    Ti、Zr、Hf、Si、Ge、SnまたはPb;ジエ
    チルアミノジエチルアルシン;ジエチルアミノアルシン
    二塩化物;ビスジメチルアミノアルシン塩化物;Me2
    Zn(トリエチルアミン)2;ジエチルアミノジメチル
    スタンナン;トリス(ジメチルアミノ)ホスフィン;ト
    リス(ジメチルアミノ)アンチモニ;トリス(ジメチル
    アミノ)アルシン;トリス(ジメチルアミノ)スチビ
    ン;トリスビス(トリメチルシリル)エルビウムアミ
    ド;ビス(ジメチルアミノ)(トリメチルエチルエチレ
    ンジアミノ)アルミニウム;(CO)4Fe[N(C
    3 3];Li、NaまたはKN(SiMe3);ペン
    タジメチルアミノタンタル;ジエチルアミノジメチルス
    ズ;ヘキサジメチルアミノジタングステン;トリスジメ
    チルアミノ(トリメチルエチレンジアミノ)チタン;C
    pCu(PEt3);CpCu(トリフェニルホスフィ
    ン);(第3ブトキシ)CuPMe3;Pt(P
    34;Ni(PF34;Cr(PF36;(Et
    3P)3Mo(CO)3;Ir(PF34;Ti(NO3
    4;Zr(NO34;Hf(NO34;Si(CH33
    (NO3);RuNO(NO33;硝酸ガリウム;Sn
    (NO34;Co(NO33;VO(NO33;CrO
    2(NO32;TiCl4;ZnCl2;ZrCl4;Hf
    Cl4;AlCl3;SiCl4;GaCl3;SnC
    4;CoCl3;ジメチル−、ジエチル−、またはジイ
    ソブチル−Al、B、Ge、SiまたはAsハロゲン化
    物;N(SiMe32Li、NaまたはK;B(CH2
    SiMe3 3;{(Me3Si)2N}3−B、Al、G
    aまたはIn;(Me3SiCH24−Ti、Zrまた
    はHf;または{(Me3Si)2N}2−Zn、Cdま
    たはHgであり、Cpがシクロペンタジエニルである、
    請求項1記載の前駆原料混合物。
  24. 【請求項24】化学蒸着または原子層付着のための方法
    であって、 請求項1記載の前駆原料混合物内の前駆化合物を気化す
    るステップと、 気化された前駆物質を、他の共同反応剤の任意の追加と
    共に、化学蒸着または原子層付着反応炉内に導入するス
    テップと、 気化された前記前駆物質の成分を基板上に付着して、膜
    を形成するステップとを含む、方法。
  25. 【請求項25】前記膜が電子素子の構成要素である、請
    求項24記載の方法。
  26. 【請求項26】前記共同反応剤が、気化された前記前駆
    物質とは別々に導入される、請求項24記載の方法。
  27. 【請求項27】前記前駆原料混合物内の前記前駆物質を
    気化するステップと、 気化された前駆物質を、他の共同反応剤及び不活性パー
    ジ・ガスの別々の追加と共に、原子層付着反応炉内に導
    入するステップと、 気化された前記前駆物質、パージ・ガス、共同反応剤、
    及びパージ・ガスの交互パルスの順次導入により、膜を
    基板上に付着するステップとを含む、請求項24記載の
    方法。
  28. 【請求項28】前記共同反応剤が還元剤、酸化剤、窒化
    剤、またはケイ化剤である、請求項24記載の方法。
  29. 【請求項29】前記還元剤が水素、フォーミング・ガ
    ス、シラン及びこれらの組み合わせを含むグループから
    選択される、請求項28記載の方法。
  30. 【請求項30】前記酸化剤が酸素、オゾン、水、過酸化
    水素、亜酸化窒素、及びこれらの組み合わせを含むグル
    ープから選択される、請求項28記載の方法。
  31. 【請求項31】前記窒化剤がアンモニア、ヒドラジン、
    アジ化水素、第3ブチルアミン、イソプロピルアミン、
    及びこれらの組み合わせを含むグループから選択され
    る、請求項28記載の方法。
  32. 【請求項32】前記ケイ化剤がシラン、ジシラン、クロ
    ロシラン、シリルアミン、及びシルアザン及びこれらの
    組み合わせを含むグループから選択される、請求項28
    記載の方法。
  33. 【請求項33】前記基板を3つ以上の異なるガスの交互
    パルスのシーケンスに晒すステップを含み、前記ガスの
    1つが前記前駆原料混合物の気化された前駆物質を含
    み、別のガスがパージ・ガスであり、更に別のガスが還
    元剤である、請求項24記載の方法。
  34. 【請求項34】前記基板を3つ以上の異なるガスの交互
    パルスのシーケンスに晒すステップを含み、前記ガスの
    1つが前記前駆原料混合物の気化された前駆物質を含
    み、別のガスがパージ・ガスであり、更に別のガスが窒
    化剤である、請求項24記載の方法。
  35. 【請求項35】前記基板を4つ以上の異なるガスの交互
    パルスのシーケンスに晒すステップを含み、前記ガスの
    1つが前記前駆原料混合物の気化された前駆物質を含
    み、別のガスがパージ・ガスであり、更に別のガスが酸
    化剤であり、更に別のガスが、任意の気化された前駆物
    質と、請求項1記載の前駆原料混合物の気化された前駆
    物質とを含むグループから選択される、請求項24記載
    の方法。
  36. 【請求項36】前記基板を4つ以上の異なるガスの交互
    パルスのシーケンスに晒すステップを含み、前記ガスの
    1つが前記前駆原料混合物の気化された前駆物質を含
    み、別のガスがパージ・ガスであり、更に別のガスが窒
    化剤であり、更に別のガスが、任意の気化された前駆物
    質と、請求項1記載の前駆原料混合物の気化された前駆
    物質とを含むグループから選択される、請求項24記載
    の方法。
  37. 【請求項37】前記基板を4つ以上の異なるガスの交互
    パルスのシーケンスに晒すステップを含み、前記ガスの
    1つが前記前駆原料混合物の気化された前駆物質を含
    み、別のガスがパージ・ガスであり、更に別のガスが還
    元剤であり、更に別のガスが、任意の気化された前駆物
    質と、請求項1記載の前駆原料混合物の気化された前駆
    物質とを含むグループから選択される、請求項24記載
    の方法。
  38. 【請求項38】前記基板を5つ以上の異なるガスの交互
    パルスのシーケンスに晒すステップを含み、前記ガスの
    1つが前記前駆原料混合物の気化された前駆物質を含
    み、別のガスがパージ・ガスであり、更に別のガスが還
    元剤であり、更に別のガスが任意の気化されたシリコン
    含有前駆物質と、請求項1記載の前駆原料混合物の気化
    されたシリコン含有前駆物質とを含むグループから選択
    される、請求項24記載の方法。
  39. 【請求項39】前記基板が、半導体基板、誘電体、金
    属、有機基板、ガラス、金属酸化物、プラスチック・ポ
    リマ基板、シリコン含有半導体基板、セラミック、絶縁
    体上シリコン基板、Ge基板、SiGe基板、GaAs
    基板、及びこれらの混合体または多層を含むグループか
    ら選択される、請求項24記載の方法。
  40. 【請求項40】前記電子素子がトランジスタ、コンデン
    サ、ダイオード、抵抗器、スイッチ、発光ダイオード、
    レーザ、配線構造、または相互接続構造である、請求項
    25記載の方法。
  41. 【請求項41】底部電極と、誘電体層と、頂部電極層
    と、任意の誘電体緩衝層とを含む、積層またはトレンチ
    ・コンデンサ構造を形成する方法であって、前記コンデ
    ンサがプラグ及び任意の導電バリアを介して下側の回路
    に接続されるものにおいて、前記コンデンサ構造の少な
    くとも1つの構成要素が、請求項24記載の方法により
    付着される方法。
  42. 【請求項42】前記任意の誘電緩衝層が、SiO2、S
    iOxy、Si34、TiON、AlN、SiN、Ti
    N、Ta25、TiO2、ZrO2、HfO2、Al
    23、La23、Y23、これらの合金、混合物または
    多層、及び複合要素金属酸化物を含むグループから選択
    される、請求項41記載の方法。
  43. 【請求項43】前記誘電体が強誘電材料である、請求項
    41記載の方法。
  44. 【請求項44】前記プラグ材料が、ポリシリコン、W、
    Mo、Ti、Cr、Cu、及びこれらのドープまたは非
    ドープ合金、混合物、及び多層を含むグループから選択
    される、請求項41記載の方法。
  45. 【請求項45】前記導電バリアが、TaN、TaSi
    N、TiAlN、TiSiN、TaWN、TiWN、T
    aAlN、NbN、ZrN、TaTiN、IrOx、O
    s、OsOx、MoSi、TiSi、ReO2、及びこれ
    らのドープまたは非ドープ合金、混合物及び多層を含む
    グループから選択される、請求項41記載の方法。
  46. 【請求項46】前記底部電極が、ポリシリコン、Ni、
    Pd、Pt、Cu、Ag、Au、Ru、Ir、Rh、I
    rOx、TaN、TaSiN、Ta、SrRuO3、La
    SrCoO3、及びこれらのドープまたは非ドープ合
    金、混合物、及び多層を含むグループから選択される、
    請求項41記載の方法。
  47. 【請求項47】前記誘電体層が、SiO2、SiO
    xy、Si34、Ta25、TiO2、ZrO2、HfO
    2、Al23、La23、Y23、複合要素金属酸化
    物、化学式ABO3を有するペロブスカイト型酸化物を
    含むグループから選択され、ここでBが、Al、Ti、
    Zr、Hf、V、Nb、Ta、Cr、Mo、W、Cuを
    含むグループから選択される金属を含む、少なくとも1
    つの酸性酸化物であり、Aが約1乃至約3の正の形式電
    荷を有する少なくとも1つの追加のカチオンであり、前
    記酸化物が、チタン酸ストロンチウム・バリウム、ジル
    コン酸ストロンチウム・バリウム、ハフニウム酸ストロ
    ンチウム・バリウム、チタン酸鉛、アルミン酸イットリ
    ウム、アルミン酸ランタン、チタン酸ジルコニウム鉛、
    タンタル酸ビスマス・ストロンチウム、ニオブ酸ビスマ
    ス・ストロンチウム、チタン酸ビスマス、ケイ酸ランタ
    ン、ケイ酸イットリウム、ケイ酸ハフニウム、ケイ酸ジ
    ルコニウム、希土類ドープ・ケイ酸塩、及びこれらのド
    ープまたは非ドープ合金、混合物、及び多層を含むグル
    ープから選択される、請求項41記載の方法。
  48. 【請求項48】前記頂部電極が、ポリシリコン、Ni、
    Pd、Pt、Cu、Ag、Au、Ru、Ir、Rh、I
    rOx、TaN、TaSiN、Ta、SrRuO3、La
    SrCoO3、及びこれらのドープまたは非ドープ合
    金、混合物、または多層を含むグループから選択され
    る、請求項41記載の方法。
  49. 【請求項49】誘電体層内にエッチングされたトレンチ
    及びバイアと、誘電体と配線材料との間の任意のバリア
    材料と、配線材料とを含む、配線構造を形成する方法で
    あって、前記配線構造の少なくとも1つの構成要素が、
    請求項24記載の方法により付着される方法。
  50. 【請求項50】前記誘電体層が、SiO2、SiO
    xy、Si34、ケイ酸リン・ガラス、金属酸化物、A
    23、及びこれらのドープまたは非ドープ合金、混合
    物、及び多層を含むグループから選択される、請求項4
    9記載の方法。
  51. 【請求項51】前記任意のバリア材料が、WN、Ti
    N、TaN、SiO2、SiOxy、Si34、ケイ酸
    リン・ガラス、金属酸化物、Al23、及びこれらのド
    ープまたは非ドープ合金、混合物、及び多層を含むグル
    ープから選択される、請求項49記載の方法。
  52. 【請求項52】前記配線材料が、ポリシリコン、Al、
    W、Mo、Ti、Cr、Cu、及び及びこれらのドープ
    または非ドープ合金、混合物、及び多層を含むグループ
    から選択される、請求項49記載の方法。
  53. 【請求項53】ソース及びドレイン領域と、前記ソース
    領域とドレイン領域の間のチャネル領域と、前記チャネ
    ル領域上に位置合わせされるゲート誘電体と、前記ゲー
    ト誘電体上に位置合わせされるゲート電極とを含む電子
    素子を形成する方法であって、前記電子素子の少なくと
    も1つの構成要素が、請求項24記載の方法により付着
    される方法。
  54. 【請求項54】前記ゲート誘電体が、SiO2、SiOx
    y、Si34、Ta25、TiO2、ZrO2、Hf
    2、Al23、La23、Y23、複合要素金属酸化
    物、化学式ABO3を有するペロブスカイト型酸化物を
    含むグループから選択され、ここでBが、Al、Ti、
    Zr、Hf、V、Nb、Ta、Cr、Mo、W、Cuを
    含むグループから選択される金属を含む、少なくとも1
    つの酸性酸化物であり、Aが約1乃至約3の正の形式電
    荷を有する少なくとも1つの追加のカチオンであり、前
    記酸化物が、チタン酸ストロンチウム・バリウム、ジル
    コン酸ストロンチウム・バリウム、ハフニウム酸ストロ
    ンチウム・バリウム、チタン酸鉛、アルミン酸イットリ
    ウム、アルミン酸ランタン、チタン酸ジルコニウム鉛、
    タンタル酸ビスマス・ストロンチウム、ニオブ酸ビスマ
    ス・ストロンチウム、チタン酸ビスマス、ケイ酸ランタ
    ン、ケイ酸イットリウム、ケイ酸ハフニウム、ケイ酸ジ
    ルコニウム、希土類ドープ・ケイ酸塩、及びこれらのド
    ープまたは非ドープ合金、混合物、及び多層を含むグル
    ープから選択される、請求項53記載の方法。
  55. 【請求項55】前記ゲート誘電体が2つ以上の層から構
    成される、請求項53記載の方法。
  56. 【請求項56】前記ゲート電極が、ポリシリコン、A
    l、Ag、Bi、Cd、Fe、Ga、Hf、In、M
    n、Nb、Y、Zr、Ni、Pt、Be、Ir、Te、
    Re、Rh、W、Mo、Cr、Fe、Pd、Au、R
    h、Ti、Cr、Cu、及びこれらのドープまたは非ド
    ープ合金、混合物、及び多層を含むグループから選択さ
    れる、請求項53記載の方法。
JP2001122174A 2000-04-20 2001-04-20 前駆原料混合物、膜付着方法、及び構造の形成 Pending JP2002060944A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/553,997 US6984591B1 (en) 2000-04-20 2000-04-20 Precursor source mixtures
US09/553997 2000-04-20

Publications (1)

Publication Number Publication Date
JP2002060944A true JP2002060944A (ja) 2002-02-28

Family

ID=24211638

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001122174A Pending JP2002060944A (ja) 2000-04-20 2001-04-20 前駆原料混合物、膜付着方法、及び構造の形成

Country Status (4)

Country Link
US (1) US6984591B1 (ja)
JP (1) JP2002060944A (ja)
KR (1) KR20010098415A (ja)
TW (1) TWI240011B (ja)

Cited By (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002367982A (ja) * 2001-05-07 2002-12-20 Samsung Electronics Co Ltd 多成分系薄膜及びその形成方法
US6638810B2 (en) 2000-02-22 2003-10-28 Applied Materials, Inc. Tantalum nitride CVD deposition by tantalum oxide densification
WO2004004014A1 (ja) * 2002-06-27 2004-01-08 Nec Corporation 半導体装置およびその製造方法
JP2004040110A (ja) * 2002-07-08 2004-02-05 Samsung Electronics Co Ltd 原子層堆積法によって基板に二酸化シリコン層を堆積する方法
KR20040024658A (ko) * 2002-09-16 2004-03-22 주식회사 메카로닉스 원자층 증착법에 의한 루세늄 박막 제조 방법 및 그박막을 이용한 커패시터
JP2004153238A (ja) * 2002-10-31 2004-05-27 Sharp Corp CMOSアプリケーション用の多重高κゲート誘電体を堆積する方法
JP2004161602A (ja) * 2002-06-10 2004-06-10 Interuniv Micro Electronica Centrum Vzw Hf2および第2の化合物を含む組成物、その使用、そのデバイス、および基板上に誘電性層を形成する方法
WO2004020691A3 (en) * 2002-08-28 2004-07-08 Micron Technology Inc Systems and methods for forming zirconium and/or hafnium-containing layers
JP2004250431A (ja) * 2003-01-27 2004-09-09 Mitsubishi Materials Corp 有機金属化合物及びその合成方法並びに該化合物を含む溶液原料、金属含有薄膜
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6831004B2 (en) 2000-06-27 2004-12-14 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP2005520338A (ja) * 2002-03-13 2005-07-07 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド ドープとアニールを同時に行うことにより薄膜層を形成するための方法
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
WO2005101473A1 (ja) * 2004-04-12 2005-10-27 Ulvac, Inc. バリア膜の形成方法、及び電極膜の形成方法
JP2005340405A (ja) * 2004-05-26 2005-12-08 Asahi Denka Kogyo Kk 化学気相成長用原料及び薄膜の製造方法
JP2006080487A (ja) * 2004-09-09 2006-03-23 Lg Phillips Lcd Co Ltd 薄膜トランジスタアレイ基板及びその製造方法
JP2006097100A (ja) * 2004-09-30 2006-04-13 Tri Chemical Laboratory Inc 膜形成材料、膜形成方法、及び素子
JP2006097101A (ja) * 2004-09-30 2006-04-13 Tri Chemical Laboratory Inc 膜形成材料、膜形成方法、及び素子
JP2006097099A (ja) * 2004-09-30 2006-04-13 Tri Chemical Laboratory Inc 膜形成材料、膜形成方法、及び素子
US7030450B2 (en) 2002-08-12 2006-04-18 Samsung Electronics Co., Ltd. Precursor for hafnium oxide layer and method for forming halnium oxide film using the precursor
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
WO2006076987A1 (de) * 2004-12-18 2006-07-27 Aixtron Ag Verfahren zum selbstlimitierenden abscheiden ein oder mehrerer monolagen sowie dazu geeignete ausgangsstoffe
JP2006310865A (ja) * 2005-04-29 2006-11-09 Boc Group Inc:The 原子層堆積に溶液系前駆体を用いる方法及び装置
EP1635398A3 (en) * 2004-09-10 2006-12-06 North Carolina State University Semiconductor devices having an interfacial dielectric layer and related methods
US7153786B2 (en) 2004-01-13 2006-12-26 Samsung Electronics, Co., Ltd. Method of fabricating lanthanum oxide layer and method of fabricating MOSFET and capacitor using the same
JP2007012923A (ja) * 2005-06-30 2007-01-18 Toshiba Corp 半導体装置およびその製造方法
JP2007036089A (ja) * 2005-07-29 2007-02-08 Tdk Corp 下部電極構造
JP2007048926A (ja) * 2005-08-10 2007-02-22 Tokyo Electron Ltd W系膜の成膜方法、ゲート電極の形成方法、半導体装置の製造方法およびコンピュータ読取可能な記憶媒体
KR100696858B1 (ko) * 2005-09-21 2007-03-20 삼성전자주식회사 유기 알루미늄 전구체 및 이를 이용한 금속배선 형성방법
JP2007142415A (ja) * 2005-11-16 2007-06-07 Asm Internatl Nv Cvd又はaldによる膜の堆積のための方法
JP2007150242A (ja) * 2005-11-28 2007-06-14 Hynix Semiconductor Inc 半導体素子のキャパシタ製造方法
JP2008010881A (ja) * 2007-07-13 2008-01-17 Fujitsu Ltd 半導体装置の製造方法
JP2009079290A (ja) * 2007-07-13 2009-04-16 Rohm & Haas Electronic Materials Llc 前駆体組成物及び方法
US7563729B2 (en) 2003-01-17 2009-07-21 Fujitsu Microelectronics Limited Method of forming a dielectric film
JP2009218263A (ja) * 2008-03-07 2009-09-24 Elpida Memory Inc キャパシタ用電極及びその製造方法、半導体装置
JP2009228022A (ja) * 2008-03-19 2009-10-08 Nihon Ceratec Co Ltd 酸化物膜形成方法および酸化物被膜部材
JP2009239283A (ja) * 2008-03-24 2009-10-15 Air Products & Chemicals Inc 銅への改善された接着性および銅エレクトロマイグレーション耐性
JP2009545138A (ja) * 2006-07-21 2009-12-17 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
JP2010177305A (ja) * 2009-01-28 2010-08-12 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
JP2010245548A (ja) * 2002-12-09 2010-10-28 Imec Mosトランジスタ
JP2011071528A (ja) * 2006-06-02 2011-04-07 L'air Liquide-Sa Pour L'etude & L'exploitation Des Procedes Georges Claude 誘電体フィルムを形成する方法、新規前駆体および半導体製造におけるそれらの使用
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US8071167B2 (en) 2002-06-14 2011-12-06 Applied Materials, Inc. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8273409B2 (en) 2008-03-27 2012-09-25 Tokyo Electron Limited Method for film formation, apparatus for film formation, and computer-readable recording medium
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
JP2014022631A (ja) * 2012-07-20 2014-02-03 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
US8853075B2 (en) 2008-02-27 2014-10-07 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ALD) process
KR20150122659A (ko) * 2013-02-28 2015-11-02 어플라이드 머티어리얼스, 인코포레이티드 금속 아미드 증착 전구체 및 불활성 앰플 라이너에 의한 이들의 안정화
KR20150129288A (ko) 2014-05-07 2015-11-19 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US9234275B2 (en) 2012-12-11 2016-01-12 Tokyo Electron Limited Method and apparatus of forming metal compound film, and electronic product
US9404180B2 (en) 2010-03-16 2016-08-02 Tokyo Electron Limited Deposition device
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
JP2016526100A (ja) * 2013-05-24 2016-09-01 ユーピー ケミカル カンパニー リミテッド タングステン化合物を用いたタングステン−含有膜の蒸着方法及び前記タングステン化合物を含むタングステン−含有膜蒸着用前駆体組成物
JP2017034103A (ja) * 2015-08-03 2017-02-09 宇部興産株式会社 酸化アルミニウム膜の製造方法及び酸化アルミニウム膜の製造原料
KR20170127498A (ko) 2015-05-12 2017-11-21 다나카 기킨조쿠 고교 가부시키가이샤 이종 복핵 착체를 포함하는 화학 증착용 원료 및 해당 화학 증착용 원료를 사용한 화학 증착법
KR20170128583A (ko) 2015-05-12 2017-11-22 다나카 기킨조쿠 고교 가부시키가이샤 이종 복핵 착체를 포함하는 화학 증착용 원료 및 해당 화학 증착용 원료를 사용한 화학 증착법
JP2018538443A (ja) * 2015-11-25 2018-12-27 ユミコア・アクチエンゲゼルシャフト・ウント・コムパニー・コマンディットゲゼルシャフトUmicore AG & Co.KG 炭化水素中のアルキル−インジウム化合物の溶液を使用した有機金属気相堆積法
JP2019504509A (ja) * 2015-11-19 2019-02-14 ユージーンテック マテリアルズ カンパニー リミテッド 有機4族化合物を含む前駆体造成物及びそれを利用した薄膜形成方法
JP2019073787A (ja) * 2017-10-19 2019-05-16 株式会社村田製作所 成膜方法
CN110128668A (zh) * 2019-05-10 2019-08-16 太原理工大学 一种基于固载溶剂结合溶剂热和蒸汽相合成MOFs的方法
KR20200009073A (ko) * 2017-06-09 2020-01-29 가부시키가이샤 고준도가가쿠 겐큐쇼 화학 증착용 원료, 및, 화학 증착용 원료가 들어간 차광 용기 및 그 제조 방법
JP2020530199A (ja) * 2017-08-02 2020-10-15 シースター ケミカルズ アンリミティッド ライアビリティ カンパニー 高純度酸化スズの堆積のための有機金属化合物及び方法
KR20220136072A (ko) * 2021-03-31 2022-10-07 오션브릿지 주식회사 박막 성장 억제용 화합물 및 이를 이용한 박막 형성방법
WO2023090910A1 (ko) * 2021-11-18 2023-05-25 주식회사 한솔케미칼 유기 금속 화합물을 이용하여 박막을 형성하는 방법 및 이로부터 제조된 박막
WO2023102063A1 (en) * 2021-11-30 2023-06-08 L'air Liquide, Societe Anonyme Pourl'etude Et L'exploitation Des Procedes Georges Claude Deposition of noble metal islets or thin films for its use for electrochemical catalysts with improved catalytic activity
WO2023171489A1 (ja) * 2022-03-07 2023-09-14 株式会社Adeka 原子層堆積法用薄膜形成用原料、薄膜及び薄膜の製造方法

Families Citing this family (453)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7323581B1 (en) 1990-07-06 2008-01-29 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
KR100814980B1 (ko) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030036242A1 (en) * 2001-08-16 2003-02-20 Haining Yang Methods of forming metal-comprising materials and capacitor electrodes; and capacitor constructions
US20030098489A1 (en) * 2001-11-29 2003-05-29 International Business Machines Corporation High temperature processing compatible metal gate electrode for pFETS and methods for fabrication
US6893984B2 (en) * 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
KR100472730B1 (ko) * 2002-04-26 2005-03-08 주식회사 하이닉스반도체 원자층증착법을 이용한 반도체 소자의 금속전극 형성방법
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7094704B2 (en) * 2002-05-09 2006-08-22 Applied Materials, Inc. Method of plasma etching of high-K dielectric materials
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
JPWO2004027849A1 (ja) * 2002-09-20 2006-01-19 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7101813B2 (en) * 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
KR100523658B1 (ko) * 2002-12-30 2005-10-24 동부아남반도체 주식회사 구리 확산 장벽 제조 방법
KR100934550B1 (ko) * 2003-03-04 2009-12-29 삼성전자주식회사 금속필름 또는 패턴 형성용 유기금속 전구체 및 이를이용한 금속 필름 또는 패턴 형성방법
US7135369B2 (en) * 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
KR100885910B1 (ko) * 2003-04-30 2009-02-26 삼성전자주식회사 게이트 적층물에 oha막을 구비하는 비 휘발성 반도체메모리 장치 및 그 제조방법
US7164182B2 (en) 2003-07-07 2007-01-16 Micron Technology, Inc. Pixel with strained silicon layer for improving carrier mobility and blue response in imagers
US7048968B2 (en) * 2003-08-22 2006-05-23 Micron Technology, Inc. Methods of depositing materials over substrates, and methods of forming layers over substrates
CN100477107C (zh) * 2004-01-28 2009-04-08 东京毅力科创株式会社 基板处理装置的处理室净化方法、基板处理装置和基板处理方法
US7285308B2 (en) * 2004-02-23 2007-10-23 Advanced Technology Materials, Inc. Chemical vapor deposition of high conductivity, adherent thin films of ruthenium
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
JP4542807B2 (ja) * 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
KR100591157B1 (ko) * 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
KR100581993B1 (ko) 2004-06-09 2006-05-22 삼성전자주식회사 원자층 증착법을 이용한 물질 형성방법
US7202185B1 (en) * 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7601649B2 (en) * 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7081421B2 (en) * 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100514780B1 (ko) * 2004-12-10 2005-09-14 (주) 디엔에프솔루션 반도체 박막 증착용 화합물 및 이를 이용한 박막 증착 방법
US7235501B2 (en) * 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
KR100618879B1 (ko) 2004-12-27 2006-09-01 삼성전자주식회사 게르마늄 전구체, 이를 이용하여 형성된 gst 박막,상기 박막의 제조 방법 및 상변화 메모리 소자
KR100780631B1 (ko) * 2004-12-28 2007-11-29 주식회사 하이닉스반도체 티타늄산화막의 증착 방법 및 그를 이용한 캐패시터의제조 방법
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060172067A1 (en) * 2005-01-28 2006-08-03 Energy Conversion Devices, Inc Chemical vapor deposition of chalcogenide materials
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) * 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7572695B2 (en) * 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7439179B2 (en) * 2005-06-22 2008-10-21 Intel Corporation Healing detrimental bonds in deposited materials
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
DE102005033579A1 (de) * 2005-07-19 2007-01-25 H.C. Starck Gmbh Verfahren zur Herstellung dünner Hafnium- oder Zirkonnitrid-Schichten
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
EP1803789A1 (de) * 2005-12-28 2007-07-04 Novaled AG Verwendung von Metallkomplexen als Emitter in einem elektronischen Bauelement und elektronisches Bauelement
US7972974B2 (en) * 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) * 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
WO2007106788A2 (en) * 2006-03-10 2007-09-20 Advanced Technology Materials, Inc. Precursor compositions for atomic layer deposition and chemical vapor deposition of titanate, lanthanate, and tantalate dielectric films
WO2007115540A1 (de) * 2006-03-30 2007-10-18 Novaled Ag Verwendung von bora-tetraazapentalenen
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
CN101473382A (zh) 2006-05-12 2009-07-01 高级技术材料公司 相变化记忆体材料的低温沉积
EP1860709B1 (de) * 2006-05-24 2012-08-08 Novaled AG Verwendung von quadratisch planaren Übergangsmetallkomplexen als Dotand
DE102006027932A1 (de) * 2006-06-14 2007-12-20 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen
WO2007147020A2 (en) * 2006-06-15 2007-12-21 Advanced Technology Materials, Inc. Cobalt precursors useful for forming cobalt-containing films on substrates
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
DE102006030860A1 (de) 2006-07-04 2008-01-10 Universität Regensburg Oligomere von Isonitril-Metallkomplexen als Triplett-Emitter für OLED-Anwendungen
KR100757415B1 (ko) * 2006-07-13 2007-09-10 삼성전자주식회사 게르마늄 화합물 및 그 제조 방법, 상기 게르마늄 화합물을이용한 상변화 메모리 장치 및 그 형성 방법
KR100780865B1 (ko) * 2006-07-19 2007-11-30 삼성전자주식회사 상변화막을 포함하는 반도체 소자의 형성 방법
DE102006035018B4 (de) * 2006-07-28 2009-07-23 Novaled Ag Oxazol-Triplett-Emitter für OLED-Anwendungen
US7727908B2 (en) * 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
KR100829608B1 (ko) * 2006-08-30 2008-05-14 삼성전자주식회사 박막 제조 방법 및 이를 이용한 게이트 구조물 및커패시터의 제조 방법
US7776765B2 (en) * 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7544604B2 (en) * 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7759747B2 (en) * 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US8795771B2 (en) * 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
SG176449A1 (en) * 2006-11-02 2011-12-29 Advanced Tech Materials Antimony and germanium complexes useful for cvd/ald of metal thin films
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
KR100852234B1 (ko) * 2006-11-17 2008-08-13 삼성전자주식회사 금속 산화막의 형성 방법, 이를 이용한 게이트 구조물의제조 방법 및 커패시터의 제조 방법
WO2008088563A2 (en) * 2007-01-17 2008-07-24 Advanced Technology Materials, Inc. Precursor compositions for ald/cvd of group ii ruthenate thin films
US7901508B2 (en) * 2007-01-24 2011-03-08 Widetronix, Inc. Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US20080173239A1 (en) * 2007-01-24 2008-07-24 Yuri Makarov Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US20080214015A1 (en) * 2007-03-02 2008-09-04 Tim Boescke Semiconductor devices and methods of manufacture thereof
US20080254218A1 (en) 2007-04-16 2008-10-16 Air Products And Chemicals, Inc. Metal Precursor Solutions For Chemical Vapor Deposition
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법
FR2915315B1 (fr) * 2007-04-19 2009-06-26 St Microelectronics Crolles 2 Procede de fabrication d'un condensateur a stabilite elevee et condensateur correspondant.
JP2011511881A (ja) 2007-06-28 2011-04-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 二酸化ケイ素ギャップ充填材のための前駆体
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR101367141B1 (ko) * 2007-08-03 2014-02-25 삼성전자주식회사 유기 금속 전구체, 이를 이용한 박막의 형성 방법 및 금속배선의 제조 방법
WO2009020888A1 (en) * 2007-08-08 2009-02-12 Advanced Technology Materials, Inc. Strontium and barium precursors for use in chemical vapor deposition, atomic layer deposition and rapid vapor deposition
TWI471449B (zh) * 2007-09-17 2015-02-01 Air Liquide 用於gst膜沈積之碲前驅物
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
KR101458953B1 (ko) 2007-10-11 2014-11-07 삼성전자주식회사 Ge(Ⅱ)소오스를 사용한 상변화 물질막 형성 방법 및상변화 메모리 소자 제조 방법
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
JP5650880B2 (ja) * 2007-10-31 2015-01-07 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 非晶質Ge/Te蒸着方法
US20100279011A1 (en) * 2007-10-31 2010-11-04 Advanced Technology Materials, Inc. Novel bismuth precursors for cvd/ald of thin films
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US20090162973A1 (en) * 2007-12-21 2009-06-25 Julien Gatineau Germanium precursors for gst film deposition
JP5208537B2 (ja) * 2008-02-19 2013-06-12 株式会社東芝 不揮発性記憶素子
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
US20090214858A1 (en) * 2008-02-25 2009-08-27 Pilkington North America, Inc. Magnesium oxide coated glass article and a method for depositing magnesium oxide coatings on flat glass
KR20090095270A (ko) * 2008-03-05 2009-09-09 삼성전자주식회사 오믹 콘택막의 형성방법 및 이를 이용한 반도체 장치의금속배선 형성방법
DE102008015270A1 (de) * 2008-03-20 2009-10-15 Qimonda Ag Herstellungsverfahren einer leitfähigen Schicht für eine integrierte Schaltung
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US20090275198A1 (en) * 2008-05-01 2009-11-05 Smuruthi Kamepalli Vapor Phase Methods for Forming Electrodes in Phase Change Memory Devices
US8802194B2 (en) 2008-05-29 2014-08-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Tellurium precursors for film deposition
KR20110014160A (ko) * 2008-05-29 2011-02-10 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 필름 증착을 위한 텔루륨 전구체
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US7927986B2 (en) * 2008-07-22 2011-04-19 Varian Semiconductor Equipment Associates, Inc. Ion implantation with heavy halogenide compounds
US8236381B2 (en) * 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
US20100048018A1 (en) * 2008-08-25 2010-02-25 Varian Semiconductor Equipment Associates, Inc. Doped Layers for Reducing Electromigration
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8119037B2 (en) * 2008-10-16 2012-02-21 Novaled Ag Square planar transition metal complexes and organic semiconductive materials using them as well as electronic or optoelectric components
WO2010065874A2 (en) 2008-12-05 2010-06-10 Atmi High concentration nitrogen-containing germanium telluride based memory devices and processes of making
US9379011B2 (en) * 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8663735B2 (en) * 2009-02-13 2014-03-04 Advanced Technology Materials, Inc. In situ generation of RuO4 for ALD of Ru and Ru related materials
KR101676060B1 (ko) * 2009-03-17 2016-11-14 엔테그리스, 아이엔씨. 보조 금속 종과 함께 루테늄을 침착시키기 위한 방법 및 조성물
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
KR20160084491A (ko) 2009-05-22 2016-07-13 엔테그리스, 아이엔씨. 저온 gst 방법
KR20120042971A (ko) * 2009-07-14 2012-05-03 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 고온에서의 ⅳ족 금속 함유 막의 퇴적
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8691668B2 (en) 2009-09-02 2014-04-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Dihalide germanium(II) precursors for germanium-containing film depositions
US8507704B2 (en) * 2009-09-08 2013-08-13 Air Products And Chemicals, Inc. Liquid composition containing aminoether for deposition of metal-containing films
US20110124182A1 (en) * 2009-11-20 2011-05-26 Advanced Techology Materials, Inc. System for the delivery of germanium-based precursor
KR20120123126A (ko) 2010-02-03 2012-11-07 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 박막 증착용 칼코게나이드-함유 전구체, 그의 제조 방법 및 사용 방법
US8193027B2 (en) * 2010-02-23 2012-06-05 Air Products And Chemicals, Inc. Method of making a multicomponent film
WO2011115878A1 (en) * 2010-03-19 2011-09-22 Sigma-Aldrich Co. Methods for preparing thin fillms by atomic layer deposition using hydrazines
WO2011119175A1 (en) 2010-03-26 2011-09-29 Advanced Technology Materials, Inc. Germanium antimony telluride materials and devices incorporating same
US8551609B2 (en) * 2010-04-27 2013-10-08 Ppg Industries Ohio, Inc. Method of depositing niobium doped titania film on a substrate and the coated substrate made thereby
JP5696530B2 (ja) * 2010-05-01 2015-04-08 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
US9190609B2 (en) 2010-05-21 2015-11-17 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
US9373677B2 (en) 2010-07-07 2016-06-21 Entegris, Inc. Doping of ZrO2 for DRAM applications
US8420534B2 (en) * 2010-10-12 2013-04-16 Micron Technology, Inc. Atomic layer deposition of crystalline PrCaMnO (PCMO) and related methods
WO2012057884A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Nitrogen-containing ligands and their use in atomic layer deposition methods
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9721754B2 (en) * 2011-04-26 2017-08-01 Carl Zeiss Smt Gmbh Method and apparatus for processing a substrate with a focused particle beam
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9005705B2 (en) * 2011-09-14 2015-04-14 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method for the production of a substrate having a coating comprising copper, and coated substrate and device prepared by this method
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20200043526A (ko) 2011-12-20 2020-04-27 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
US20130183814A1 (en) * 2012-01-13 2013-07-18 Applied Materials, Inc. Method of depositing a silicon germanium tin layer on a substrate
TWI563539B (en) * 2012-01-18 2016-12-21 Sino American Silicon Prod Inc Composite substrate, manufacturing method thereof and light emitting device having the same
US8846543B2 (en) * 2012-05-24 2014-09-30 Jinhong Tong Methods of atomic layer deposition of hafnium oxide / erbium oxide bi-layer as advanced gate dielectrics
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US9540730B2 (en) * 2012-09-21 2017-01-10 Wayne State University Deposition of metal films based upon complementary reactions
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640757B2 (en) 2012-10-30 2017-05-02 Entegris, Inc. Double self-aligned phase change memory device structure
SG11201501107XA (en) 2012-11-19 2015-07-30 Adeka Corp Method for producing thin film containing molybdenum, thin film-forming starting material, and molybdenum imide compound
US10309010B2 (en) * 2013-01-31 2019-06-04 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014124056A1 (en) 2013-02-08 2014-08-14 Advanced Technology Materials, Inc. Ald processes for low leakage current and low equivalent oxide thickness bitao films
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9090964B2 (en) * 2013-12-19 2015-07-28 Intel Corporation Additives to improve the performance of a precursor source for cobalt deposition
JP6300533B2 (ja) * 2014-01-15 2018-03-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102008445B1 (ko) * 2014-02-26 2019-08-08 주식회사 유진테크 머티리얼즈 지르코늄 함유막 형성용 전구체 조성물 및 이를 이용한 지르코늄 함유막 형성 방법
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9663547B2 (en) 2014-12-23 2017-05-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US9499571B2 (en) 2014-12-23 2016-11-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR20160112778A (ko) * 2015-03-20 2016-09-28 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체
KR102147190B1 (ko) 2015-03-20 2020-08-25 에스케이하이닉스 주식회사 막형성조성물 및 그를 이용한 박막 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10011903B2 (en) * 2015-12-31 2018-07-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Manganese-containing film forming compositions, their synthesis, and use in film deposition
US9719167B2 (en) * 2015-12-31 2017-08-01 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10793947B2 (en) * 2016-08-14 2020-10-06 Entegris, Inc. Alloys of Co to reduce stress
US9847293B1 (en) * 2016-08-18 2017-12-19 Qualcomm Incorporated Utilization of backside silicidation to form dual side contacted capacitor
TWI700799B (zh) * 2016-10-04 2020-08-01 聯華電子股份有限公司 導電結構、包含導電結構之佈局結構以及導電結構之製作方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10106568B2 (en) 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10337101B2 (en) 2016-12-13 2019-07-02 The Boeing Company System and process for chemical vapor deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) * 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
TWI722301B (zh) * 2017-07-18 2021-03-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11584986B1 (en) * 2017-11-01 2023-02-21 The Board Of Trustees Of The University Of Illinois Area selective CVD of metallic films using precursor gases and inhibitors
KR102103346B1 (ko) * 2017-11-15 2020-04-22 에스케이트리켐 주식회사 박막 증착용 전구체 용액 및 이를 이용한 박막 형성 방법.
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI821363B (zh) 2018-08-31 2023-11-11 美商應用材料股份有限公司 前驅物遞送系統
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR102355507B1 (ko) * 2018-11-14 2022-01-27 (주)디엔에프 몰리브덴 함유 박막의 제조방법 및 이로부터 제조된 몰리브덴함유 박막
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102157137B1 (ko) 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
EP3766888A1 (en) * 2018-11-30 2021-01-20 Hansol Chemical Co., Ltd Silicon precursor and method of manufacturing silicon-containing thin film using the same
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7262912B2 (ja) * 2018-12-12 2023-04-24 エスケー トリケム カンパニー リミテッド 金属膜形成用前駆体組成物、これを用いた金属膜形成方法、及び前記金属膜を含む半導体素子
KR20200072407A (ko) 2018-12-12 2020-06-22 에스케이트리켐 주식회사 금속막 형성용 전구체 조성물, 이를 이용한 금속막 형성 방법 및 상기 금속막을 포함하는 반도체 소자.
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11462398B2 (en) * 2019-07-17 2022-10-04 International Business Machines Corporation Ligand selection for ternary oxide thin films
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
US11145740B2 (en) * 2019-07-23 2021-10-12 National Tsing Hua University Ferroelectric field effect transistor device
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR102639052B1 (ko) * 2019-10-14 2024-02-22 삼성전자주식회사 박막 형성 방법
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR102364476B1 (ko) * 2020-05-08 2022-02-18 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210399104A1 (en) * 2020-06-17 2021-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Triple Layer High-K Gate Dielectric Stack for Workfunction Engineering
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP2023542919A (ja) * 2020-09-25 2023-10-12 ラム リサーチ コーポレーション 堅牢なアッシング可能ハードマスク
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112974798B (zh) * 2021-02-05 2021-11-16 哈尔滨工业大学 一种铍粉无尘化处理的方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5204314A (en) * 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
US5820664A (en) * 1990-07-06 1998-10-13 Advanced Technology Materials, Inc. Precursor compositions for chemical vapor deposition, and ligand exchange resistant metal-organic precursor solutions comprising same
JPH0517142A (ja) 1990-09-07 1993-01-26 Nippon Telegr & Teleph Corp <Ntt> バリウム化合物薄膜の製造方法
JP3083868B2 (ja) 1991-05-01 2000-09-04 ティーディーケイ株式会社 ビスアセチルアセトナト亜鉛の製造方法
US5231061A (en) * 1991-06-10 1993-07-27 The Dow Chemical Company Process for making coated ceramic reinforcement whiskers
JPH05136063A (ja) 1991-09-20 1993-06-01 Nippon Telegr & Teleph Corp <Ntt> バリウム化合物およびそれを用いた薄膜の製造法
US5382817A (en) * 1992-02-20 1995-01-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having a ferroelectric capacitor with a planarized lower electrode
JP3095727B2 (ja) 1992-09-22 2000-10-10 三菱電機株式会社 チタン酸化物系誘電体薄膜用cvd原料およびメモリー用キャパシタ
US5393564A (en) * 1993-05-14 1995-02-28 Micron Semiconductor, Inc. High efficiency method for performing a chemical vapor deposition utilizing a nonvolatile precursor
JP3230389B2 (ja) 1993-09-20 2001-11-19 三菱マテリアル株式会社 銅薄膜形成用有機銅化合物とそれを用いた銅薄膜選択成長法
JPH07130654A (ja) 1993-11-05 1995-05-19 Kawasaki Steel Corp 有機金属化合物混合液及びそれを用いた薄膜の形成方法
US6780718B2 (en) * 1993-11-30 2004-08-24 Stmicroelectronics, Inc. Transistor structure and method for making same
KR0144956B1 (ko) * 1994-06-10 1998-08-17 김광호 반도체 장치의 배선 구조 및 그 형성방법
JP3461913B2 (ja) * 1994-06-20 2003-10-27 株式会社ブリヂストン 防振装置
GB9500330D0 (en) * 1995-01-09 1995-03-01 Pilkington Plc Coatings on glass
US6214105B1 (en) * 1995-03-31 2001-04-10 Advanced Technology Materials, Inc. Alkane and polyamine solvent compositions for liquid delivery chemical vapor deposition
US5783716A (en) * 1996-06-28 1998-07-21 Advanced Technology Materials, Inc. Platinum source compositions for chemical vapor deposition of platinum
JP2822946B2 (ja) 1995-07-31 1998-11-11 三菱マテリアル株式会社 高純度Ti錯体及びその製造方法並びにBST膜形成用液体組成物
US5650361A (en) * 1995-11-21 1997-07-22 The Aerospace Corporation Low temperature photolytic deposition of aluminum nitride thin films
JPH10102253A (ja) 1996-09-27 1998-04-21 Kojundo Chem Lab Co Ltd フッ素含有シリコン酸化膜の製造方法
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6067244A (en) * 1997-10-14 2000-05-23 Yale University Ferroelectric dynamic random access memory
JPH11255784A (ja) 1998-01-09 1999-09-21 Tokyo Ohka Kogyo Co Ltd チタン錯体およびその合成方法
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
JP3680542B2 (ja) 1998-02-09 2005-08-10 三菱マテリアル株式会社 Mocvdに適した有機チタン化合物
JP3334605B2 (ja) 1998-05-07 2002-10-15 三菱電機株式会社 電極形成用cvd原料、およびそれを用いて形成されたキャパシタ用電極、配線膜
JP4069224B2 (ja) 1998-05-29 2008-04-02 株式会社高純度化学研究所 化学気相成長用ビスマスターシャリアルコキシド原料 溶液及びそれを用いたビスマス層状酸化物薄膜の製造 方法
US6048790A (en) * 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
JP2000044240A (ja) 1998-07-30 2000-02-15 Asahi Denka Kogyo Kk チタン酸ビスマス強誘電体膜
JP4225607B2 (ja) 1998-08-06 2009-02-18 株式会社Adeka ビスマス含有複合金属酸化膜の製造方法
US6541067B1 (en) * 1998-08-27 2003-04-01 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide and method of using same
US6225237B1 (en) * 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
US6214729B1 (en) * 1998-09-01 2001-04-10 Micron Technology, Inc. Metal complexes with chelating C-, N-donor ligands for forming metal-containing films

Cited By (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6638810B2 (en) 2000-02-22 2003-10-28 Applied Materials, Inc. Tantalum nitride CVD deposition by tantalum oxide densification
US6831004B2 (en) 2000-06-27 2004-12-14 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
JP2002367982A (ja) * 2001-05-07 2002-12-20 Samsung Electronics Co Ltd 多成分系薄膜及びその形成方法
KR101018967B1 (ko) * 2002-03-13 2011-03-02 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 도핑 및 소결을 동시에 행함으로써 박막층을 형성하기위한 방법
JP2005520338A (ja) * 2002-03-13 2005-07-07 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド ドープとアニールを同時に行うことにより薄膜層を形成するための方法
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7794789B2 (en) 2002-04-16 2010-09-14 Applied Materials, Inc. Multi-station deposition apparatus and method
US7547465B2 (en) 2002-04-16 2009-06-16 Applied Materials, Inc. Multi-station deposition apparatus and method
JP2004161602A (ja) * 2002-06-10 2004-06-10 Interuniv Micro Electronica Centrum Vzw Hf2および第2の化合物を含む組成物、その使用、そのデバイス、および基板上に誘電性層を形成する方法
JP4614639B2 (ja) * 2002-06-10 2011-01-19 アイメック Hf含有組成物の誘電率(k値)増進
US8071167B2 (en) 2002-06-14 2011-12-06 Applied Materials, Inc. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
JP2004031760A (ja) * 2002-06-27 2004-01-29 Nec Corp 半導体装置およびその製造方法
WO2004004014A1 (ja) * 2002-06-27 2004-01-08 Nec Corporation 半導体装置およびその製造方法
JP4643884B2 (ja) * 2002-06-27 2011-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8125016B2 (en) 2002-06-27 2012-02-28 Renesas Electronics Corporation Semiconductor device and its manufacturing method
CN100367513C (zh) * 2002-06-27 2008-02-06 日本电气株式会社 在硅衬底上层叠栅极绝缘膜和栅极电极的半导体器件及其制造方法
US8575677B2 (en) 2002-06-27 2013-11-05 Renesas Electronics Corporation Semiconductor device and its manufacturing method
JP2004040110A (ja) * 2002-07-08 2004-02-05 Samsung Electronics Co Ltd 原子層堆積法によって基板に二酸化シリコン層を堆積する方法
US7030450B2 (en) 2002-08-12 2006-04-18 Samsung Electronics Co., Ltd. Precursor for hafnium oxide layer and method for forming halnium oxide film using the precursor
US7399716B2 (en) 2002-08-12 2008-07-15 Samsung Electronics Co., Ltd. Precursor for hafnium oxide layer and method for forming hafnium oxide film using the precursor
JP2005537645A (ja) * 2002-08-28 2005-12-08 マイクロン テクノロジー,インコーポレイティド ジルコニウムおよび/またはハフニウム含有層を形成するシステムおよび方法
WO2004020691A3 (en) * 2002-08-28 2004-07-08 Micron Technology Inc Systems and methods for forming zirconium and/or hafnium-containing layers
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US7410918B2 (en) 2002-08-28 2008-08-12 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US9184061B2 (en) 2002-08-28 2015-11-10 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
KR20040024658A (ko) * 2002-09-16 2004-03-22 주식회사 메카로닉스 원자층 증착법에 의한 루세늄 박막 제조 방법 및 그박막을 이용한 커패시터
JP2004153238A (ja) * 2002-10-31 2004-05-27 Sharp Corp CMOSアプリケーション用の多重高κゲート誘電体を堆積する方法
JP2010245548A (ja) * 2002-12-09 2010-10-28 Imec Mosトランジスタ
US7563729B2 (en) 2003-01-17 2009-07-21 Fujitsu Microelectronics Limited Method of forming a dielectric film
JP2004250431A (ja) * 2003-01-27 2004-09-09 Mitsubishi Materials Corp 有機金属化合物及びその合成方法並びに該化合物を含む溶液原料、金属含有薄膜
US7153786B2 (en) 2004-01-13 2006-12-26 Samsung Electronics, Co., Ltd. Method of fabricating lanthanum oxide layer and method of fabricating MOSFET and capacitor using the same
JP4974676B2 (ja) * 2004-04-12 2012-07-11 株式会社アルバック バリア膜の形成方法
WO2005101473A1 (ja) * 2004-04-12 2005-10-27 Ulvac, Inc. バリア膜の形成方法、及び電極膜の形成方法
US7419904B2 (en) 2004-04-12 2008-09-02 Ulvac Inc. Method for forming barrier film and method for forming electrode film
CN100370585C (zh) * 2004-04-12 2008-02-20 株式会社爱发科 隔离膜的形成方法及电极膜的形成方法
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
WO2005116292A1 (ja) * 2004-05-26 2005-12-08 Adeka Corporation 化学気相成長用原料及び薄膜の製造方法
JP2005340405A (ja) * 2004-05-26 2005-12-08 Asahi Denka Kogyo Kk 化学気相成長用原料及び薄膜の製造方法
JP2006080487A (ja) * 2004-09-09 2006-03-23 Lg Phillips Lcd Co Ltd 薄膜トランジスタアレイ基板及びその製造方法
US7507629B2 (en) 2004-09-10 2009-03-24 Gerald Lucovsky Semiconductor devices having an interfacial dielectric layer and related methods
EP1635398A3 (en) * 2004-09-10 2006-12-06 North Carolina State University Semiconductor devices having an interfacial dielectric layer and related methods
JP2006097099A (ja) * 2004-09-30 2006-04-13 Tri Chemical Laboratory Inc 膜形成材料、膜形成方法、及び素子
JP4592373B2 (ja) * 2004-09-30 2010-12-01 株式会社トリケミカル研究所 導電性モリブデンナイトライドゲート電極膜の形成方法
JP2006097100A (ja) * 2004-09-30 2006-04-13 Tri Chemical Laboratory Inc 膜形成材料、膜形成方法、及び素子
JP2006097101A (ja) * 2004-09-30 2006-04-13 Tri Chemical Laboratory Inc 膜形成材料、膜形成方法、及び素子
JP4591917B2 (ja) * 2004-09-30 2010-12-01 株式会社トリケミカル研究所 導電性モリブデンナイトライド膜形成方法
WO2006076987A1 (de) * 2004-12-18 2006-07-27 Aixtron Ag Verfahren zum selbstlimitierenden abscheiden ein oder mehrerer monolagen sowie dazu geeignete ausgangsstoffe
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR101332877B1 (ko) * 2005-04-29 2013-11-25 더 비오씨 그룹 인코포레이티드 원자층 증착을 위한 용액계 전구체를 사용하는 방법 및장치
JP2006310865A (ja) * 2005-04-29 2006-11-09 Boc Group Inc:The 原子層堆積に溶液系前駆体を用いる方法及び装置
JP4679270B2 (ja) * 2005-06-30 2011-04-27 株式会社東芝 半導体装置およびその製造方法
JP2007012923A (ja) * 2005-06-30 2007-01-18 Toshiba Corp 半導体装置およびその製造方法
JP4548262B2 (ja) * 2005-07-29 2010-09-22 Tdk株式会社 下部電極構造
JP2007036089A (ja) * 2005-07-29 2007-02-08 Tdk Corp 下部電極構造
JP2007048926A (ja) * 2005-08-10 2007-02-22 Tokyo Electron Ltd W系膜の成膜方法、ゲート電極の形成方法、半導体装置の製造方法およびコンピュータ読取可能な記憶媒体
KR100696858B1 (ko) * 2005-09-21 2007-03-20 삼성전자주식회사 유기 알루미늄 전구체 및 이를 이용한 금속배선 형성방법
JP2007142415A (ja) * 2005-11-16 2007-06-07 Asm Internatl Nv Cvd又はaldによる膜の堆積のための方法
JP2007150242A (ja) * 2005-11-28 2007-06-14 Hynix Semiconductor Inc 半導体素子のキャパシタ製造方法
US7825043B2 (en) 2005-11-28 2010-11-02 Hynix Semiconductor Inc. Method for fabricating capacitor in semiconductor device
DE102006030707B4 (de) * 2005-11-28 2011-06-22 Hynix Semiconductor Inc., Kyonggi Verfahren zur Herstellung eines Kondensators in einem Halbleiterbauelement
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
JP2014039045A (ja) * 2006-06-02 2014-02-27 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude 誘電体フィルムを形成する方法、新規前駆体および半導体製造におけるそれらの使用
JP2011071528A (ja) * 2006-06-02 2011-04-07 L'air Liquide-Sa Pour L'etude & L'exploitation Des Procedes Georges Claude 誘電体フィルムを形成する方法、新規前駆体および半導体製造におけるそれらの使用
US8399056B2 (en) 2006-06-02 2013-03-19 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
JP2009545138A (ja) * 2006-07-21 2009-12-17 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
JP2009079290A (ja) * 2007-07-13 2009-04-16 Rohm & Haas Electronic Materials Llc 前駆体組成物及び方法
JP2008010881A (ja) * 2007-07-13 2008-01-17 Fujitsu Ltd 半導体装置の製造方法
KR101498499B1 (ko) * 2007-07-13 2015-03-04 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 전구체 조성물 및 방법
US8853075B2 (en) 2008-02-27 2014-10-07 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ALD) process
JP2009218263A (ja) * 2008-03-07 2009-09-24 Elpida Memory Inc キャパシタ用電極及びその製造方法、半導体装置
JP2009228022A (ja) * 2008-03-19 2009-10-08 Nihon Ceratec Co Ltd 酸化物膜形成方法および酸化物被膜部材
JP2009239283A (ja) * 2008-03-24 2009-10-15 Air Products & Chemicals Inc 銅への改善された接着性および銅エレクトロマイグレーション耐性
US9062374B2 (en) 2008-03-27 2015-06-23 Tokyo Electron Limited Method for film formation, apparatus for film formation, and computer-readable recording medium
US8273409B2 (en) 2008-03-27 2012-09-25 Tokyo Electron Limited Method for film formation, apparatus for film formation, and computer-readable recording medium
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8043907B2 (en) 2008-03-31 2011-10-25 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
JP2010177305A (ja) * 2009-01-28 2010-08-12 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
US9404180B2 (en) 2010-03-16 2016-08-02 Tokyo Electron Limited Deposition device
JP2014022631A (ja) * 2012-07-20 2014-02-03 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
US9234275B2 (en) 2012-12-11 2016-01-12 Tokyo Electron Limited Method and apparatus of forming metal compound film, and electronic product
KR20150122659A (ko) * 2013-02-28 2015-11-02 어플라이드 머티어리얼스, 인코포레이티드 금속 아미드 증착 전구체 및 불활성 앰플 라이너에 의한 이들의 안정화
JP2016513185A (ja) * 2013-02-28 2016-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 金属アミド堆積前駆体及び不活性アンプルライナによるそれらの安定化
KR102271767B1 (ko) * 2013-02-28 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 금속 아미드 증착 전구체 및 불활성 앰플 라이너에 의한 이들의 안정화
JP2016526100A (ja) * 2013-05-24 2016-09-01 ユーピー ケミカル カンパニー リミテッド タングステン化合物を用いたタングステン−含有膜の蒸着方法及び前記タングステン化合物を含むタングステン−含有膜蒸着用前駆体組成物
KR20150129288A (ko) 2014-05-07 2015-11-19 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US9540733B2 (en) 2014-05-07 2017-01-10 Tokyo Electron Limited Film forming method, film forming apparatus and recording medium
US10526698B2 (en) 2015-05-12 2020-01-07 Tanaka Kikinzoku K.K. Chemical deposition raw material including heterogeneous polynuclear complex and chemical deposition method using the chemical deposition raw material
US10407450B2 (en) 2015-05-12 2019-09-10 Tanaka Kikinzoku Kogyo K.K. Heterogeneous polynuclear complex for use in the chemical deposition of composite metal or metal compound thin films
KR20170127498A (ko) 2015-05-12 2017-11-21 다나카 기킨조쿠 고교 가부시키가이샤 이종 복핵 착체를 포함하는 화학 증착용 원료 및 해당 화학 증착용 원료를 사용한 화학 증착법
KR20170128583A (ko) 2015-05-12 2017-11-22 다나카 기킨조쿠 고교 가부시키가이샤 이종 복핵 착체를 포함하는 화학 증착용 원료 및 해당 화학 증착용 원료를 사용한 화학 증착법
JP2017034103A (ja) * 2015-08-03 2017-02-09 宇部興産株式会社 酸化アルミニウム膜の製造方法及び酸化アルミニウム膜の製造原料
JP2019504509A (ja) * 2015-11-19 2019-02-14 ユージーンテック マテリアルズ カンパニー リミテッド 有機4族化合物を含む前駆体造成物及びそれを利用した薄膜形成方法
JP2018538443A (ja) * 2015-11-25 2018-12-27 ユミコア・アクチエンゲゼルシャフト・ウント・コムパニー・コマンディットゲゼルシャフトUmicore AG & Co.KG 炭化水素中のアルキル−インジウム化合物の溶液を使用した有機金属気相堆積法
JP7197357B2 (ja) 2015-11-25 2022-12-27 ユミコア・アクチエンゲゼルシャフト・ウント・コムパニー・コマンディットゲゼルシャフト 炭化水素中のアルキル-インジウム化合物の溶液を使用した有機金属気相堆積法
KR102367495B1 (ko) * 2017-06-09 2022-02-23 가부시키가이샤 고준도가가쿠 겐큐쇼 화학 증착용 원료, 및, 화학 증착용 원료가 들어간 차광 용기 및 그 제조 방법
US11655538B2 (en) 2017-06-09 2023-05-23 Kojundo Chemical Laboratory Co., Ltd. Precursor for chemical vapor deposition, and light-blocking container containing precursor for chemical vapor deposition and method for producing the same
KR20200009073A (ko) * 2017-06-09 2020-01-29 가부시키가이샤 고준도가가쿠 겐큐쇼 화학 증착용 원료, 및, 화학 증착용 원료가 들어간 차광 용기 및 그 제조 방법
JP2020530199A (ja) * 2017-08-02 2020-10-15 シースター ケミカルズ アンリミティッド ライアビリティ カンパニー 高純度酸化スズの堆積のための有機金属化合物及び方法
JP2019073787A (ja) * 2017-10-19 2019-05-16 株式会社村田製作所 成膜方法
CN110128668A (zh) * 2019-05-10 2019-08-16 太原理工大学 一种基于固载溶剂结合溶剂热和蒸汽相合成MOFs的方法
KR20220136072A (ko) * 2021-03-31 2022-10-07 오션브릿지 주식회사 박막 성장 억제용 화합물 및 이를 이용한 박막 형성방법
KR102643460B1 (ko) * 2021-03-31 2024-03-05 오션브릿지 주식회사 박막 성장 억제용 화합물 및 이를 이용한 박막 형성방법
WO2023090910A1 (ko) * 2021-11-18 2023-05-25 주식회사 한솔케미칼 유기 금속 화합물을 이용하여 박막을 형성하는 방법 및 이로부터 제조된 박막
WO2023102063A1 (en) * 2021-11-30 2023-06-08 L'air Liquide, Societe Anonyme Pourl'etude Et L'exploitation Des Procedes Georges Claude Deposition of noble metal islets or thin films for its use for electrochemical catalysts with improved catalytic activity
WO2023171489A1 (ja) * 2022-03-07 2023-09-14 株式会社Adeka 原子層堆積法用薄膜形成用原料、薄膜及び薄膜の製造方法

Also Published As

Publication number Publication date
KR20010098415A (ko) 2001-11-08
TWI240011B (en) 2005-09-21
US6984591B1 (en) 2006-01-10

Similar Documents

Publication Publication Date Title
JP2002060944A (ja) 前駆原料混合物、膜付着方法、及び構造の形成
US6203613B1 (en) Atomic layer deposition with nitrate containing precursors
KR102636173B1 (ko) 원자층 증착에 의해 기판 상에 전이 금속 니오븀 질화물막을 형성하기 위한 방법 및 관련 반도체 소자 구조물
US10651031B2 (en) Tantalum compound
US9677175B2 (en) ALD of metal-containing films using cyclopentadienyl compounds
US7416994B2 (en) Atomic layer deposition systems and methods including metal beta-diketiminate compounds
KR102013442B1 (ko) 티타늄 카바이드 막들을 위한 실란 및 보란 처리들
KR100385947B1 (ko) 원자층 증착 방법에 의한 박막 형성 방법
US7501344B2 (en) Formation of boride barrier layers using chemisorption techniques
US11139383B2 (en) Titanium aluminum and tantalum aluminum thin films
US20080277790A1 (en) Semiconductor Device
JP2005002099A (ja) 金属含有膜のための前駆体
JP2010508661A (ja) 金属炭化物膜の気相成長
WO2008078296A1 (en) Method for the deposition of a ruthenium containing film with aryl and diene containing complexes
CN113862635A (zh) 形成材料层的方法、半导体装置和制造半导体装置的方法
WO2009068454A1 (en) Metal-organic compounds containing an amidinate ligand and their use of vapour phase deposition of metal containing thin films
KR102627457B1 (ko) 나이오븀 화합물과 이를 이용하는 박막 형성 방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040210

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20040305

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20040305

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040414

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040525

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20040722

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20041019