KR102013442B1 - 티타늄 카바이드 막들을 위한 실란 및 보란 처리들 - Google Patents

티타늄 카바이드 막들을 위한 실란 및 보란 처리들 Download PDF

Info

Publication number
KR102013442B1
KR102013442B1 KR1020140029914A KR20140029914A KR102013442B1 KR 102013442 B1 KR102013442 B1 KR 102013442B1 KR 1020140029914 A KR1020140029914 A KR 1020140029914A KR 20140029914 A KR20140029914 A KR 20140029914A KR 102013442 B1 KR102013442 B1 KR 102013442B1
Authority
KR
South Korea
Prior art keywords
silane
film
borane
thin film
titanium
Prior art date
Application number
KR1020140029914A
Other languages
English (en)
Other versions
KR20140113484A (ko
Inventor
제리 첸
블라디미르 마흐카오우챤
브레난 밀리건
잰 윌럼 매스
수비 하우카
에릭 셰로
톰 이. 블룸버그
동 리
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20140113484A publication Critical patent/KR20140113484A/ko
Application granted granted Critical
Publication of KR102013442B1 publication Critical patent/KR102013442B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

실란/보란 에이전트로, 티타늄 카바이드를 포함하는 막들과 같은, 금속-함유 박막들을 처리하는 방법들이 제공된다. 일부 실시예들에서, 티타늄 카바이드를 포함하는 막이 원자층 퇴적(ALD) 공정에 의해 기판 상에 퇴적된다. 상기 공정은 티타늄 할라이드 및 적어도 하나의 할라이드 리간드를 포함하는 제1 소오스 케미컬, 금속과 카본을 포함하는 제2 소오스 케미컬, 및 제3 소오스 케미컬의 교대적이며 순차적인 펄스들을 포함하는 복수의 퇴적 사이클들을 포함할 수 있으며, 상기 제2 소오스 케미컬로부터의 상기 금속 및 카본은 상기 박막 속으로 결합되며, 상기 제3 소오스 케미컬은 상기 제1 및 제2 소오스 케미컬들에 의해 형성된 상기 티타늄 카바이드 층의 산화된 부분들을 적어도 부분적으로 감소시키는 실란 또는 보란일 수있다. 일부 실시예들에서의 처리는 상기 금속 카바이드 막 상에 캡핑 층을 형성한다.

Description

티타늄 카바이드 막들을 위한 실란 및 보란 처리들{Silane and borane treatments for titanium carbide films}
본 발명은 일반적으로 원자층 퇴적에 의해 기판 상에 금속 카바이드 박막들을 생성하기 위한 공정들에 관한 것이다. 일부 실시예들에서, 여기에 개시된 원자층 퇴적(ALD) 공정들에 의해 생성된 티타늄 카바이드 막들이, n-채널 MOSFET들(NMOS)과 같은 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET)들에서 금속 게이트 및 금속 전극 응용들에서 사용될 수 있다.
원자층 퇴적(ALD)은 일반적으로 자기-제한적(self-limiting) 공정으로서, 반응 전구체들의 교대적인(alternated) 펄스들이 기판 표면을 포화시키며 그리고 펄스당 대략 하나보다 많지 않은 단층의 물질을 남긴다. 하나의 펄스에서 흡착된 층은 동일한 펄스의 기체 상태 반응물질들과 비반응성인 표면 터미네이션(termination)을 남기도록, 퇴적 조건들 및 전구체들이 자기-포화적 반응들을 제공하기 위해 선택된다. 후속되는 다른 반응물질들의 펄스는 연속적인 퇴적이 가능하도록 이전의 터미네이션과 반응한다. 따라서, 교대적인 펄스들의 각 사이클은 대략 하나보다 많지 않은 단층의 원하는 물질을 남긴다. ALD형 공정들의 원리들은 Suntola에 의해 예를 들어, 핸드북(Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp.601-663, Elsevier Science B.V. 1994)에 제시되었으며, 그 개시 내용은 참조로서 여기에 통합된다.
박막들을 퇴적하기 위한 전형적인 ALD 공정에서, 하나의 퇴적 사이클은 상기 기판을 제1 전구체에 노출시키는 단계, 상기 반응 챔버로부터 미반응된 제1 반응물질과 반응 부산물들을 제거하는 단계, 상기 기판을 제2 전구체에 노출시키는 단계, 후속되는 제2 제거 단계를 포함한다. 전형적으로, TiCl4 및 HfCl4와 같은 할라이드(halide) 전구체들이 비싸지 않고 상대적으로 안정적이지만, 동시에 다른 형태의 표면 그룹들에 대하여 반응적이기 때문에 ALD 퇴적에서 전구체들로서 사용된다. H20 및 NH3가 제2 전구체들로서 각기 옥사이드 및 나이트라이드 퇴적을 위해 널리 사용된다.
ALD 공정들은 전형적으로 동일한 퇴적 온도에서 화학 기상 증착(CVD) 공정들보다 낮은 불순물 함량을 갖는 박막들을 생성한다. ALD 막들에서 보다 낮은 불순물 수준들에도 불구하고, ALD 막들에서 불순물 함량은 여전히 문제가 될 수 있다. ALD에 의해 퇴적된 박막들에서 불순물들의 존재에 대한 여러 가능한 이유들이 있다. 일부 경우들에서는, 반도체 공정 플로우는 필연적으로 최대 퇴적 온도를 제한하여서, 일부 잔류물들이 막에 남게 된다. 상대적으로 낮은 온도들에서 클로라이드 또는 다른 할라이드-함유 전구체들(예를 들어, WF6)로부터 퇴적된 ALD 막들은 상대적으로 높은 수준의 할라이드 잔류물들을 포함할 수 있다. 할라이드 불순물들은 주로 경계들에서 존재하며, 이것이 또한 문제들을 유도할 수 있다. 일부 경우들에서는, 할라이드 함유 전구체들로부터 천이금속 나이트라이드들 및 천이금속 카바이드들의 저온 퇴적과 같이, 불순물 함량들은 일부 집적회로(IC) 응용들에 대하여 수용 한계를 넘을 수 있다. 다른 예에서는, 일부 응용들에서 비정질 막들이 요구되며, 이것은 성장 온도를 제한한다.
일부 ALD 공정들에서, Ti, Al 및 C를 포함하는 퇴적 층은 바람직하지 않게도 물 및 공기와 같은 오염원들에 의해 산화될 수 있다. NMOS 응용들에서, 이러한 층 또는 박막의 산화는 일함수(workfunction)에서의 쉬프트를, 예를 들어 N-형에서 P-형으로, 유도할 수도 있다.
본 발명은 종래 기술의 문제점을 감안하여, 원자층 퇴적에 의해 기판 상에 개선된 금속 카바이드 박막들을 생성하기 위한 공정 및 방법들을 제공하는 것이다.
본 발명의 일부 실시예들에 따라, 유기 실란(organosilane), 유기 보란(organoborane), 실란, 또는 보란(일반적으로 여기에서 "실란/보란 에이전트"로서 언급됨)은 할라이드-함유 전구체로부터 금속 카바이드를 포함하는 보론- 또는 실리콘-함유 막을 퇴적하기 위하여, 또는 금속 카바이드를 포함하는 퇴적된 막을 처리함에 있어서 원자층 퇴적(ALD) 공정들에서 활용되어 진다. 상기 실란/보란 에이전트는 퇴적 사이클 동안 또는 후에 펄스될 수도 있으며, 또는 일부 또는 모든 사이클들이 완료된 후에 박막에 적용될 수도 있다. 일부 실시예들에서, 상기 실란/보란 에이전트는 금속 막의 산화된 부분들을 감소시키기 위한 역할을 할 수도 있다. 일부 실시예들에서, 상기 실란/보란 에이전트는 상기 막 자체 또는 상기 처리된 막 위로 후속적으로 퇴적된 막들의 부가적인 산화를 적어도 부분적으로 방지하기 위한 장벽을 형성할 수도 있다. 일부 실시예들에서, 상기 실란/보란 에이전트는 후속되는 공기로의 노출로부터 오는 산소와 같은, 막 내의 보다 깊은 곳으로부터의 산소를 게더링(gettering)하는 데 도움이 될 수 있다.
일부 실시예들에서, 상기 실란/보란 처리는 실리콘 또는 보란을 포함하는 캡핑 층을 형성할 수도 있다. 일부 실시예들에서, 상기 캡핑 층은 실리콘 또는 보론을 포함하는 금속 카바이드 층의 일부를 포함할 수도 있다. 일부 실시예들에서, 상기 캡핑 층은 상기 금속 카바이드 층 상에 직접 형성될 수 있다. 일부 실시예들에서, 상기 캡핑 층은 실리콘 또는 보론을 포함하는 금속 카바이드 막의 일부 뿐만 아니라 상기 금속 카바이드 층 상에 형성된 실리콘 또는 보론을 포함하는 층을 포함한다.
일부 경우들에서, 상기 실란/보란 에이전트 처리의 장벽 효과는 또한 상기 금속 카바이드 막의 상기 실란/보란 처리 후에 퇴적된 하나 또는 그 이상의 층들의 산화를 방지 또는 제한할 수도 있다. 예를 들어, 티타늄 카바이드 막과 같은 금속 카바이드 막의 형성 또는 처리에서 실란/보란 에이전트의 사용은, 비록 제2 막이 스스로 실란/보란 에이전트로 처리되지 않는다 하여도, 나이트라이드 막(예를 들어, TiN)과 같은 상기 티타늄 카바이드 막 위로 퇴적된 제2 막의 산화를 제한할 수도 있다. 후속하여 퇴적된 부가적인 막들은 또한 상기 금속 카바이드 층의 상기 실란/보란 에이전트 처리에 의해 산화로부터 보호될 수도 있다.
그러나, 일부 실시예들에서, 티나늄 나이트라이드 막, 하프늄 옥사이드 막, 실리콘 또는 실리콘 옥사이드 막, 또는 텅스텐 막과 같은 상기 금속 카바이드 후에 퇴적된 부가적인 막들은, 상기 처리된 금속 카바이드 막들에 의해 향유되는 장점들의 적어도 일부를 얻기 위해 스스로 실란/보란 에이전트로 처리된다.
상기 실란/보란 에이전트 노출의 기간은 원하는 결과를 얻기 위해 제어될 수 있다. 예를 들어, 노출의 기간은 상기 금속 막과의 상호반응의 원하는 수준 및 상기 막 속으로의 확산 또는 침투의 원하는 깊이에 기초할 수 있다. 일부 실시예들에서, 노출의 기간은 원하는 두께 및/또는 조성의 캡핑 층을 형성하기 위해 제어된다.
일부 실시예들에서, 상기 실란/보란은 유기 실란들 및 유기 보란들, 모노실란, 디실란, 트리실란, 보란, 디보란 및 트리보란으로 구성된 그룹으로부터 선택된다. 상기 실란/보란 에이전트는 상기 퇴적 공정 동안, 또는 일부 또는 모든 사이클들의 완료 후에 간격을 두고 각 ALD 사이클에 제공될 수도 있다. 일부 실시예들에서, 상기 실란/보란 에이전트는 기체 형태로 상기 기판에 제공될 수도 있다. 일부 실시예들에서, 트리실란과 같은 상기 실란/보란 에이전트는 액체 형태로 상기 기판에 적용될 수도 있다.
일부 실시예들에서, 티타늄-카바이드 박막을 형성하기 위한 ALD 공정들이 개시된다. 상기 공정들은 적어도 하나의 할라이드 리간드를 포함하는 티타늄 소오스 케미컬, 금속 및 카본을 포함하는 제2 소오스 케미컬, 및 제3 소오스 케미컬의 교대적이며 순차적인 펄스들로 반응 공간에서 기판을 접촉시키는 단계를 포함할 수도 있으며, 상기 제3 소오스 케미컬은 실란/보란이다. 아래에서 보다 상세하게 논의되는 바와 같이, 상기 제3 소오스 케미컬은 각 퇴적 사이클의 일부로서, 단지 일부 사이클들의 일부로서, 또는 모든 사이클들이 완료된 후에 적용될 수도 있다. 상기 제2 소오스 케미컬은 유기 리간드를 포함할 수도 있으며, 일부 실시예들에서는 TMA(trimethylaluminum) 또는 TEA(triethylaluminum)일 수도 있다. 일부 실시예들에서, 상기 제2 소오스 케미컬은 디메틸알루미늄하이드라이드(DMAH) 또는 트리스(테르트부틸)알루미늄(TTBA)이다.
일부 실시예들에서, 티타늄 카바이드 막을 형성하기 위한 ALD 공정들이 개시되며, 여기서 반응물질들의 교대적인 그리고 자기-포화적인 펄스들이 복수의 퇴적 사이클들에 제공된다. 각 사이클은 바람직하게, 티타늄 소오스 케미컬, 바람직하게는 티타늄 할라이드 화합물, 카본 소오스 케미컬, 및 실란 또는 보란 소오스 케미컬의 교대적인 그리고 순차적인 펄스들로 반응 공간에서 기판을 접촉시키는 단계를 포함한다. 상기 실란 또는 보란 소오스 케미컬은 모노실란, 디실란, 트리실란, 보란, 및 디보란, 유기 실란 및 유기 보란으로부터 선택될 수 있으며, 하나의 실시예에서는 트리실란이 선택될 수 있다.
본 발명의 또 다른 태양에서, 반도체 소자 구조가 개시된다, 상기 구조는 기판 및 상기 기판 위로 놓이는 박막 층을 포함하며, 상기 박막 층은 금속 소오스 케미컬, 카본 소오스 케미컬, 및 실란/보란 에이전트의 교대적인 그리고 순차적인 펄스들로 상기 기판을 접촉시킴으로써, ALD에 의해 형성된다.
본 발명에 따르면, 원자층 퇴적에 의해 기판 상에 개선된 금속 카바이드 박막들을 생성하기 위한 개선된 공정 및 방법들을 얻을 수 있다.
본 발명은 바람직한 실시예들의 상세한 설명으로부터 그리고, 본 발명을 도해하기 위한 것이며 제한하기 위한 것이 아닌 첨부하는 도면들로부터 보다 잘 이해될 것이며, 여기서,
도 1은 본 발명의 일부 실시예들에 따라, ALD에 의한 이원 화합물을 형성하는 방법을 일반적으로 도해하는 플로우 챠트이며, 여기서 실란/보란 에이전트의 공급은 과잉의 제2 반응물질 및 부산물들의 제거가 뒤따르며;
도 2는 본 발명의 일부 실시예들에 따라, 도전성 금속 카바이드 층을 포함하는 전극 구조의 개략적인 측단면도이다.
본 발명의 개시는 티타늄 카바이드 박막들과 같은 금속 카바이드 박막들을 형성하기 위한 원자층 퇴적(ALD) 방법들을 제공한다. 티타늄 카바이드 박막들의 면에서 주로 기술되지만, 아래에서 보다 상세하게 논의되는 바와 같이, 니오븀 카바이드 박막들과 같은 다른 형태들의 박막들이 상기 개시된 방법들로 퇴적 및/또는 처리될 수도 있다.
상기 ALD 방법들은 상기 티타늄 카바이드 막의 산화, 그리고 상기 티타늄 카바이드 막과 그 위에 놓이는(overlying) 층과의 경계에서 동반되는 산소의 증가 뿐만 아니라 티타늄 카바이드 막과 아래에 놓이는(underlying) 층 사이의 경계를 넘는 가능한 산소의 확산을 감소시키기 위하여 또는 실질적으로 방지하기 위하여 상기 막들을 실란 또는 보란에 노출시키는 단계를 포함할 수도 있다. 위에서 언급된 바와 같이, 이러한 산화의 증가는 상기 박막의 일함수에서의 쉬프트를 야기할 수 있다. 일부 실시예들에서, 실란 또는 보란 처리는 티타늄 카바이드 박막의 저항도를 감소시키기 위해 사용될 수 있다. 상기 실란 또는 보란은 각 티타늄 카바이드 퇴적 사이클 동안에, 일정한 수의 티타늄 카바이드 퇴적 사이클들 후에, 또는 모든 티타늄 카바이드 퇴적 사이클들이 완료된 후에 제공될 수도 있다. 일부 바람직한 실시예들에서, 상기 실란 또는 보란 에이전트는 보란 또는 디보란과 같은 유기 보란, 또는 실란 또는 실란, 디실란, 또는 트리실란과 같은 유기 실란이다.
< 정의들 >
본 개시에서 사용된 바와 같이, 용어 "ALD 공정"은 본 기술분야에서 보통의 의미에 따라 사용되며, 박막이 자기-포화적 화학 반응들에 기인하여 분자 층 단위로(molecular layer by molecular layer) 형성되는, 기판 위로 박막들을 생성하기 위한 공정을 포함한다. ALD의 일반적인 원리들은, 예를 들어 미국 특허 번호들 제4,058,430호 및 제5,711,811호에 개시되며, 그 개시 내용들은 여기에 참조로서 통합된다. ALD 공정에서, 기체 반응물질들, 즉 전구체들은 ALD형 반응기의 반응 챔버 내로 안내되고, 여기서 그것들은 표면 반응을 제공하기 위해 상기 챔버에 위치하는 기판과 접촉한다. 상기 반응 챔버의 압력 및 온도는, 상기 전구체들의 물리적 흡착(즉, 기체들의 물리적 흡착 또는 응결) 및 열적 분해가 방지되는 범위로 조정된다. 결론적으로, 단지 대략 하나까지의 단층(즉, 원자층 또는 분자층)의 물질이 각 펄스 사이클 동안에 하나씩 퇴적된다. 전형적으로 Å/펄스 사이클로서 표현되는 상기 박막의 실질 성장률은, 예를 들어 상기 표면상에서 유용한 반응성 표면 사이트들 또는 활성 사이트들의 수 및 화학적 흡착되는 분자들의 크기(bulkiness)에 의존한다. 전구체 펄스들이 시간에 따라 서로로부터 분리되고, 상기 반응 챔버로부터 과잉의 기체 상태의 반응물질들 및 반응 부산물들을 제거하기 위해 전구체 펄스들 사이에서 비활성 가스(예를 들어, 질소 또는 아르곤)으로 퍼지되고, 및/또는 예를 들어 펌프를 사용하여 배기되기 때문에, 전구체들 사이의 기체 상태의 반응물질들 및 어떤 원하지 않는 부산물들의 반응들이 억제된다.
본 개시에서 사용되는 바와 같이, 용어 "반응 공간(reaction space)"은 본 기술분야에서 보통의 의미에 따라 사용되며, 반응기 또는 반응 챔버, 또는 내부에 임의로 정의된 체적을 포함하며, 여기에서 조건들이 ALD에 의한 박막 성장을 유효하게 하기 위해 조절될 수 있다. 전형적으로 상기 반응 공간은 정상적인 동작 동안에, 가스들 또는 파티클들이 혼입 흐름(entrained flow) 또는 확산에 의해 상기 기판으로 흐를 수 있는 모든 반응 가스 펄스들에 부속되는 표면들을 포함한다. 상기 반응 공간은, 예를 들어 단일-웨이퍼 ALD 반응기 또는 배치 ALD 반응기에 존재할 수 있으며, 여기서 다중의 기판들 상에서의 퇴적이 동시에 발생한다.
본 개시에서 사용된 바와 같이, 용어 "흡착(adsorption)"은 본 기술분야에서 보통의 의미에 따라 사용되며, 기판 상에 원자들 또는 분자들의 화학적 부착을 포함한다.
본 개시에서 사용되는 바와 같이, 용어 "소오크(soak)"는 약 10초 내지 약 600초, 바람직하게는 약 30초 내지 약 300초, 보다 바람직하게는 약 45초 내지 약 180초 동안에 실란/보란 에이전트와 같은 케미컬에 티타늄 카바이드 막과 같은 박막을 노출시키는(exposing) 것을 말한다. 일부 경우들에서, 소오크의 지속기간은 ALD 사이클에서 티타늄 또는 카본 반응물질들의 펄스의 지속기간보다 길다. 소오크의 지속기간은 금속 카바이드 막에서 원하는 실리콘의 양을 얻기 위해 조절될 수도 있다. 예를 들어, 상기 소오크의 지속기간은 상기 금속 카바이드 막에서 침투 깊이 또는 확산 정도를 결정하기 위해 조절될 수도 있다. 일부 실시예들에서, 상기 막의 표면 상에서 실리콘의 존재는 산소 또는 산화 장벽(또는 질소 장벽, 예를 들어 여기서 TiN과 같은 후속 층이 상기 처리된 막 상에 퇴적된다)으로서 역할을 하기 때문에, 상기 실리콘은 반드시 상기 막 속으로 침투하는 것은 아니다.
본 개시에서 사용되는 바와 같이, 용어 "박막(thin film)"은 본 기술분야에서 보통의 의미에 따라 사용되며, 분리된 이온들, 원자들 또는 분자들로서 진공, 기체 상 또는 액체 상으로 상기 소오스로부터 상기 기판으로 전달된 원소들 또는 화합물들로부터 성장된 막을 포함한다. 상기 막의 두께는 응용에 따라 의존하며, 넓은 범위, 바람직하게는 하나의 원자층에서 1,000 nm 또는 그 이상까지 변화될 수 있다. 일부 실시예들에서, 상기 박막은 두께가 약 20 nm보다 작으며, 심지어 바람직하게는 약 10 nm 보다 작으며, 가장 바람직하게는 약 5 nm보다 작거나 약 3 nm보다 작다.
첨자들, "x" 및 "y"는 반드시 화학양론적인 것은 아니며, 금속/산소, 금속/탄소, 금속/질소, 또는 금속/탄소/질소 비율을 변화시키며 넓은 범위의 상들(phases)을 갖는, 종들을 나타내기 위해 사용된다.
< ALD 방법들 >
여기에 제시된 방법들은 기판 표면들 상에 컨포말(conformal)한 금속 카바이드 박막들의 퇴적을 허용한다. 일부 실시예들에서, 박막들이 할로겐-함유 케미컬들로부터 퇴적된다. ALD 공정들에서 상기 표면 반응들의 자기-제한적 성질에 기인하여, 기하학적으로 도전적인 응용들이 또한 가능하다.
일부 실시예들에 따라, ALD형 공정은 집적회로 피가공재와 같은 기판들 상에 티타늄 카바이드 박막들을 형성하기 위해 사용된다. 상기 티타늄 카바이드(TiC) 박막들이 퇴적되는 표면들은 다양한 형태들을 취할 수 있다. 예들로서, 그러나 이것들에 제한적이지 않지만, 실리콘, 실리콘 옥사이드(SiO2), 코팅된 실리콘, 유전체 물질들, 로우-k(low-k) 물질들, 구리 및 알루미늄과 같은 금속들, 금속 합금들, 금속 옥사이드들, 천이 금속 나이트라이드들 및 실리콘 나이트라이드들과 같은 여러 가지 나이트라이드들, 또는 상기 물질들의 조합을 포함한다. 일부 실시예들에서, 상기 기판은 티타늄 나이트라이드를 포함한다. 일부 실시예들에서, 상기 기판은 하프늄 옥사이드를 포함한다.
일부 실시예들에서, 기판 또는 피가공재가 반응 챔버에 위치하며, 교대적으로 반복되는 표면 반응들에 놓인다. 특히, 박막들이 ALD 사이클의 반복에 의해 형성된다. 각 ALD 사이클은 전형적으로 자기-제한적이다. 금속 화합물 박막 퇴적의 경우에는, 적어도 두 개의 다른 소오스 케미컬들이 교대적으로 채용된다. 하나의 반응물질은 상기 기판의 표면 상에 대략 하나보다 많지 않은 단층을 형성할 것이며, 퇴적된 층에서 원하는 금속 종들을 포함한다. 여기서 또한 "금속 반응물질"로서 언급된 상기 반응물질은 바람직하게는 TiCl4와 같은 티타늄 할라이드, 또는 NbCl5와 같은 니오븀 할라이드이며, 따라서 퇴적된 상기 단층은 할로겐 리간드들로 종료된다.
제2 반응물질은 바람직하게도 카본이 상기 성장하는 막에 기여토록 한다. 일부 실시예들에서, 상기 제2 반응물질은 TMA 또는 TEA와 같은 금속 및 카본을 포함한다. 일부 실시예들에서, 상기 제2 반응물질은 금속유기 화합물과 같은 적어도 하나의 리간드를 포함하는 금속-함유 소오스 케미컬이다. 나아가, 일부 실시예들에서 상기 제2 반응물질은 또한 퇴적된 막에 금속의 일부 량을 남길 수 있다. 예를 들어, TMA 또는 TEA의 경우에서, 특정한 반응 조건들에 의존하여, 상기 막에 알루미늄의 일부 량을 남길 수도 있다. 일부 실시예들에서, AlxCy 형태로 알루미늄 카바이드의 형성이 또한 산화에 대한 보호를 제공할 수도 있다.
본 개시에 따른 일부 실시예들에서, 제3 반응물질이 매 사이클, 일정 수의 사이클들의 후에, 또는 금속 카바이드 막의 퇴적이 완료된 후에 제공된다. 상기 제3 반응물질은 실리콘 화합물, 또는 보란 화합물, 바람직하게는 강한 환원제인 것일 수도 있다. 일부 실시예들에서, 상기 제3 반응물질은 실란/보란 에이전트를 포함한다. 상기 실란/보란 에이전트는 상기 금속 카바이드 막의 금속, 예를 들어 티타늄 및/또는 니오븀 보다 산소에 더욱 반응적이며, 따라서 막에서 금속 옥사이드의 양을 줄일 수 있다. 일부의 경우들에서, 산소가 상기 박막으로부터 실질적으로 거의 또는 전혀 제거되지 않지만, 상기 실란/보란 에이전트는 상기 티타늄을 그것의 순수한 티타늄 카바이드 형태로 돌리기 위해 티타늄과 산소 사이의 결합을 끊음으로써, 티타늄 옥사이드와 같은 금속 옥사이드를 감소시키는 역할을 한다. 이러한 경우들에서, 비록 산소는 상기 막으로부터 실질적으로 제거되지 않지만, 상기 박막의 일함수를 방해하지 않도록 상기 실란/보란 에이전트에 의해 포박된다. 따라서, 실란/보란 에이전트의 적용은 상기 막에서 TiOC의 양과 비교하여 TiC의 양을 증가시킨다라고 또한 말할 수 있다. 더구나, 일부 실시예들에서, 상기 제3 반응물질은 실리콘, 보론, 또는 카본과 같은 상기 박막에서 원하는 종(species)을 제공한다. 그러나, 일부 실시예들에서, 상기 퇴적 공정 동안에 상기 실란/보란 에이전트가 함께 결합할 산소가 거의 또는 전혀 없을 것이라고 언급될 수 있다. 이러한 경우들에서, 상기 TiC 박막과 함께 퇴적된 상기 실리콘 또는 보론은, 피가공재가 하나의 챔버로부터 다른 챔버로 이동될 때와 같이, 만약 상기 TiC 막이 산소에 노출된다면 그리고 노출될 때에 산소에 대한 장벽 역할을 할 수도 있다. 예를 들어, 퇴적 동안에 티타늄 카바이드 층의 처리는, 위에 놓이는 티타늄 나이트라이드 층의 퇴적과 같은 추가 공정을 위해 다른 반응기로 이동될 때 상기 티타늄 카바이드 층의 산화를 감소시키거나 또는 방지할 수도 있다.
상기 실란/보란 에이전트는 모노실란, 디실란, 트리실란, 유기 실란들, 보란, 디보란, 유기 보란들, 또는 상기 금속 카바이드에서 티타늄, 니오븀 또는 다른 금속을 감소시키기 위해 산소와 쉽게 반응하는 어떤 다른 적절한 물질로 구성된 그룹으로부터 선택될 수 있다. 상기 실란/보란 에이전트는 기체 또는 액체 형태로 공급될 수도 있으며, 상기 퇴적 공정에서 매 사이클 또는 간헐적으로 상대적으로 짧은 펄스로서 적용될 수도 있으며, 또는 부분적으로 또는 완전히 형성된 티타늄 카바이드 층에 대해 상대적으로 긴 소오크로서 적용될 수도 있다.
상기 실란/보란 에이전트는 상기 퇴적 공정 동안에 간격을 두고, 또는 상기 퇴적 공정이 완료된 후에, 각 ALD 사이클에서 제공될 수도 있다. 예를 들어, 일부 실시예들에서, 상기 실란/보란 에이전트는 4 ALD 사이클들에 대하여 매 한번 제공된다. 일부 실시예들에서, 상기 실란/보란 에이전트가 제공될 때, 가장 최근의 ALD 사이클들에서 성장된 막은 상기 실란/보란 에이전트가 상기 막으로 침투하기에 충분하도록 얇은 것이 바람직하다. 일부 실시예들에서, 예를 들어 상기 실란/보란 에이전트에 대한 노출에 앞서서 하나보다 많은 퇴적 사이클이 완료된 상황들과 같이, 상기 막들에서의 실란/보란 침투의 양은 사용된 상기 에이전트의 양 또는 농도, 또는 상기 노출의 지속시간에 의해 제어될 수 있다.
상기 실란/보란 에이전트는 하나 또는 그 이상의 사이클들의 일부로서 제공될 수도 있으며, 또는 하나 또는 그 이상의 사이클들이 완료된 후에 적용될 수도 있다. 따라서, 일부 실시예들에서, TiC와 같은 금속 카바이드 막의 퇴적은, 실란/보란 에이전트의 적용과는 관계없이 ALD 공정에서 하나의 사이클로서 고려된다. 이러한 경우들에서, 상기 사이클은 원하는 만큼 여러 번 반복되며, 상기 실란/보란 처리는 상기 사이클들의 일부 또는 전부의 후에 적용된다. 그러나, 일부 실시예들에서, 상기 실란/보란 에이전트는 하나 또는 그 이상의 사이클들 동안(ALD 사이클의 일부로서) 뿐만 아니라 하나 또는 그 이상의 사이클들의 후(ALD 사이클과 독립된)에 적용된다.
ALD 사이클의 한 단계(phase)에서("상기 금속 단계", 예를 들어 "상기 티타늄 단계" 또는 "제1 단계"), 티타늄(또는 니오븀과 같은 다른 금속)을 포함하는 상기 반응물질 또는 소오스 케미컬이 상기 반응 챔버에 공급되며, 상기 기판 표면에 화학 흡착한다. 본 단계에서 공급된 상기 반응물질은, 바람직한 조건들 하에서, 상기 표면에 결합될 수 있는 반응물질의 양이 유용한 결합 사이트들의 수에 의해 그리고 화학 흡착된 종(리간드들을 포함하여)의 물리적 크기에 의해 결정되도록 선택된다. 상기 티타늄 반응물질의 펄스에 의해 남겨진 상기 화학 흡착된 층은 그 펄스의 잔류하는 케미스트리와 함께 비활성적인 표면과 함께 자기-종료된다(self-terminated). 이러한 현상을 여기서는 "자기-포화(self-saturation)"로서 언급된다. 본 기술분야에서의 통상의 지식을 가진 자라면 본 단계의 자기-제한적 성질이 전체 ALD 사이클을 자기-제한적인 것으로 만든다는 것을 인식할 것이다. 과잉의 반응물질 및 반응 부산물들(만약 있다면)은, 예를 들어 불활성 가스에 의한 퍼지 및/또는 배기에 의해 상기 반응 공간으로부터 제거된다.
상기 피가공재 표면 상에서의 최대 스텝 커버리지(step coverage) 및 컨포말리티(conformality)는, 대략 단일 분자층보다 많지 않은 금속 소오스 케미컬 분자들이 각각의 자기-제한적 펄스에서 화학 흡착될 때 얻어진다. 화학 흡착된 종의 크기 및 활성 사이트들의 수에 기인하여, 금속 반응물질의 각 펄스에서 단층보다 어느 정도 작게 퇴적될 수도 있다. 그러나, TEA 또는 TMA와 같은 일부 반응물질들의 사용은, 그들이 상기 퇴적 온도에서 적어도 부분적으로 자기-분해되기 때문에 단층보다 많게 될 수도 있다. 상기 자기-분해의 정도는 펄스 시간의 함수일 수 있다.
상기 사이클의 다음 단계에서, 제2 소오스 케미컬이 제공되고, 선행하는 펄스에 의해 상기 기판 표면 상에 남겨진 분자들과 반응한다. 일부 실시예들에서, 상기 소오스 케미컬은 바람직하게는 카본을 포함하며, 상기 박막에 결합된다. 상기 카본은 상기 금속 반응물질에 의해 남기어진 상기 단층과 상기 소오스 케미컬의 상호반응에 의해 상기 박막 속으로 결합된다. 본 단계는 여기에서 "제2 단계" 또는 "카본-기여 단계(carbon-contributing phase)"라고 언급된다. 일부 실시예들에서, 상기 제2 소오스 케미컬은 카본 함유 화합물이며, 상기 화학 흡착된 금속 종들과의 반응은 상기 기판 상에 금속 카바이드 층을 생성한다. 일부 실시예들에서, 상기 제2 소오스 케미컬은 알루미늄과 같은 제2 금속을 또한 포함하며, 상기 제2 금속은 상기 카본과 함께 상기 성장하는 막으로 결합된다. 일부 실시예들에서, 상기 종-기여(species-contributing) 소오스 케미컬은 금속 및 카본을 포함하며, 예를 들어 TMA 또는 TEA일 수도 있다.
과잉의 제2 소오스 케미컬과, 있다면 반응 부산물들이 퍼지 및/또는 배기에 의해 상기 반응 공간으로부터 제거된다.
일부 실시예들에서, ALD 사이클의 제3 단계는 상기 실란/보란 에이전트를 제공하는 단계를 포함한다. 상기 실란/보란 에이전트는 보론 또는 실리콘과 같은, 상기 박막 내로 결합될 수도 있는 종들을 포함할 수도 있다. 이것은 "제3 단계" 또는 "산소 분리 단계(oxygen isolation phase)"로서 언급된다.
비록 "제1 단계", "제2 단계" 및 "제3 단계"로서 언급되지만, 이러한 라벨들은 편의를 위한 것이며, 각 ALD 사이클에서 단계들의 실질적인 순서를 지시하는 것은 아니다. 따라서, 초기 ALD 사이클은 위에서 기술한 상기 3 단계들 중의 어느 것과 함께 시작될 수도 있다. 그러나, 본 기술분야에서 통상의 지식을 가진 자는, 만약에 초기 ALD 사이클이 상기 금속 반응물질 단계와 함께 시작되지 않는다면 상기 원하는 금속 카바이드 박막의 대략 단층을 퇴적하기 위해 적어도 두 ALD 사이클들이 전형적으로 필요할 것이라는 것을 인식할 것이다.
부가적으로, 상기 단계들의 순서는 변경될 수도 있다. 즉, 일부 실시예들에서 상기 실란/보란 에이전트는 상기 제2 반응물질 후에 제공된 그 다음 반응물질일 수도 있으며, 반면에 다른 실시예들에서는 상기 실란/보란 에이전트는 상기 제1 금속 소오스 반응물질 후에 제공된 그 다음 반응물질일 수도 있다. 그리고 일부 실시예들에서, 상기 실란/보란 에이전트는 단지 일부 사이클들 후에 또는 모든 사이클들이 완료된 후에 공급될 수도 있다. 예를 들어, 일부 실시예들에서 상기 제3 단계(상기 실란/보란 에이전트의 공급)는 즉시 상기 제1 단계(금속 종들을 포함하는 반응물질의 공급)를 뒤따를 수도 있으며, 전환하여 상기 카본-기여 단계가 뒤따를 수 있다. 그리고 일부 실시예들에서, 상기 제3 단계는 상기 박막이 완전히 형성된 후에 기상 "소오크"로서 공급될 수도 있다. 즉, 퇴적된 막은 일정 기간 기체 형태로 실란 또는 보란에 노출된다. 단지 퍼지 또는 다른 반응물질 제거 단계가 개입된다면, 단계는 일반적으로 다른 단계를 즉시 뒤따르도록 고려된다.
일부 실시예들에서, 상기 실란/보란 에이전트는 매 ALD 사이클에 제공되지 않는다. 차라리, 부분적으로 또는 완전하게 퇴적된 티타늄 카바이드 막이 실란/보란 에이전트로 처리될 수도 있다. 이것은 예를 들어, 제1 막이 TiCl4 및 TEA를 사용하지만, 결과로 되는 TiAlC 막은 물, 공기 또는 다른 오염 소오스에 의해 산화되어 본질적으로 TiAlOC인 층을 형성하게 되는 경우일 수도 있다. 실란/보란 에이전트는 단지 작은 불순물들의 존재와 함께 본질적으로 TiAlC로 돌아가도록 상기 TiAlOC 층을 감소시킬 수도 있는 제1 막에 적용될 수 있다.
하나의 실시예에서, ALD 사이클은 다음을 포함한다:
1. 반응 공간으로 티타늄 할라이드를 제공하는 단계;
2. 과잉의 티타늄 할라이드 및 반응 부산물의 실질적인 퍼지 및/또는 배기 단계;
3. 상기 반응 공간에 TEA 또는 TMA와 같은 카본-기여 반응물질을 제공하는 단계;
4. 과잉의 제2 반응물질 및 반응 부산물의 실질적인 퍼지 및/또는 배기 단계;
5. 상기 반응 공간에 실란/보란 에이전트를 제공하는 단계.
단계 5는 각 ALD 사이클에 포함될 수 있으며, 단계들 1~4는 단계 5가 도입되기 전에 여러 회 반복될 수 있다. 일부 실시예들에서, 단계들 1~4는 단계 5가 포함되기 전에 10회에 이르도록 반복된다. 다른 실시예들에서, 단계들 1~4는 단계 5가 포함되기 전에 100회에 이르거나 또는 심지어 1000회 또는 그 이상으로 반복된다. 일부 실시예들에서, 원하는 두께의 완성된 막이 단계 5 이전에 퇴적된다.
도 1을 참조하면, 본 발명의 실시예에서, 초기의 표면 종료(surface termination) 후에, 필요하다면, 제1 반응물질 또는 소오스 케미컬 펄스가 상기 기판 또는 피가공재에 공급된다(102). 도해된 실시예에서, 상기 제1 반응물질은 금속 할라이드이며, 형성된 상기 박막은 금속 카바이드를 포함한다. 바람직한 실시예에 따라, 상기 제1 반응물질 펄스는 캐리어 가스 플로우, 및 관심이 되는 상기 피가공재 표면들과 반응성이 있는 휘발성 티타늄 할라이드 종들을 포함한다. 따라서, 상기 할로겐-함유 티타늄 종들은 상기 피가공재 표면들 상에 흡착된다. 상기 제1 반응물질 펄스는, 상기 제1 반응물질 펄스의 어떠한 과잉의 구성 성분들이 본 공정에 의해 형성된 상기 단층(monolayer)과 추가적으로 반응하지 않도록 상기 피가공재 표면들을 자기-포화시킨다. 할라이드 테일들(tails)에 기인하여 자기-포화는 상기 단층을 종료하며, 상기 층이 추가적으로 반응하는 것을 방지한다.
이어서 상기 제1 반응물질은 상기 반응 공간으로부터 제거된다(104). 단계 104는 상기 반응 공간으로부터 과잉의 반응물질들 및 반응 부산물들을 확산 또는 퍼지하기에 충분한 시간 동안 캐리어 가스의 플로우를 계속하면서, 단지 상기 제1 반응물질 또는 케미스트리의 플로우를 정지시키는 것을 수반할 수도 있다. 바람직하게는, 상기 제거 단계(104)는 상기 제1 반응물질 펄스의 플로우를 정지시킨 후에 약 0.1초 내지 20초 사이 동안에 퍼지 가스를 계속적으로 플로우시키는 것을 포함한다. 펄스간 퍼지는 "IMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM"라는 명칭의 공동-계류중인 미국 특허 번호 제6,511,539호에 기술되어 있으며, 그 개시 내용은 여기에 참조로서 통합된다. 다른 배열들에서, 상기 챔버는 교대하는 케미스트리들 사이에서 펌프 다운(pump down)될 수도 있다. 예를 들어, 1996년 6월 6일자로 공개된, "METHOD AND APPARATUS FOR GROWING THIN FILMS"라는 명칭의 PCT 공개번호 WO 96/17107호를 참조하며, 그 개시 내용은 여기에 참조로서 통합된다. 상기 흡착(102) 및 반응물질 제거(104)는 함께 ALD 사이클에서 제1 단계(105)를 나타낸다. 따라서 도해된 ALD 사이클에서 제1 단계는 상기 금속 단계이다.
도 1을 계속 참조하면, 이어서 제2 반응물질 또는 소오스 케미컬 펄스가 상기 피가공재에 공급된다(106). 상기 제2 케미컬은 상기 제1 반응물질에 의해 남겨진 상기 단층과 반응한다. 도해된 실시예에서, 본 제2 반응물질 펄스(106)는 상기 피가공재에 상기 제2 소오스 가스와 함께 캐리어 가스를 공급하는 단계를 포함한다. 특히, 상기 제1 반응물질이 티타늄 할라이드를 포함하는 경우에, TMA 또는 TEA와 같은 제2 반응물질은 카본 및 제2의 다른 금속을 포함한다. TEA 또는 TMA의 경우에, 상기 제2 반응물질은 대략 TiAlC의 단층 보다 많지 않은 것을 남긴다. 상기 제2 반응물질은 바람직하게는 상기 흡착된 제1 반응물질로부터 적어도 일부 할라이드 리간드들을 제거한다. 상기 제2 반응물질 펄스(106)는 또한 포화적인 반응 단계에서 상기 퇴적을 제한하기 위해 동작하는 표면 종료(termination)를 남긴다.
상기 제2 반응물질 펄스로 상기 단층을 완전히 포화 및 반응하기에 충분한 시간이 지난 후에, 어떠한 과잉의 제2 반응물질이 상기 피가공재로부터 제거된다(108). 상기 제1 반응물질의 제거(104)와 같이, 본 단계(108)는 상기 제2 케미스트리의 플로우를 정지시키는 단계, 및 상기 제2 반응물질 펄스로부터의 과잉의 반응물질 및 휘발성 반응 부산물들이 상기 반응공간으로부터 확산되어 나가고 퍼지되도록 충분한 시간 주기 동안 캐리어 가스를 계속적으로 플로우시키는 단계를 포함한다. 상기 제2 반응물질 펄스(106) 및 제거(108)는 함께 상기 도해된 공정에서 제2 단계(109)를 나타내며, 또한 카본 및 금속 종-기여 단계로 고려될 수 있다.
상기 제2 반응물질 펄스의 상기 과잉의 반응물질들이 상기 챔버로부터 제거(108)될 때, 제3 반응물질 또는 소오스 케미컬 펄스가 상기 피가공재(110)로 공급될 수도 있다. 상기 제3 반응물질은 할라이드들을 제거할 수 있고, 및/또는 상기 성장하는 막에서 산소와 반응할 수 있는 실란/보란 에이전트일 수 있다. 적절한 실란들 및 보란들의 예들로서, 모노실란, 디실란, 트리실란, 보란, 및 디보란을 포함한다. 상기 실란/보란 에이전트는 불활성 캐리어 가스와 함께 제공될 수도 있다. 온도 및 압력 조건들이 상기 단층을 통하여 상기 실란/보란 에이전트의 확산의 수준을 제어하기 위해 조절될 수 있다.
상기 단층에서 상기 제3 반응물질의 원하는 수준의 포화를 달성하기에 충분한 시간 주기 후에, 과잉의 미반응된 실란/보란 에이전트 및 어떠한 반응 부산물들(이것은 또한 휘발성일 수 있다)이, 예를 들어 퍼지 가스 펄스에 의해 상기 반응 공간으로부터 제거된다(112). 상기 제거는 단계(104)에 대하여 기술된 바와 같을 수 있다. 상기 실란/보란 에이전트 펄스(110) 및 제거(112)는 함께 도해된 ALD 공정의 제3 단계(113)를 나타내며, 또한 산소 분리 단계로서 언급될 수 있다.
일부 실시예들에서, 실란/보란 에이전트의 공급이 즉시 과잉의 제1 반응물질 및 부산물들을 제거하는 단계를 뒤따른다. 상기 실란/보란 에이전트로 상기 단층을 반응시키기에 충분한 시간 주기 후에, 과잉의 미반응된 실란/보란 에이전트 및 반응 부산물들이, 아마 퍼지 가스 펄스에 의해 상기 반응 공간으로부터 제거된다. 상기 제거 단계에는 상기 제2 반응물질 펄스의 공급이 뒤따른다.
상기 개시의 일부 실시예들에서(도시 안됨), 상기 실란/보란 에이전트 공급 및 어떠한 과잉의 실란/보란 에이전트 및 부산물들의 제거의 단계들은 상기 제1 반응물질의 공급 단계를 선행한다. 일부 실시예들에서, 상기 실란/보란 에이전트는 모든 사이클에서 제공되지 않으며, 또는 모든 사이클들이 완료된 후에 제공될 수도 있다.
일부 실시예들에서, 실란/보란 에이전트의 공급 단계는, 상기 티타늄 카바이드 퇴적 사이클의 일부 또는 전부가 완료된 후에 발생하는 소오크(soak)의 형태를 취한다. 일부의 경우들에서, TiC 막의 퇴적이 완료된 후에 발생하는 트리실란의 소오크는 적절한 결과들을 얻는 것임을 알 수 있다.
하나의 실시예에서, 티타늄 카바이드 막을 형성하는 공정은 다음을 포함한다:
1. 타타늄 클로라이드와 같은 티타늄 할라이드를 상기 반응 공간으로 제공하는 단계;
2. 과잉의 티타늄 할라이드 및 반응 부산물들을 실질적으로 퍼지 및/또는 배기하는 단계;
3. TEA 또는 TMA와 같은 제2 카본 및 알루미늄-기여 반응물질을 상기 반응 공간으로 제공하는 단계;
4. 과잉의 제2 반응물질 및 반응 부산물들을 실질적으로 퍼지 및/또는 배기하는 단계;
5. 원하는 수의 사이클들 동안 또는 원하는 두께의 막이 얻어질 때까지의 동안 중의 어느 하나 동안에 단계 1 내지 단계 4를 반복하는 단계; 및
6. 단계 5의 생성물을 실란/보란 에이전트로 소오크하는 단계.
일부 실시예들에서, 단계 6의 상기 소오크는 상기 막에 존재하는 어떠한 산소와 상기 실란/보란 에이전트 사이의 상호반응의 특정 수준을 얻도록 구성될 수 있다. 일부 실시예들에서, 단계 6의 상기 소오크는 상기 막에서 실리콘 또는 보론의 원하는 양을 특정 깊이까지 제공하도록 구성될 수 있다. 예를 들어, 상기 소오크는 실리콘 또는 보론이 상기 막을 관통하여 충분히 확산될 수 있도록 충분히 오래 지속될 수 있으며, 또는 상기 소오크의 지속시간이 상기 막에서 단지 부분적인 깊이에 도달하도록 보다 짧게 유지될 수도 있다. 상기 소오크의 지속시간은 약 5초 내지 약 600초일 수 있으며, 바람직하게는 약 10초 내지 약 180초, 보다 바람직하게는 약 20초 내지 약 120초, 그리고 일부 실시예들에서는 약 30초 내지 약 60초일 수 있다. 배치 공정들과 같은 일부 경우들에서는, 상기 소오크 시간은 훨씬 길어질 수도 있다. 일부 이러한 실시예들에서는, 상기 소오크는 약 30초 내지 약 600초일 수 있으며, 바람직하게는 약 45초 내지 약 180초, 보다 바람직하게는 약 60초 내지 약 120초일 수 있다.
일부 실시예들에서, 소오크는 실리콘 또는 보론을 상기 막의 일부에 또는 상기 막 자체 상에 제공함으로써, 산소 장벽으로 상기 막을 "덮는(cap)" 역할을 할 수도 있다. 일부 실시예들에서, 퇴적된 또는 부분적으로 퇴적된 금속 카바이드 층이 디실란 또는 트리실란과 같은 실란/보란 에이전트에 소오크 되어져서, 약 3 nm 아래, 보다 바람직하게는 약 2 nm 아래, 그리고 가장 바람직하게는 약 1 nm 아래의 두께를 갖는 얇은 "캡핑(capping)" 층을 형성한다. 상기 소오크의 초기 단계에서 캡핑 층의 형성은, 상기 막의 표면 상에서 유익한 효과를 여전히 가지면서 상기 막 속으로 실리콘 또는 보론의 확산을 정지시킬 수도 있다.
일부 실시예들에 따라, 상기 캡핑 층은 실리콘 또는 보론을 포함하며, 상기 박막 상에 직접 형성된 분리된 층이다. 일부 실시예들에서, 상기 캡핑 층은 대안적으로 상기 금속 카바이드 층의 일부를 포함할 수도 있으며, 또는 그것이 적용되는 한 무슨 층이든, 여기서 그 부분은 상기 실란 또는 보란 에이전트와 함께 상기 처리로부터의 실리콘 또는 보론을 포함한다. 상기 캡핑 층의 성질은, 예를 들어 상기 처리 조건들 및/또는 사용된 상기 실란/보란 에이전트에 의존할 수 있다. 상기 캡핑 층이 금속 카바이드 층과 같은 상기 하부에 놓이는(underlying) 금속 층의 일부를 포함하는 상황에서는, 상기 층의 상부를 향하여 상기 실리콘 또는 보론의 농도가 커지고, 상기 층의 상부로부터 깊이가 증가함에 따라 농도가 감소하는, 상기 하부에 놓이는 층 내에서 경사도(gradient)가 있을 수 있다. 상기 실란/보란 에이전트가 연장되는 깊이 뿐만 아니라 어떤 주어진 깊이에서의 농도 양쪽 모두에서 상기 경사도는 부분적으로 상기 처리 조건들(지속기간, 온도, 압력, 등등) 및 사용된 특정의 실란/보란 에이전트에 의존할 수 있다. 일부 실시예들에 따르면, 상기 실란/보란 에이전트는 상기 하부에 놓이는 층과 적어도 부분적으로 반응할 수도 있다. 일부 경우들에서, 상기 캡핑 층은 상기 하부에 놓이는 막 상에 직접 형성된 실리콘 또는 보론을 포함하는 층 뿐만 아니라 실리콘 또는 보론이 존재하는 상기 막의 일부를 포함할 수도 있다. 일부 실시예들에서, 상기 캡핑 층은 TiC 층과 같은, 금속 카바이드 층과 접촉하며 그 위에 직접 형성된 실리콘 또는 보론 층이다.
일부 실시예들에 따르면, 상기 반응 온도는 약 300℃ 내지 약 500℃, 바람직하게는 약 325℃ 내지 약 450℃, 보다 바람직하게는 약 350℃ 내지 약 450℃일 수 있다.
앞서의 실시예들은 특정 박막 케미스트리들이라는 맥락으로 논의될 것이다.
< 카본-함유 막들의 퇴적 >
카본 함유 금속 막들 또는 금속 카바이드들은 게이트 전극들, 커패시터들에서의 전극들, 및 다마신(damascene) 및 이중 다마신 구조들에서의 장벽층들과 같은 다양한 응용들을 가진다.
일부 실시예들에서, 카본-함유 금속 또는 금속 카바이드 박막 퇴적을 위한 일반적인 펄스 시퀀스는 다음과 같다:
(M1Xy + 퍼지 + M2R3 + 퍼지 + 실란/보란 에이전트 + 퍼지) x m1
또는, (M1Xy + 퍼지 + 실란/보란 에이전트 + 퍼지 + M2R3 + 퍼지 + ) x m1
여기서 m1은 전체 사이클들의 수이다. M1은 금속 원자이며, 바람직하게는 Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W로 구성되는 그룹으로부터 선택된다.
M2은 금속 원자이며, 바람직하게는 B, Al, In, Bi, Sn, Zn, Pb, Sb 및 Ga로 구성되는 그룹으로부터 선택된다. R은 M2에 대한 리간드이며, 어떠한 리간드, 바람직하게는 금속유기 리간드, 보다 바람직하게는 유기금속 리간드, 가장 바람직하게는 에틸 리간드와 같은 알칸 리간드일 수 있다.
Xy는 M1에 대한 하나 또는 그 이상의 리간드이다. 각 X는 I, Br, Cl 및 F로 구성되는 그룹으로부터 선택된 할로겐 리간드일 수도 있다. 그러나, 일부 실시예들에서 적어도 하나의 X는 사이클로펜타디에닐(예를 들어, 사이클로펜타디에닐, 메틸사이클로펜타디에닐, 펜타메틸사이클로펜타디에닐, 에틸사이클로펜타디에닐, 아이소프로필사이클로펜타디에닐, 터트부틸사이클로펜타디에닐, 및 인데닐), 알킬(예를 들어, 메틸, 에틸, 프로필, 및 부틸), 카보닐, 사이클로-옥타디엔, 벤젠 또는 수소 리간드와 같은 금속유기 리간드일 수 있다. 다른 실시예들에서, Xy는 그들의 혼합물을 포함할 수도 있다. 그러나, Xy 리간드들 중의 적어도 하나는 바람직하게는 할로겐이다. 예로서, 비스(사이클로펜타디에닐)하프늄 디클로라이드 또는 비스(사이클로펜타디에닐)탄탈륨(V) 트리클로라이드가 일부 실시예들에서 금속 전구체로서 사용될 수 있다. 일부 실시예들에서, 무 X는 산소 또는 질소이다.
상기 실란/보란 에이전트는 모노실란, 디실란, 트리실란, 보란, 및 디보란으로 구성된 그룹으로부터 선택될 수도 있다. 일부 실시예들에서, 상기 실란/보란 에이전트는, 각 층이 퇴적되는 동안 또는 후, 단지 일부 층들이 퇴적된 후, 또는 모든 층들이 퇴적된 후에 적용된 디실란 또는 트리실란이다. 상기 실란/보란 에이전트는 펄스로서 또는 소오크로서, 그리고 액체 또는 기체로서 적용될 수 있다.
바람직한 실시예들에서, M2는 금속이며, 바람직하게는 알루미늄이며, R은 카본-함유 리간드이다. M2R3는 바람직하게는 적어도 하나의 금속-카본간 결합을 가진다. 일부 실시예들에서 M2R3는 카본 소오스 케미컬로 고려될 수도 있다. 일부 실시예들에서, M2R3는 TMA 및 TEA로 구성된 그룹으로부터 선택된다. 일부 실시예들에서, M2R3는 DMAH이다. 일부 실시예들에서 M2R3는 TTBA이다.
일부 실시예들의 상기 ALD 공정들의 하나의 혜택은 성장율이 ALD 공정에 대해 매우 높다는 것이다. 예를 들어, TaC 형성에 대한 성장율이 2Å/사이클을 넘을 수 있다. 나아가, 상기 막의 특성들을 증진시키기 위해 상기 금속 카바이드 퇴적 후에 어닐링이 수행될 수 있다. N2 또는 형성 가스(N2/H2)와 같은 적절한 분위기들이 어닐링 동안 사용될 수도 있다.
TiC 막 형성을 위한 예시적 펄스 시퀀스는 다음을 포함한다:
(TiCl4 + 퍼지 + 트리메틸알루미늄(TMA) 또는 트리에틸알루미늄(TEA) + 퍼지 + 실란/보란 에이전트 + 퍼지) x m2
(TiCl4 + 퍼지 + 실란/보란 에이전트 + 퍼지 + TMA 또는 TEA + 퍼지) x m2
여기서, m2는 전체 사이클들의 수이며, 상기 실란/보란 에이전트는 모노실란, 디실란, 보란, 및 디보란으로 구성된 그룹으로부터 선택된다.
상기 예시적 펄스 시퀀스를 사용하여 퇴적된 막들은, 원자 베이스를 기반으로, 약 17-20%의 Ti, 약 17-27%의 Al, 약 16-42%의 Si, 및 약 21-39%의 C를 함유하였다. 이들 값들은 러더퍼드 후방산란 분광계 또는 RBS를 사용하여 결정되었다.
다른 실시예들에서, 실란/보란 에이전트는 매 사이클 활용되지 않았지만, 상기 사이클들의 일부에서만 활용되었다. 본 상황에서, 카본-함유 금속 박막 퇴적을 위한 일반적인 펄스 시퀀스는 다음일 수 있다:
[n3 + (M1Xy + 퍼지 + M2R3 + 퍼지) + m3 x (실란/보란 에이전트 + 퍼지)] x k3,
여기서, n3 는 하나의 전체 사이클에서 카바이드 사이클들의 수이며, m3 는 실란/보란 에이전트가 하나의 전체 사이클에서 사용된 사이클들의 수이며, k3는 전체 사이클들의 수이다. M1은 Ti이지만, Zr, Hf, V, Nb, Ta, Cr, Mo, 및 W으로 구성되는 그룹으로부터 선택된 금속 원자일 수도 있다. M2는 바람직하게는 Al이지만, B, Al, In, Sn, Bi, Zn, Pb, Sb, 및 Ga로 구성되는 그룹으로부터 선택된 금속 원자일 수도 있다. R은 M2에 대한 리간드이며, 어떠한 리간드일 수도 있다.
Xy는 M1에 대한 하나 또는 그 이상의 리간드들이다. 각 X는 바람직하게는 I, Br, Cl 및 F로 구성되는 그룹으로부터 선택된 할로겐 리간드이다. 그러나, 일부 실시예들에서 적어도 하나의 X는 사이클로펜타디에닐(예를 들어, 사이클로펜타디에닐, 메틸사이클로펜타디에닐, 펜타메틸사이클로펜타디에닐, 에틸사이클로펜타디에닐, 아이소프로필사이클로펜타디에닐, 터트부틸사이클로펜타디에닐, 및 인데닐), 알킬(예를 들어, 메틸, 에틸, 프로필, 및 부틸), 카보닐, 사이클로-옥타디엔, 벤젠 또는 수소 리간드와 같은 금속유기 리간드일 수 있다. 다른 실시예들에서, Xy는 그들의 혼합물을 포함할 수도 있다. 그러나, Xy 리간드들 중의 적어도 하나는 바람직하게는 할로겐이다. 예로서, 비스(사이클로펜타디에닐)하프늄 디클로라이드 또는 비스(사이클로펜타디에닐)탄탈륨(V) 트리클로라이드가 일부 실시예들에서 금속 전구체로서 사용될 수 있다. 일부 실시예들에서, 무 X는 산소 또는 질소이다.
일부 실시예들에 따르면, 상기 반응 온도는 약 300℃ 내지 약 500℃, 바람직하게는 약 325℃ 내지 약 450℃, 보다 바람직하게는 약 350℃ 내지 약 450℃일 수 있다.
여기에 개시된 방법들 및 물질들을 사용하여 생성된 박막의 정확한 조성은 다양할 수 있다. 본 개시에 따라 제조된 티타늄 카바이드 막들은, 이들에게 제한되는 것은 아니지만, 티타늄, 알루미늄, 카본, 사용된 실란/보란의 형태에 부분적으로 의존하는 실리콘 및/또는 보론을 포함하는 다수의 다른 구성 요소들을 포함할 수도 있다.
일부 실시예들에서, 티타늄 또는 다른 적합한 금속의 원자 퍼센테이지는 약 10-30%, 약 10-25%, 심지어 약 15-20%이었다. 일부 실시예들에서, 알루미늄의 원자 퍼센테이지는 약 15%보다 큰, 약 20%보다 큰, 또는 심지어 약 25%보다 큰 것이었다. 일부 실시예들에서, 실리콘 또는 보론의 원자 퍼센테이지는 약 10%보다 큰, 약 25%보다 큰, 또는 심지어 약 35%보다 큰 것이었다. 일부 실시예들에서, 카본의 원자 퍼센테이지는 약 40%보다 작은, 약 30%보다 작은, 또는 심지어 약 25%보다 작은 것이었다.
일부 실시예들에서, 금속 카바이드 막은 원자 베이스를 기초로, 약 10-30%의 티타늄, 약 15%보다 큰 알루미늄, 약 10%보다 큰 실리콘 또는 보론, 약 40%보다 작은 카본을 포함한다. 일부 실시예들에서, 금속 카바이드 막은 원자 베이스를 기초로, 약 10-25%의 티타늄, 약 20%보다 큰 알루미늄, 약 25%보다 큰 실리콘 또는 보론, 약 30%보다 작은 카본을 포함한다. 일부 실시예들에서, 금속 카바이드 막은 원자 베이스를 기초로, 약 15-20%의 티타늄, 약 25%보다 큰 알루미늄, 약 35%보다 큰 실리콘 또는 보론, 약 25%보다 작은 카본을 포함한다.
일부 실시예들에서, 티타늄 또는 다른 적합한 금속의 원자 퍼센테이지는 약 10-50%, 약 15-45%, 심지어 약 20-40%이었다. 일부 실시예들에서, 알루미늄의 원자 퍼센테이지는 약 15%보다 작은, 약 10%보다 작은, 또는 심지어 약 5%보다 작으며, 일부의 경우들에서는 예를 들어 약 ~0%와 같이 약 1% 아래일 수 있었다. 일부 실시예들에서, 실리콘 또는 보론의 원자 퍼센테이지는 약 25%보다 큰, 약 35%보다 큰, 또는 약 45%보다 큰 것이었다. 일부 실시예들에서, 카본의 원자 퍼센테이지는 약 20%보다 작은, 약 10%보다 작은, 또는 약 5%보다 작으며, 일부의 경우들에서는 예를 들어 심지어 약 ~0%와 같이 약 1% 아래일 수 있었다.
일부 실시예들에서, 상기 막에서 실리콘, 보론 및 알루미늄의 전체 조합된 퍼센테이지는 약 20% 보다 많은, 바람직하게는 약 30%보다 많은, 보다 바람직하게는 약 40%보다 많은, 원한다면 일부 경우에서는 약 45%보다 많은 것을 포함한다.
다양한 조성들이 가능하다. 예를 들어, 일부 실시예들에서 단지 하나의 또는 일부의 원소들이 "바람직한", "보다 바람직한", 또는 "가장 바람직한" 범위들의 어느 것으로 나눠지는 조성을 갖는 박막을 제조하는 것은 바람직할 수 있다.
본 개시의 일부 실시예들에서, 상기 개시된 퇴적 방법들은, 이것에 한정되는 것은 아니지만, 게이트를 제조하기 위한 공정에서 NMOS 적층들을 포함하는 여러 가지 적층들을 형성하기 위해 사용될 수 있다. 예를 들어, 일부 실시예들에서, 여기에 개시된 상기 방법들을 사용하여 제조된 TiC 박막들을 함유하는 NMOS 적층들은 약 10-2 A/㎠보다 작은, 약 10-3 A/㎠보다 작은, 또는 3* 10-4 A/㎠보다 작은 리키지 (Jg)(-1V 스트레스에서)를 나타낸다.
본 개시의 일부 실시예들에서, 티타늄 카바이드(TiC) 막들은 유전체/금속 스택에서 형성될 수 있으며, 여기서 상기 스택의 등가 산화물 두께, 또는 EOT(equivalent oxide thickness)는 약 1.3 nm보다 작으며, 약 1.2 nm보다 작으며, 바람직하게는 약 1.1 nm 또는 약 1.05 nm보다 작을 수 있다.
본 개시의 일부 실시예들에서, TiC막들은 유효 일함수 또는 eWF(effective workfunction)가 약 4.0 내지 약 4.4 eV, 약 4.05 내지 약 4.35 eV, 또는 약 4.1 내지 약 4.25 eV일 수 있다.
일부 실시예들에서, 실란(예를 들어, 디실란 또는 트리실란)과 같은 실란/보란 에이전트의 사용은 실란/보란 에이전트가 노출되지 않는 TiC 막에 관련하여 TiC박막의 저항도를 감소시킬 수 있다. 일부 실시예들에서, 상기 저항도는 약 30%에 이르는 만큼, 약 40%에 이르는 만큼, 또는 약 50%에 이르는 만큼 감소된다.
여기에서 개시된 바와 같이 실란/보란 에이전트의 사용은 또한 산화에 대한 저항으로 TiC와 같은 박막을 제공하는 잠재력을 갖는다. 일부 실시예들에서, 산화에 대한 저항이 상기 막들이 후속하는 공정 또는 분위기에 부속될 때 조차 감소된다는 것은 어떤 이론에 얽매임 없이 믿어진다. 어떤 특정 이론에 매임이 없이, 상기 실란/보란 에이전트들이 이것이 실리콘 또는 보론, 또는 상기 실란/보란 에이전트를 포함하는 다른 일부 원소에 의해 부분적으로 대체되는 것처럼 상기 박막에서 카본의 양을 감소시키는 경향이 있기 때문에 산화에 대한 저항이 감소된다는 것으로 믿어진다.
산화 저항은 스택에서 산소의 작은 양 조차도 스택의 전기적 성질들, 즉 의도된 목적에 대해 부적합하게 만드는 eWF를 변화시킬 수 있기 때문에 중요하다. 더구나, 공기 또는 주변 습기에 대한 노출없이 상기 스택의 퇴적은 비싸고, 어렵고, 및/또는 너무 복잡하다. 따라서, 실란/보란 에이전트를 사용하여 동일한 또는 유사한 결과를 얻는 것은 원가를 제어하면서도 퇴적 공정을 매우 단순화시킬 수 있다.
< 반도체 소자 응용들>
반도체 소자 구조들을 제조하는 방법들이 이제 논의될 것이다. 비록 여러 가지 특정 맥락들의 형태로 기술되지만, 본 기술분야에서 통상의 지식을 가진자는 여기에서 기술된 공정들이 또한 다른 많은 맥락들에도 적용될 수 있다는 것을 인식할 것이다.
여기에 개시된 ALD 공정들은 FinFET와 같은, 플래너 소자들 뿐만 아니라 다중 게이트 트랜지스터들을 포함하는 NMOS 트랜지스터들을 제조하기 위해 성공적으로 적용될 수도 있다.
< 전극들로서의 카본-함유 막들>
일부 실시예들에서, 전극은 TiC와 같은 도전성 금속 카바이드의 ALD에 의해 형성된다. 도 2를 참조하면, 하이-k(high-k) 유전체 물질 층(200)이 기판(도시 안됨) 상에 퇴적된다. 상기 기판은 하이-k 물질의 퇴적에 앞서서 처리될 수도 있다. 예를 들어, 일부 실시예들에서, 얇은 계면 층(도시 안됨)이 상기 하이-k 물질의 퇴적에 앞서서 퇴적될 수도 있다. 하나의 실시예에서, 얇은 케미컬 옥사이드 또는 옥시나이트라이드가 상기 표면 상에 형성된다. 다른 실시예들에서, 열적 옥사이드가 상기 기판 상에 성장된다.
"하이-k"는 일반적으로 실리콘 옥사이드보다 큰 유전상수(k) 값을 갖는 유전체 물질에 대해 언급된다. 바람직하게는, 상기 하이-k 물질은 5보다 큰, 보다 바람직하게는 약 10보다 큰 유전상수를 갖는다. 예시적인 하이-k 물질들은, 이들에 제한됨이 없이, HfO2, ZrO2, Al2O3, TiO2, Ta2O5, Sc2O3, 란탄 계열 옥사이드들 및 그들의 혼합물, 실리케이트들, 및 YSZ(yttria-stabilized zirconia), BST(barium strontium titanate), ST(strontium titanate), SBT(strontium bismuth tantalate), 및 BT(bismuth tantalate)와 같은 물질들을 포함한다. 바람직하게는, 상기 하이-k 물질은 또한 ALD 공정에 의해 퇴적된다.
TiN과 같은 물질의 층 또는 박막(210)이 상기 유전체 층 위로 퇴적될 수도 있다. 이러한 층은 다른 반응기 또는 다른 시설에서 함께 이미 식각이 수행된, 식각 저지 막으로서 역할을 할 수도 있다. 하나의 반응기 또는 시설로부터 다른 곳으로의 전달은 상기 박막을 물 또는 공기와 같은 오염원에 노출시킬 수 있다. 상기 물 또는 공기는 일반적으로 TiN과 같은 노출된 층을 산화시켜, 상기 층을 근본적으로 TiON으로 변형시킬 수 있다. 이러한 오염원은 최종 스택의 일함수에 지장을 줄 수 있다.
TiC와 같은, 도전성 금속 카바이드 층 또는 박막(220)은 도해된 구조를 형성하기 위해, 위에서 기술된 바와 같이 ALD에 의해 상기 층(210) 위로 퇴적된다. 상기 도해된 실시예에서, 상기 층들은 반드시 축척으로 도시될 필요는 없다는 것이 인정될 것이다. 상기 금속 카바이드, 얇은 TiN 층, 및 아래에 놓이는 하이-k 물질은 전극을 형성하기 위해 패터닝된다.
상기 금속 카바이드 박막(220)은 바람직하게는, 상기 기판을 금속 소오스 케미컬, 카본 소오스 케미컬, 및 실란/보란 에이전트(반드시 이러한 순서일 필요는 없다)의 교대하는 펄스들과 접촉시킴으로써, 또는 위에서 기술된 바와 같이 ALD에 의해 완전한 금속 카바이드 막을 퇴적하고 이어서 실란/보란 에이전트로 그 결과되는 막을 처리함으로써 상기 박막(210) 위로 퇴적된다. 상기 금속 소오스 케미컬은 바람직하게는 할라이드 화합물(예를 들어, TiCl4)이며, 상기 카본 소오스 케미컬은 바람직하게는 TMA(trimethylaluminum)과 같은 유기금속 화합물이다.
일부 실시예들에서, 상기 얇은 TiN 층은 실란/보란 에이전트로 처리된다. 이것은 상기 금속 카바이드 막을 실란/보란 에이전트로 처리하는 것, 또는 실란/보란 에이전트를 이용하여 상기 금속 카바이드 막을 형성하는 것에 부가하여 수행될 수 있다. 상기 실란/보란 에이전트는 상기 박막(210)을 감소시킬 수 있다. TiON을 포함한다면, 실란/보란 에이전트는 상기 박막을 감소시켜서 근본적으로 TiN으로 돌아가게 한다. 이와 같은 방식에서는, 상기 일함수가 산화가 발생되기 전이었던 것처럼 개선 또는 유지될 수도 있다. 결과적인 상기 카바이드 층에서 상기 실란/보란 에이전트의 존재는 실질적으로 감소된 저항도와 같은 다른 혜택들을 제공할 수 있다. 상기 실란/보란 에이전트는 실란들(예를 들어, SiH4, Si2H6, 또는 Si3H8) 및 보란들(예를 들어, B2H6)을 포함하는 그룹으로부터 선택될 수 있다.
상기 스택에서 여러 가지 층들의 두께들은 다양할 수 있으며, 도 2에 도해된 것과 같이,일부 실시예들에서 층(210)은 약 10Å 내지 약 20Å, 바람직하게는 약 15Å의 두께를 가질 수도 있다. 그리고 층(220)은 층(210)의 두께보다 일반적으로 큰 두께를 가질 수도 있다. 현재 개시된 보호성 처리를 사용함으로써, 도 2에 도해된 것과 같이 스택에서 여러 가지 층들의 두께는 보다 작은 전자 소자들 및 회로를 얻기 위해 축소되는 상황에서 특정한 유용성을 가질 수 있다. 이것은 보다 얇아진 층들이 그들을 통하여 산소가 훨씬 확산되기 쉽기 때문이다. 그리고, 일부 실시예들에서, 실란/보란 에이전트의 사용은 상기 스택의 전체 두께를 현저하게 증가시키지 않는다.
상기 금속 카바이드 막을 형성할 때, 미반응된 소오스 케미컬들 및 반응 부산물들은 각 소오스 케미컬 펄스 후에, 예를 들어 배기 및/또는 불활성 가스(예를 들어, 질소)로 퍼지함으로써, 상기 반응 챔버로부터 제거된다. 일부 실시예들에서, 배기는 하나의 진공 펌프 또는 복수의 진공 펌프들을 사용하여 달성된다. 적어도 일부 사이클들에서 실란/보란 에이전트를 포함할 수 있는 펄스 사이클이, 원하는 두께의 금속 카바이드 층이 형성될 때까지 반복된다. 일부 실시예들에서, 실란/보란 에이전트는 또한 또는 단지 모든 사이클들이 완료된 후에 적용된다. 상기 실란/보란 에이전트는 펄스 또는 소오크 중의 어느 하나로서 적용될 수도 있다. 일부 실시예들에서, 모든 사이클들이 완료된 후에 상기 실란/보란 에이전트가 소오크로서 적용되는 것이 바람직할 수 있다. 그리고 바람직하게는, 상기 금속 카바이드 층의 약 5Å과 약 1000Å 사이의 두께를 갖는다.
이들 실시예들에서 상기 전극을 형성하기 위해 퇴적된 상기 도전성 금속 카바이드들은 바람직하게는 Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, 및 W으로 구성되는 그룹으로부터 선택된다.
일부 실시예들에서 상기 금속 카바이드는 상기 전극을 형성한다, 다른 실시예들에서(도시 안됨), 금속 또는 폴리실리콘과 같은 다른 도전성 물질이 상기 금속 카바이드 위로 퇴적된다. 상기 부가적인 도전성 물질은 ALD에 의해, 또는 CVD 또는 PVD와 같은 다른 퇴적 공정에 의해 퇴적될 수도 있다. 상기 퇴적은 선택적이거나, 또는 패터닝 단계들이 후속될 수도 있다. 또 다른 실시예에 따르면, 상기 금속 카바이드 퇴적 후에 어닐링이 수행될 수 있다. 질소 또는 형성 가스(질소/수소)와 같은 적절한 분위기가 당업자들에게는 명백할 것이다.
스페이서 퇴적 및 소오스/드레인 주입과 같은 추가의 공정들이 당업자들에게는 명백할 것이다.
< 예시 1 - TiC 막들>
여기에 개시된 방법들을 사용하여, 여러 가지 티타늄 카바이드 박막들이 퇴적되었다. 이어서 상기 박막은 러더포드 후방산란 분광계, 또는 RBS를 사용하여, 상기 여러 가지 막들의 조성을 결정하기 위해 분석되었다.
상기 여러 가지 막들을 분석한 후, 그것들은 원자 베이스로 다음의 조성 범위인 것으로 결정되었다: 약 17-20% Ti, 약 17-27% Al, 약 16-42% Si, 및 약 21-39% C.
< 예시 2 - 단일 웨이퍼 반응기에서의 TiAlC 및 TiAlSiC >
티타늄-알루미늄 카바이드(TiAlC) 및 티타늄-알루미늄-카바이드-실리콘(TiAlSiC) 박막들이, 상기 TiAlC 막들을 위해 티타늄 소오스로서 TiCl4, 알루미늄 및 카본 소오스로서 Al(CH2CH3)3를 사용하여 Pulsar® 2000 R&D 반응기에서 원자층 퇴적(ALD)에 의해 퇴적되었으며, 부가적으로 TiAlSiC 막들을 위해 디실란(Si2H6) 또는 트리실란(Si3H8)이 사용되었다.
TiAlC 및 TiAlSiC 막들은 TiCl4 및 Al(CH2CH3)3의 교대적인 그리고 순차적인 펄스들을 사용하여 퇴적되었으며, TiAlSiC 막들의 경우에는 추가적으로 디실란(Si2H6) 또는 트리실란(Si3H8)의 교대적이고 순차적인 펄스들이 제공되었다. TiAlC 막들은 또한 약 1분 동안 디실란(Si2H6) 또는 트리실란(Si3H8)으로 소오크되었다. 막들은 약 415℃의 반응 온도에서 퇴적 및 처리되었다. TiCl4는 0.05초 동안 펄스되고 5초 동안 퍼지되었다. Al(CH2CH3)3는 0.5초 동안 펄스되고 5초 동안 퍼지되었다. Si2H6 또는 Si3H8는 0.5초 동안 펄스되고 5초 동안 퍼지되었다. 상기 Al(CH2CH3)3는 60℃로 가열되었고, TiCl4는 실온이었다. 캐리어 가스는 초순수 질소이었으며, 사용된 플로우는 0.6 slm이었다.
상기 막들의 일부는 열적 SiO2/Si 기판들 상에 퇴적되었으며, 반면에 다른 것들은 HfO2 층의 상부 상에 TiN 중간층(75 사이클들)을 갖으면서 또는 갖지 않으면서 2-3 nm HfO2 / 0.4 nm SiO2 / Si 기판 상에 퇴적되었다. 상기 TiN 중간층은 TiAlC 또는 TiAlSiC 막 퇴적 전에 퇴적되었다. 2-3 nm HfO2 / 0.4 nm SiO2 / Si를 갖는 기판들과 선택적으로 퇴적된 TiN 중간층은 상기 막들을 전기적으로 특성화하기 위해 사용되었다. 나아가, 다른 TiN 층(250 사이클들)이 상기 TiAlC 또는 TiAlSiC 층들의 상부에 퇴적되었다. 모든 TiN 층들은, TiAlC 또는 TiAlSiC 막 퇴적이 상기 기판을 상기 반응 챔버 밖으로 이동시키지 않으면서 발생하는, 상기 동일한 챔버에서 전구체로서 TiCl4 및 NH3를 사용하여 퇴적되었다. 이것은 상기 샘플들의 단면적으로부터 TEM(transmission electron microscopy)에 의해 측정된 6-8 nm TiN / 3-4 nm TiAlSiC 또는 TiAlC / (선택적으로 2-2.5 nm TiN /) 2-3 nm HfO2 / 0.4 nm SiO2 / Si의 스택 구조를 야기한다. 스택 퇴적들 후에, 백금 점들(dots)이 상기 샘플들의 상부 상에 PVD(physical vapor deposition)에 의해 퇴적되었으며, 이어서 상기 TiN, TiAlSiC, 및 TiAlC 층들이 환형의 상부 전극들을 갖는 커패시터 어레이를 형성하는 상기 백금 점들 사이의 영역으로부터 식각되어졌다. 비록 커패시터 구조들로부터의 결과들이 NMOS 트랜지스터 구조들의 결과들과 직접적으로 비교하거나 전이가능하다고 할 수 없지만, 이들 커패시터 구조들은, 상기 막들의 중요한 성질들 및 품질을 나타내는, 상기 스택들의 상기 TiAlSiC 또는 TiAlC 층의 유효 일함수, 등가 산화물 두께 및 누설전류 밀도를 결정하기 위해 사용되었다.
상기 퇴적된 TiAlSiC 또는 TiAlC 막들의 결과들 및 성질들이 표 2에 보여진다. 상기 퇴적된 막들의 성장율은 20 nm 열적 SiO2/Si 기판들 상에서 약 2.55 내지 3.8 Å/사이클 범위이며, 저항도는 1300 내지 3800 μΩcm 범위이었다. 디실란 또는 트리실란으로의 상기 소오크는 상기 TiAlC 층의 상부 상에 실리콘층을 형성되었다는 것(비록, 상기 실란은 또한 상기 TiAlC층 또는 심지어 전체 층의 일부를 통하여 침투 또는 확산될 수도 있다)이 언급될 수도 있지만, 상기 성장율은 상기 표에 도시되지 않았다. 상기 막들은 원소 조성을 찾아내기 위해 러더포드 후방산란 분광계(RBS)에 의해 측정되었다. 상기 TiAlSiC 또는 TiAlC 층들의 유효 일함수들(eWF)은 4.20 내지 4.33 eV 범위이며, 상기 등가 산화물 두께는 1.04 내지 1.20 범위이며, 상기 누설전류 밀도는 5.17 x 10-3 A/㎠ 내지 1.69 x 10-5 A/㎠ 범위이다. 나아가, 공기에서의 주변 산소, 또는 산화, 또는 나아가(습기 및/또는 산소)에 대한 안정성이, TiAlSiC 막들에서의 감소된 카본 함량에 기인하여 잠재적으로 증가될 것이라는 것은, 어떤 이론에 속박됨이 없이 사실로 믿어지는 것으로 추정되었다.
Si3H8을 성장 사이클들 속으로 결합시키는 것 또는 상기 막을 후속적으로 소오크시키는 것 중의 어느 하나로, Si3H8로 만들어진 상기 TiAlSiC 막들과 함께 얻어진 결과들은, 그들이 상당히 낮은 누설과 낮은 EOT와 잠재적으로 우수한 산화 저항을 여전히 유지하면서 가장 낮은 유효 일함수 및 낮은 저항도록 갖기 때문에, NMOS 트랜지스터들에서 최종 용도를 위해 가장 바람직한 것들이었다. 또한, Si2H6을 성장 사이클들 속으로 결합시키는 것 또는 소오크시키는 것 중의 어느 하나로, Si2H6로 만들어진 상기 TiAlSiC 막들은, 위에서 설명된 바와 같이 증가된 산화 저항과 보다 낮은 저항도 때문에 상기 TiAlC 막들보다 수용가능하거나 우수하다.
성장율
(Å/사이클)
저항도
(μΩ㎝)
조성
(RBS)
eWF(eV) EOT(nm) -1V에서의 누설(A/㎠)

TiAlC

3.14-3.80

3500-3800
Ti 18 at-%
Al 38 at-%
C 42 at-%
H 2 at-%
4.31-4.33 1.05-1.14 1.69x10-5
- 4.12x10-4

TiAlSiC
+ Si3H8

2.55-3.73

1300-1800
Ti 17 at-%
Al 17-21 at-%
Si 26-42 at-%
C 21-34 at-%
H 1 at-%
Cl 0.5-1 at-%
4.20-4.28 1.11-1.20 1.01x10-4
- 5.17x10-3

TiAlSiC
+ Si2H6

3.20-3.80

1400-2500
Ti 17-20 at-%
Al 20-27 at-%
Si 16-24 at-%
C 35-39 at-%
H 1 at-%
Cl 0.5 at-%
4.30 1.04 3.05x10-4
TiAlC + Si3H8, 60초 소오크
---

---
4.24-4.33 1.12-1.19 2.94x10-4
- 4.80x10-3
TiAlC
+Si2H6, 60초 소오크

---

---
4.32 1.06 1.64x10-4
<TiAlC 및 TiAlSiC막들의 성질들>
앞에서 말한 모든 실시예들에서, 실시예로 사용된 어떠한 요소도 대체가 실현가능한 한 다른 실시예에서도 상호변경가능하도록 사용될 수 있다.
본 기술분야에서 통상의 지식을 가진 자는 수많은 여러 가지 수정들이 본 발명의 사상으로부터 벗어남이 없이 만들어질 수 있다는 것을 이해할 것이다. 따라서, 본 발명의 형태들은 단지 예시적인 것이며, 본 발명의 사상을 제한하려고 의도되지 않는다는 것도 명확히 이해되어야 한다. 모든 수정들 및 변경들이 첨부하는 청구항들에 의해 한정된 바와 같이, 본 발명의 사상 내로 되도록 의도된다.

Claims (42)

  1. 티타늄 카바이드를 포함하는 막을 처리하는 공정으로서, 상기 공정은,
    복수의 퇴적 사이클들을 포함하는 원자층 퇴적 공정에 의해 티타늄 카바이드를 포함하는 막을 퇴적시키는 단계; 및
    상기 티타늄 카바이드를 포함하는 막을 실란 또는 보란 에이전트에 노출시키는 단계;를 포함하며,
    상기 퇴적시키는 단계에서의 적어도 하나의 사이클은, 반응 공간에서 기판을 티타늄 할라이드를 포함하는 제1 소오스 케미컬, 및 금속과 유기 리간드를 포함하는 제2 소오스 케미컬의 교대적이며 순차적인 펄스들에 접촉시키는 단계를 포함하며,
    노출시키는 단계 후에, 상기 티타늄 카바이드를 포함하는 막은 원자 베이스로 20%보다 작은 티타늄을 포함하는 것을 특징으로 하는 공정.
  2. 청구항 1에 있어서,
    노출시키는 단계 후에, 상기 티타늄 카바이드를 포함하는 막은 원자 베이스로 적어도 10%의 실리콘을 포함하는 것을 특징으로 하는 공정.
  3. 청구항 1에 있어서,
    노출시키는 단계 후에, 상기 티타늄 카바이드를 포함하는 막은 원자 베이스로 적어도 25%의 실리콘을 포함하는 것을 특징으로 하는 공정.
  4. 청구항 1에 있어서,
    노출시키는 단계 후에, 상기 티타늄 카바이드를 포함하는 막은 원자 베이스로 40%보다 많은 실리콘, 보론, 및 알루미늄과 그 결합을 포함하는 것을 특징으로 하는 공정.
  5. 청구항 1에 있어서,
    상기 티타늄 카바이드를 포함하는 막은 1.3 nm보다 작은 등가 산화물 두께를 갖는 유전체 및 전극의 스택의 일부를 형성하는 것을 특징으로 하는 공정.
  6. 청구항 1에 있어서,
    상기 티타늄 카바이드를 포함하는 막은 4.0 eV 내지 4.4 eV의 일함수를 갖는 것을 특징으로 하는 공정.
  7. 청구항 1에 있어서,
    상기 실란 또는 보란 에이전트는 모노실란, 디실란, 트리실란, 유기 실란들, 보란, 디보란, 및 유기 보란들로 구성되는 그룹으로부터 선택되는 것을 특징으로 하는 공정.
  8. 청구항 1에 있어서,
    상기 티타늄 카바이드를 포함하는 막을 상기 실란 또는 보란 에이전트에 노출시키기에 앞서서 적어도 6 퇴적 사이클들이 완료되는 것을 특징으로 하는 공정.
  9. 청구항 1에 있어서,
    각 퇴적 사이클 후에, 상기 티타늄 카바이드를 포함하는 막은 상기 실란 또는 보란 에이전트에 노출되는것을 특징으로 하는 공정.
  10. 청구항 1에 있어서,
    상기 유기 리간드는 메틸 및 에틸 그룹들로 구성되는 그룹으로부터 선택되는 것을 특징으로 하는 공정.
  11. 청구항 1에 있어서,
    상기 제2 소오스 케미컬은 TMA(trimethylaluminum) 또는 TEA(triethylaluminum)을 포함하는 것을 특징으로 하는 공정.
  12. 청구항 1에 있어서,
    상기 적어도 하나의 퇴적 사이클은,
    상기 기판을 티타늄 할라이드에 접촉시키는 단계;
    상기 반응 공간으로부터 과잉의 티타늄 할라이드를 제거하는 단계;
    상기 기판을 유기금속 또는 금속유기 화합물에 접촉시키는 단계;
    상기 반응 공간으로부터 과잉의 유기금속 또는 금속유기 화합물을 제거하는 단계;를 포함하며,
    상기 실란 또는 보란 에이전트는 트리실란인 것을 특징으로 하는 공정.
  13. 반응 챔버에서 기판 상에 금속 카바이드 박막을 퇴적하는 방법으로서, 상기 방법은 복수의 퇴적 사이클들을 포함하며, 각 사이클은 상기 기판을 티타늄 할라이드를 포함하는 제1 소오스 케미컬, 카본 및 알루미늄을 포함하는 제2 소오스 케미컬, 및 실란 또는 보란을 포함하는 제3 소오스 케미컬의 분리된 펄스들에 접촉시키는 단계를 포함하며, 상기 금속 카바이드 박막은 원자 베이스로 40%보다 적은 티타늄을 포함하는 것을 특징으로 하는 방법.
  14. 청구항 13에 있어서,
    상기 제2 소오스 케미컬은 금속유기 화합물인 것을 특징으로 하는 방법.
  15. 청구항 13에 있어서,
    상기 제3 소오스 케미컬은 모노실란, 디실란, 트리실란, 유기 실란들, 보란, 디보란, 및 유기 보란들로 구성된 그룹으로부터 선택된 것을 특징으로 하는 방법.
  16. 청구항 13에 있어서,
    상기 제2 소오스 케미컬은 상기 제1 소오스 케미컬 후에 제공된 다음 소오스 케미컬인 것을 특징으로 하는 방법.
  17. 청구항 13에 있어서,
    상기 제2 소오스 케미컬은 상기 제3 소오스 케미컬 후에 제공된 다음 소오스 케미컬인 것을 특징으로 하는 방법.
  18. 티타늄 카바이드를 포함하는 막 상에 실리콘 캡핑 층을 형성하는 방법으로서, 상기 방법은 상기 티타늄 카바이드를 포함하는 막을 실란 화합물 또는 보란 화합물에 노출시키는 단계를 포함하는 것을 특징으로 하는 방법.
  19. 청구항 18에 있어서,
    상기 티타늄 카바이드를 포함하는 막은 45초 내지 180초 동안 상기 실란 또는 보란 화합물에 노출되는 것을 특징으로 하는 방법.
  20. 청구항 18에 있어서,
    상기 실리콘 캡핑 층은 3 nm 보다 작은 두께인 것을 특징으로 하는 방법.
  21. 청구항 18에 있어서,
    상기 티타늄 카바이드를 포함하는 상기 막은 유전체 층과 직접 위에서 접촉하는 것을 특징으로 하는 방법.
  22. 청구항 18에 있어서,
    금속 나이트라이드 층을 상기 티타늄 카바이드를 포함하는 막과 직접 위에서 접촉하도록 퇴적하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  23. 실리콘 또는 보론을 포함하는 캡핑 층을 형성하기 위하여 기판 상에 나이트라이드 박막을 처리하는 방법으로서, 상기 방법은,
    실리콘 또는 보론을 포함하는 캡핑 층이 형성되도록, 실란 화합물 또는 보란 화합물에 상기 나이트라이드 박막을 노출시키는 단계를 포함하며,
    상기 캡핑 층은 후속하는 처리 동안 상기 나이트라이드 박막의 추가적인 산화 또는 처리된 상기 나이트라이드 박막 위로 후속하여 퇴적된 막들의 추가적인 산화를 적어도 부분적으로 방지해주는 것을 특징으로 하는 방법.
  24. 청구항 23에 있어서,
    상기 나이트라이드 박막은 금속 나이트라이드를 포함하는 것을 특징으로 하는 방법.
  25. 청구항 24에 있어서,
    상기 나이트라이드 박막은 티타늄 나이트라이드를 포함하는 것을 특징으로 하는 방법.
  26. 청구항 23에 있어서,
    실리콘 또는 보론이 상기 캡핑 층을 형성하기 위해 상기 나이트라이드 박막의 일부분으로 결합되는 것을 특징으로 하는 방법.
  27. 청구항 26에 있어서,
    상기 나이트라이드 박막의 상기 일부분은 상기 캡핑 층의 상부를 향하여 더 큰 농도의 실리콘 또는 보론을 가지는 실리콘 또는 보론의 구배를 포함하는 것을 특징으로 하는 방법.
  28. 청구항 23에 있어서,
    상기 캡핑 층은 상기 나이트라이드 박막 상에 형성되는 것을 특징으로 하는 방법.
  29. 청구항 23에 있어서,
    형성된 상기 캡핑 층은 실리콘 또는 보론을 포함하는 상기 나이트라이드 박막의 일부분 뿐만아니라 상기 나이트라이드 박막 상에 형성된 실리콘 또는 보론을 포함하는 층을 포함하는 것을 특징으로 하는 방법.
  30. 청구항 23에 있어서,
    상기 나이트라이드 박막은 45초 내지 180초 동안 상기 실란 또는 보란 화합물에 노출되는 것을 특징으로 하는 방법.
  31. 청구항 23에 있어서,
    상기 캡핑 층은 3 nm 보다 작은 두께인 것을 특징으로 하는 방법.
  32. 청구항 31에 있어서,
    상기 캡핑 층은 1 nm 보다 작은 두께인 것을 특징으로 하는 방법.
  33. 청구항 23에 있어서,
    상기 나이트라이드 박막은 금속 카바이드 층과 직접 위에서 접촉하는 것을 특징으로 하는 방법.
  34. 청구항 23에 있어서,
    상기 캡핑 층은 노출시키는 단계 후에, 원자 베이스로 20%보다 많은 실리콘, 보론, 및 알루미늄과 그 결합을 포함하는 것을 특징으로 하는 방법.
  35. 청구항 23에 있어서,
    상기 실란 또는 보란 화합물은 상기 나이트라이드 박막의 산화된 부분들을 감소시키는 것을 특징으로 하는 방법.
  36. 청구항 23에 있어서,
    상기 나이트라이드 박막은 실란 또는 디실란에 노출되는 것을 특징으로 하는 방법.
  37. 산화 저항을 증가시키기 위해 기판 상에서 티타늄 나이트라이드 박막을 처리하는 방법으로서, 상기 방법은 상기 티타늄 나이트라이드 박막을 실란 화합물 또는 보란 화합물과 접촉시키고, 그리하여 실리콘 또는 보론을 포함하는 캡핑 층을 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  38. 청구항 37에 있어서,
    실리콘 또는 보론이 상기 티타늄 나이트라이드 박막의 일부분으로 결합되는 것을 특징으로 하는 방법.
  39. 청구항 37에 있어서,
    실리콘 또는 보론을 포함하는 상기 캡핑 층은 상기 티타늄 나이트라이드 박막 상에 형성되는 것을 특징으로 하는 방법.
  40. 청구항 37에 있어서,
    상기 티타늄 나이트라이드 박막은 45초 내지 180초 동안 상기 실란 또는 보란 화합물과 접촉되는 것을 특징으로 하는 방법.
  41. 청구항 37에 있어서,
    상기 캡핑 층은 3 nm 보다 작은 두께인 것을 특징으로 하는 방법.
  42. 청구항 37에 있어서,
    상기 실란 화합물 또는 보란 화합물은 모노실란, 디실란, 트리실란, 유기 실란들, 보란, 디보란, 및 유기 보란들로 구성된 그룹으로부터 선택된 것을 특징으로 하는 방법.
KR1020140029914A 2013-03-14 2014-03-13 티타늄 카바이드 막들을 위한 실란 및 보란 처리들 KR102013442B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/829,856 2013-03-14
US13/829,856 US8841182B1 (en) 2013-03-14 2013-03-14 Silane and borane treatments for titanium carbide films

Publications (2)

Publication Number Publication Date
KR20140113484A KR20140113484A (ko) 2014-09-24
KR102013442B1 true KR102013442B1 (ko) 2019-11-04

Family

ID=51529008

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140029914A KR102013442B1 (ko) 2013-03-14 2014-03-13 티타늄 카바이드 막들을 위한 실란 및 보란 처리들

Country Status (3)

Country Link
US (4) US8841182B1 (ko)
KR (1) KR102013442B1 (ko)
TW (2) TWI586826B (ko)

Families Citing this family (352)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8841182B1 (en) * 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102195139B1 (ko) * 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101849861B1 (ko) * 2014-03-28 2018-05-31 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102216575B1 (ko) * 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102342850B1 (ko) 2015-04-17 2021-12-23 삼성전자주식회사 반도체 소자의 제조를 위한 유전체층의 큐어링 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
JP7210092B2 (ja) * 2017-05-15 2023-01-23 東京エレクトロン株式会社 高度なパターン形成用途のためのインサイチュでの選択的堆積及びエッチング
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US9947582B1 (en) 2017-06-02 2018-04-17 Asm Ip Holding B.V. Processes for preventing oxidation of metal thin films
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR102332003B1 (ko) * 2017-06-12 2021-12-01 가부시키가이샤 알박 박막 형성 방법
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200071825A1 (en) * 2018-08-28 2020-03-05 Applied Materials, Inc. Methods Of Depositing Metal Carbide Films
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2022548037A (ja) * 2019-09-11 2022-11-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素ドープ酸化ハフニウムの堆積のための配合物
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220020210A (ko) * 2020-08-11 2022-02-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 티타늄 알루미늄 카바이드 막 구조체 및 관련 반도체 구조체를 증착하는 방법
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112526663A (zh) * 2020-11-04 2021-03-19 浙江大学 一种基于原子层沉积的吸收膜及其制作方法
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS5833841Y2 (ja) 1979-06-12 1983-07-29 ヤンマー農機株式会社 田植機における線引きマ−カ−
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
DE3463641D1 (en) 1983-11-11 1987-06-19 Japan Res Dev Corp Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
JPH0669157B2 (ja) 1985-03-11 1994-08-31 日本電気株式会社 自動等化装置
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JPH0637041Y2 (ja) 1989-10-26 1994-09-28 ゼムコインタナショナル株式会社 アスファルトプラント
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
EP0742847B1 (en) 1994-11-30 2000-05-24 Micron Technology, Inc. A method of depositing tungsten nitride using a source gas comprising silicon
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
US5964943A (en) 1994-12-27 1999-10-12 Siemens Aktiengesellschaft Method of producing boron-doped monocrystalline silicon carbide
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
SK286721B6 (sk) 1999-02-11 2009-04-06 Hardide Limited Materiál na povlaky odolné proti opotrebovaniu, erózii a korózii z karbidu volfrámu, povlaky, substrát potiahnutý viacvrstvovým povlakom, konštrukčný materiál a postupy na ich výrobu
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
KR100737901B1 (ko) 1999-10-15 2007-07-10 에이에스엠 인터내셔널 엔.브이. 민감한 표면에 나노적층박막을 증착하는 방법
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
DE60028394T2 (de) 1999-10-15 2007-03-29 Asm International N.V. Konforme auskleidungsschichten für damaszenmetallisierungen
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6569529B1 (en) * 2000-10-10 2003-05-27 Flex Product, Inc. Titanium-containing interference pigments and foils with color shifting properties
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) * 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
KR100853903B1 (ko) 2001-03-20 2008-08-25 맷슨 테크놀로지, 인크. 비교적 높은 유전율을 갖는 코팅을 기판 상에 증착하는 방법
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
KR101013231B1 (ko) 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
MY158548A (en) 2005-09-29 2016-10-14 Praxair Technology Inc Organometallic compounds and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
JP2008028058A (ja) * 2006-07-20 2008-02-07 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、半導体装置及び記憶媒体
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
JP5551681B2 (ja) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
TWI536451B (zh) * 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
KR101378478B1 (ko) * 2011-03-23 2014-03-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
US8846550B1 (en) * 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) * 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films

Also Published As

Publication number Publication date
US20140273510A1 (en) 2014-09-18
US9236247B2 (en) 2016-01-12
TW201725276A (zh) 2017-07-16
US20150179440A1 (en) 2015-06-25
TW201435128A (zh) 2014-09-16
US9583348B2 (en) 2017-02-28
TWI595109B (zh) 2017-08-11
US20160196977A1 (en) 2016-07-07
US8841182B1 (en) 2014-09-23
US20170154778A1 (en) 2017-06-01
KR20140113484A (ko) 2014-09-24
TWI586826B (zh) 2017-06-11

Similar Documents

Publication Publication Date Title
KR102013442B1 (ko) 티타늄 카바이드 막들을 위한 실란 및 보란 처리들
US10964534B2 (en) Enhanced thin film deposition
US11139383B2 (en) Titanium aluminum and tantalum aluminum thin films
US9111749B2 (en) Silane or borane treatment of metal thin films
TWI707983B (zh) 包含金屬碳化物材料之結構、包含該結構之裝置及其形成方法
KR20200146036A (ko) 이중 선택적 퇴적
KR101506019B1 (ko) 금속 카바이드 막의 기상 증착

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right