CN1387248A - 半导体器件的隔离方法 - Google Patents
半导体器件的隔离方法 Download PDFInfo
- Publication number
- CN1387248A CN1387248A CN02120222A CN02120222A CN1387248A CN 1387248 A CN1387248 A CN 1387248A CN 02120222 A CN02120222 A CN 02120222A CN 02120222 A CN02120222 A CN 02120222A CN 1387248 A CN1387248 A CN 1387248A
- Authority
- CN
- China
- Prior art keywords
- layer
- grid
- insulating
- semiconductor substrate
- silicon
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
- H01L21/76232—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Element Separation (AREA)
- Formation Of Insulating Films (AREA)
- Semiconductor Memories (AREA)
- Non-Volatile Memory (AREA)
Abstract
提供一种半导体器件的隔离方法,其中绝缘掩模层形成在半导体衬底的预定区域上。采用绝缘掩模层做掩模,在半导体衬底中形成预定深度的沟槽。在绝缘掩模层上和沟槽的侧壁上形成氧化物层。在氧化物层上形成沟槽衬里层。在形成沟槽衬里层的半导体衬底中的沟槽中形成绝缘填料层,以便填充沟槽。去掉绝缘掩模层。根据该半导体器件的隔离方法,可以减少沿着沟槽的边缘产生凹痕,减少在绝缘掩模层之间的界面产生鸟嘴型氧化物层,并降低漏电流,或提高电特性,如阈值电压。
Description
技术领域
该美国非临时专利申请根据35U.S.C.§119要求在2001年5月18日申请的韩国专利申请2001-0027345和在2001年9月28日申请的韩国专利申请2001-0060554的优先权,这里引证这两份专利申请的全部内容供参考。
本发明涉及半导体器件的隔离方法,特别涉及通过在半导体衬底中形成预定深度的沟槽而用于隔离单个器件的浅沟槽隔离(STI)。
背景技术
随着半导体器件的集成密度的增大,单个器件之间的距离减小了。相应地,相互电绝缘单独的器件所需要的隔离距离大大减小。用于隔离器件的技术有很多种。在具有不大于0.40μm的设计规则的64M之后,常规隔离技术即硅的局部氧化(LOCOS)应用于动态随机存取存储器(DRAM)。然而,近年来,通过刻蚀一部分半导体衬底以形成沟槽的用于隔离器件的沟槽技术,如形成深度为不超过3μm的沟槽的浅沟槽隔离(STI)已经广泛地应用于半导体器件。具体而言,STI技术已经应用于具有不大于0.15μm的设计规则的半导体器件(256MDRAM产品种类)而没有出现任何严重问题。
为了利用常规STI技术形成沟槽,在将要形成器件的硅衬底上部分地形成氮化物掩模层。其中将要形成沟槽的一部分半导体衬底留下未被干涉标记(intrude mark)覆盖,并且刻蚀硅衬底以形成沟槽。然后,在沟槽中形成用做STI衬里层的绝缘氮化硅层,并淀积氧化硅层以填充沟槽。该绝缘氮化硅层被平面化以便与硅衬底齐平,因而只在沟槽中留下硅绝层,这样就限定了器件隔离区域。去掉留在将要形成器件的区域上的氮化硅层,完成了器件隔离工艺。为了去掉留在将要形成器件的区域上的氮化硅层,可以采用在高处理温度下使用磷酸(H3PO4)的湿刻蚀。然而,在大多数情况下,由于湿刻蚀的特性,暴露于刻蚀液的所有层都稍微被刻蚀并以不同的刻蚀速率被消耗。这样,在要暴露于湿刻蚀工艺的层是由与作为STI衬里层的绝缘氮化硅层相同的材料形成的情况下,该层和该STI衬里层同时被各向同性地刻蚀。此外,为了维持晶体管的电性能和填充沟槽的氧化硅层的厚度而引入要暴露于湿刻蚀工艺的层的情况下,该层可能被湿刻蚀工艺损伤。而且,由于在不同层之间的裂缝发生的化学反应比材料表面更剧烈,因此沿着其上将要形成器件的半导体衬底的每个区域和沟槽之间的边界可能产生凹痕,因此可能使漏电流增加并产生涉及晶体管的电性能的***现象。另外,在后来的工艺中在导电层(如导电多晶硅)上形成图案的情况下,在去掉导电层之后,位于凹痕中的导电层可能仍然保留,因而可能产生电故障,如短路故障。
发明内容
本发明的至少一个典型实施例提供半导体器件的隔离方法,用于在半导体器件的浅沟槽隔离(STI)工艺期间减小沿着要形成器件的半导体衬底的每个区域和沟槽之间的边界产生凹痕的可能性。
本发明的至少一个典型实施例提供半导体器件的隔离方法,用于降低漏电流而不产生影响晶体管的电性能的***现象。
在本发明的至少一个典型实施例中,提供半导体器件的隔离方法。在半导体衬底的区域上形成绝缘掩模层图案。用绝缘掩模层图案做掩模,在半导体衬底中形成预定深度的沟槽。在绝缘掩模层图案上和沟槽的侧壁上形成氧化物层。在氧化物层上形成沟槽衬里层。
在其上形成有沟槽衬里层的半导体衬底上的沟槽上形成绝缘填料层,以便填充沟槽。去掉绝缘掩模层图案。
在形成绝缘掩模层图案的步骤中,通过干氧化在半导体衬底上形成基底氧化物层,通过低压化学汽相淀积(LP CVD)在基底氧化物层上形成氮化硅掩模层。
为了在绝缘掩模层上形成沟槽图案,在绝缘掩模层上涂敷光刻胶,通过光刻工艺形成沟槽图案,并用光刻胶做掩模,通过干刻蚀在绝缘掩模层上下部分形成沟槽图案。在这种情况下,为了减少在光刻胶涂敷到绝缘掩模层上之前由绝缘层的光反射引起的工艺障碍,可进一步形成由氮化硅或氮氧化硅形成的防反射层。此外,当在绝缘掩模层上形成沟槽图案时,可以去掉基底氧化物层,以便露出半导体衬底。在绝缘掩模层上形成沟槽图案之后,可完全去掉光刻胶。
在半导体衬底中形成沟槽的步骤中,用绝缘掩模层做掩模,通过干刻蚀将硅刻蚀到在0.1μm和1μm之间的深度。在这种情况下,在使光刻胶留在绝缘掩模层图案中的同时刻蚀沟槽的情况下,该步骤进一步包括去掉光刻胶的步骤。可另外在沟槽的侧壁或内壁上形成氧化保护层,用于在沟槽刻蚀中修复对沟槽的等离子体损伤和减少后来工艺中的污染。氧化保护层是通过热氧化形成的,优选通过干氧化形成。还可以包括通过化学汽相淀积淀积的氧化硅层。
在绝缘掩模层图案的表面上形成氧化物层的步骤中,该氧化物层是通过热氧化氮化硅层形成的。在氮化硅层的表面上形成氧化物层的步骤中,其上形成有绝缘掩模层图案的半导体衬底被加热到需要的温度。接着,通过在绝缘掩模层上提供氧化气体,形成预定厚度的氧化物层。在这种情况下,加热半导体衬底的步骤是通过快速热处理进行的。特别是,由于在快速热处理中氧化物层因在氮化硅层中的较高氧化速率而很容易被形成,因此在700℃-1100℃的温度形成厚度为20-300埃的氧化物层。氢气与总混合气体的体积比为1-50%。形成氧化物层的步骤是在Kr/O2等离子体气氛下进行的。另外,形成氧化物层的步骤是在1乇-760乇的压力下进行的。
接下来,形成作为保护层的沟槽衬里层,以便沟槽中的氧化物层不受后来的湿清洗或湿刻蚀工艺的影响。沟槽衬里层是由氮化硅层形成的,氮化硅层是通过低压化学汽相淀积形成的,由于相对高的密度和硬度被用做沟槽衬里层而不会渗透溶液或杂质元素。该沟槽衬里层可以由因高密度而可以用保护层的氮化硼(BN)或氧化铝(Al2O3)构成,而不是由氮化硅层构成。在典型实施例中,BN是利用低压化学汽相淀积(LP CVD)和原子层淀积(ALD)中的一种方法形成的,氧化铝是利用原子层淀积形成的。
在用绝缘填料层填充沟槽的步骤中,在沟槽中形成作为绝缘填料层的氧化硅层,以便完全填充沟槽。在这种情况下,利用等离子体通过化学汽相淀积形成氧化硅层。由于氧化硅层因其不紧密结构而具有低密度,通过在800-1150℃之间的温度下和在惰性气体气氛下热处理该绝缘填料层预定时间,使氧化硅层致密化。接着,被致密化的氧化硅填料层通过化学机械抛光而被平面化并去除,以便只在沟槽中留下绝缘填料层。在这种情况下,平面化绝缘填料层的步骤是用绝缘掩模层做抛光停止层,通过化学机械抛光进行的。
完全去掉沟槽以外的其它部分中的氧化硅填料层之后,利用湿刻蚀法刻蚀用做绝缘掩模层的氮化硅层和基底氧化物层并去除。在这种情况下,为了去除氮化硅层,用于湿刻蚀的刻蚀液是磷酸(H3PO4)溶液并具有对氧化硅层的高刻蚀选择性,因此在基本上不影响基底氧化物层的情况下去除了用做绝缘掩模层的氮化硅层。该基底氧化物层是采用氧化硅层刻蚀液去除的,由此完成隔离工艺。
同样,根据本发明的至少一个典型实施例的半导体器件的隔离方法,通过在绝缘掩模层的侧壁上形成预定厚度的侧壁氧化物层,可以减少沿着沟槽边缘产生凹痕,由此增强涉及漏电流或阈值电压的器件电特性。
在本发明的另一典型实施例中,提供半导体器件的隔离方法。在其上露出硅的半导体衬底上依次形成栅绝缘层、栅导电层和绝缘掩模层。该绝缘掩模层、栅导电层和栅绝缘层被构图以形成绝缘掩模层图案和栅极。用绝缘掩模层和栅极作为掩模,在半导体衬底的硅中形成沟槽。通过快速热处理,在暴露于沟槽中的半导体衬底的硅表面上和栅极的栅导电层的侧壁上形成预定厚度的侧壁绝缘层。用绝缘填料层填充沟槽。绝缘填料层被平面化之后去掉绝缘掩模层,然后在上述栅极上形成第二栅极,由此完成浮置栅极。
在形成栅绝缘层的步骤中,采用稀释HF溶液和作为强酸的H2SO4溶液和HCl溶液清洗半导体衬底的表面,以便从半导体衬底表面去除杂质,如聚合物和重金属。通过向半导体衬底上提供氧气,氧化其上露出硅的半导体衬底,由此形成栅绝缘层。然后,形成被清洗的栅氧化物层,由此增强栅绝缘层的电可靠性。在形成氧化硅层之后,用N2O或NO做氮源气,氮化栅绝缘层的表面,由此形成氮氧化硅层(SiON),氮氧化硅层是优选的,因为在栅绝缘层极薄时将会退化的栅绝缘层的可靠性因氮氧化硅层而被增强了。
在形成栅绝缘层之后,形成具有导电性的栅导电层,在栅导电层上形成绝缘掩模层。栅导电层是利用化学汽相淀积而由掺杂磷(P)或砷(As)的多晶硅形成的,绝缘掩模层是利用等离子体增强化学汽相淀积(PE CVD)而由预定厚度的氮化硅层形成的,以便绝缘掩模层用做在后面工艺中刻蚀沟槽的掩模。
在绝缘掩模层上涂敷光刻胶,通过对准曝光和显影工艺在光刻胶上形成栅极图案和沟槽图案。用其上形成栅极图案和沟槽图案的光刻胶做掩模,利用干刻蚀在绝缘掩模层和栅导电层上形成栅极图案,同时,形成用于刻蚀沟槽的掩模。在典型实施例中,形成在接触半导体衬底的区域中的栅绝缘层的最下部分被完全去掉,因而其上露出硅的半导体衬底露出,因此在后面的沟槽刻蚀工艺中很容易刻蚀沟槽。接着,用光刻胶和绝缘掩模层作掩模,利用干刻蚀在半导体衬底的硅中形成沟槽。由于刻蚀副产品(bi-product)可能在沟槽中产生聚合物,因此可通过后面的清洗工艺去除聚合物。
在暴露于沟槽中的半导体衬底的硅表面上和在栅极的栅导电层的侧壁上形成预定厚度的侧壁绝缘层。侧壁绝缘层是在0.1-700乇的压力下、在800-1150℃的处理温度下并对其提供选择的处理气体(氧化剂气体)而氧化形成的氧化硅层。在形成氧化硅层时同时使用氢(H2)气和氧(O2)气,并在半导体衬底上就地同时进行湿氧化和干氧化。在这种情况下,以1∶50和1∶5之间的体积比提供氢气和氧气,因此用于形成薄氧化硅层的工艺可控性很高。
在半导体衬底的整个表面上厚厚地形成硅绝缘层,由此用绝缘填料层填充沟槽。在这种情况下,硅绝缘层是氧化硅层,并且是通过利用有高淀积速率和高填充特性的等离子体的等离子体增强化学汽相淀积(PE CVD)形成的。接着,采用化学机械抛光(CMP),通过平面化工艺完全去掉形成在绝缘掩模层上的氧化硅层,只在沟槽中留下氧化硅层,因此完成了沟槽填充工艺。
根据要制造的半导体器件的特性,采用单栅的DRAM、SRAM或非易失性存储器(NVM)当中的部分半导体存储器件是通过形成结、电容器和层间绝缘(ILD)层的工艺以及金属互连工艺制造的。
采用双栅的半导体存储器件,如闪速存储器或EPROM或EEPROM,包括如下形成第二栅极的工艺。
即,在通过沟槽填充工艺形成绝缘层和栅极之后,在所述栅极上形成双第二栅极。首先,去掉作为形成在栅极上的绝缘掩模层的氮化硅层,以便露出栅极的上部,由作为导电材料的掺杂杂质的多晶硅形成中间栅极,并在栅极表面上形成绝缘层。通过加宽第二栅极接触栅极的面积,可实现高容量。绝缘层是TaO5、PLZT、PZT和BST中的一种或氧化物/氮化物/氧化物(ONO)。在绝缘层上形成第二栅导电层。第二栅导电层还形成掺杂多晶硅上的硅化物层。涂敷光刻胶,并通过对准曝光和显影工艺在第二栅导电层上形成第二栅极图案。用光刻胶作掩模,通过干刻蚀将栅极图案转移到第二栅导电层上,从而形成第二栅极。但是,第二栅极与器件的信号处理速度有关。在器件的设计规则极窄的情况下,掺杂杂质的多晶硅不够了,可以采用通过组合有低电阻率的金属硅化物形成的多晶硅硅化物(polycide)。在这种情况下,硅化物是在具有极窄设计规则的栅极图案中利用自对准硅化作用形成的。
在形成栅极之后形成第二栅极时,绝缘层是高介质层,不置入中间栅极,并且绝缘层形成在栅极的上部,然后可以形成第二栅极。这样,减少了工艺数量,结果是减少了制造成本。
形成第二栅极之后,通过形成位线和接触的工艺及金属互连工艺,完成了制造半导体存储器件如闪速存储器、EPROM或EEPROM的工艺。
采用快速热氧化,通过与隔离沟槽图案同时在栅极侧壁上形成栅极侧壁绝缘层,该半导体存储器件可以抑制在形成在栅极上的绝缘掩模层之间的界面处形成鸟嘴。
在本发明的又一典型实施例中,提供在半导体衬底上形成氧化硅层的方法。制备包括其上露出硅或多晶硅的区域的半导体衬底。该半导体衬底保持在低压气氛中。该半导体衬底在预定处理温度下被快速热氧化。向半导体衬底上提供含有氧源气和氢源气的反应气体,并通过湿氧化和干氧化的组合氧化反应,在其上露出硅或多晶硅的区域上形成氧化硅层。
露出区域是栅极的侧壁或沟槽的侧壁。
所述低压在0.1-700乇之间。
处理温度在800-1150℃之间。
反应气体是作为氧源气的氧(O2)气和作为氢源气的氢(H2)气以预定比例的混合气体,以1∶50和1∶5之间的体积比提供氧气和氢气,并以在1slm和10slm之间的流速提供氧气。
氢源气是重氢(D2)或超重氢(T2)之一,氧源气是N2O和NO之一。
反应气体还包括惰性气氛气体,该气氛气体是氮气(N2)、氩气(Ar)和氦气(He)。
在本发明的至少一个典型实施例中的半导体器件隔离方法中,利用快速热氧化在半导体衬底的硅或多晶硅中形成氧化硅层,由此通过用短时间形成氧化硅层,使暴露氧化反应气体的时间很短,氧化气体没有移动到界面,因此可以抑制在形成在栅极上的绝缘掩模层之间的界面形成鸟嘴。
附图说明
通过参照附图详细介绍本发明的典型实施例,使本发明更显然,其中:
图1是表示根据本发明典型实施例的半导体器件的隔离区的截面图;
图2-9是表示根据本发明典型实施例的用于隔离半导体器件的单独器件的方法的截面图;
图10是表示根据本发明典型实施例的在氮化硅层上形成氧化硅层的方法的单元工艺流程图;
图11-18是表示根据本发明另一典型实施例的制造半导体器件的方法的截面图;
图19-21是表示根据本发明又一典型实施例的制造半导体器件的方法的截面图;
图22是表示根据本发明再一典型实施例在半导体衬底上形成氧化硅层的方法的工艺流程图;
图23是表示根据本发明再一典型实施例用于在半导体衬底上形成氧化硅层的快速热处理器的示意图;
图24A和24B是通过扫描电子显微镜(SEM)拍摄的照片,示出了根据本发明又一典型实施例形成栅极侧壁氧化物层之后的部分和在现有技术中形成栅极侧壁氧化物层之后的部分;和
图24C和24D是表示图24A和24B的截面图。
具体实施方式
下面将参照附图详细介绍本发明,其中附图中示出了本发明的典型实施例。但是,该发明可以以很多不同形式体现,而不应当被限制为这里所述的典型实施例。此外,提供了这些典型实施例,以便使该公开全面和完整,并完全将本发明的概念告知于本领域技术人员。
图1是表示半导体器件的截面图,其中该半导体器件采用了根据本发明的至少一个典型实施例的半导体器件的隔离方法。如图1所示,根据本发明的至少一个典型实施例的半导体器件包括在半导体衬底100中凹入预定深度的沟槽110。在没有被沟槽110占据的半导体衬底100的部分表面上形成用做掩模的绝缘掩模层103,其中依次淀积基底氧化物层101和氮化硅层102。在沟槽110的侧壁和底部形成作为保护层的氧化物层105。在绝缘掩模层103的侧壁上形成侧壁保护层107。在氧化物层105和侧壁保护层107上形成预定厚度的氮化硅的沟槽衬里层109。形成氧化硅层111以填充沟槽110。
图2-9是表示隔离图1中所示的半导体器件的单独器件的典型方法的截面图。参见图2,基底氧化物层101和氮化硅层102依次形成在半导体衬底100上,以便形成绝缘掩模层103。在一个典型实施例中,基底氧化物层102是利用热氧化形成的,其中半导体衬底100的硅与氧或汽化水(H2O)反应,以便氧化。热氧化是在900-950℃的处理温度下进行的。通过化学汽相淀积(CVD)形成厚度为500-1500μm的氮化硅层102。利用低压化学汽相淀积(LP CVD)形成的氮化硅层102具有高密度和良好的硬度并展现优异的机械特性。然而,当超细图案被转印到光刻胶上时,这是在形成绝缘掩模层103之后在对准曝光工艺中通过在绝缘掩模层103上照射光形成的,由于在绝缘掩模层103表面上产生不规则光反射,因此不可能在光刻胶上精细地形成该图案。换言之,图案的临界尺寸不可能好。相应地,为了减少在绝缘掩模层103表面上的光反射,可进一步在绝缘掩模层103上形成防反射层。该防反射层可以由通过等离子体增强CVD形成的氮化硅层或氮氧化硅层形成,并形成为预定厚度。
参见图3,在氮化硅层102上涂敷光刻胶,利用步进器进行对准和曝光工艺,其中步进器包括其上形成沟槽图案的标线,并且利用显影剂进行显影,由此形成在此处形成有沟槽图案的光刻胶层201。然后,通过干刻蚀法刻蚀绝缘掩模层103,由此形成沟槽图案。在一个典型实施例中,绝缘掩模层103通过反应离子刻蚀或等离子体增强干刻蚀被各向异性干刻蚀。绝缘掩模层103可以用至少两种不同方式干刻蚀。第一种方式是只刻蚀氮化硅层102,氮化硅层102下面的基底氧化物层101留下。第二种方式是氮化硅层102和基底氧化物层101都被刻蚀,以便露出半导体衬底100的硅。
参见图4,利用其上被转印了沟槽图案的绝缘掩模层103做掩模,使半导体衬底100的硅凹入预定深度,由此形成沟槽110。沟槽110的深度可以在0.1μm-1μm范围内,这取决于半导体器件的特性或设计规则。优选,沟槽110形成为朝向其底部的锥形,用于在后来工艺中减少在沟槽110淀积的填充材料中产生的空隙的可能性。沟槽刻蚀可以在光刻胶201留在绝缘掩模层103上的情况下进行,或者可以在通过清洗工艺完全去掉光刻胶201之后只用绝缘掩模层103做掩模进行。为了减少半导体衬底100的硅被含在光刻胶201中的有机材料污染的可能性,可以完全去掉光刻胶201,然后只用绝缘掩模层103做掩模,沟槽-刻蚀半导体衬底100。
参见图5,通过热氧化在通过沟槽刻蚀形成的沟槽110的侧壁和底部形成氧化保护层105。热氧化是一种干氧化,并在950℃相对高的温度下通过向沟槽110中提供氧(O2)气形成氧化硅层,在该工艺期间,为了去除在其上露出硅的区域上的污染金属,优选注入盐酸(HCl)气体(这个工艺被称为清洗氧化)。结果是,在沟槽110中形成未被金属污染的氧化保护层105。氧化保护层105可以不形成在已经形成氮化硅层或氧化硅层的区域上。引入氧化保护层105是为了修复在沟槽刻蚀中对沟槽110的等离子体损伤和通过氧化缺陷部分而减少由等离子体损伤造成的缺陷。此外,氧化保护层105可以减少污染物,如过渡金属或有机材料在沟槽110中进入硅衬底中,并作为缓冲层,用于减少后来形成的以填充沟槽110的填充绝缘层的累积应力直接转移到沟槽110的侧壁上。
接着,通过快速热氧化在由氮化硅层形成的绝缘掩模层103的表面上形成氧化硅层。这里,氧化硅层可以利用快速热氧化同时形成在绝缘掩模层103的侧壁上和沟槽110的侧壁或内壁上。湿氧化或干氧化可用做快速热氧化。在大多数情况下,氮化硅层更容易被采用快速热处理(RTP)的湿氧化氧化。在700-1150℃的温度下利用RTP并向反应器中以O2∶H2适当比提供氧气和氢气的混合气体,在氮化硅层上形成该氧化硅层。在典型实施例中,提供到反应器中的氢气与总混合气体的体积比为约1-50%。反应器的压力可以调整到1乇-760乇的范围内。结果是,在绝缘掩模层103的侧壁和上表面上形成侧壁氧化物层107,并且氧化保护层105变得更厚(在没有分开形成氧化保护层105的情况下,在该步骤中在沟槽110的侧壁上形成氧化保护层105)。这样,可减少在形成沟槽110中发生由错位或堆叠缺陷产生的晶格应变,由此在已经完成制造半导体器件所需要的所有工艺之后,提高了半导体器件的电特性。
参见图6,沟槽衬里层109是通过低压化学汽相淀积(LP CVD)而由氧化保护层105和侧壁氧化物层107上的氮化硅层形成的。形成得具有高密度的沟槽衬里层109减少了与沟槽110的上部相邻的绝缘填料层111或基底氧化物层101在后来湿处理如湿清洗或湿刻蚀中被过刻蚀的可能性,因此减少了沿着沟槽110中的绝缘填料层111和基底氧化物层110之间的边界产生凹痕。
接下来,在沟槽衬里层109上厚厚地淀积由氧化硅层形成的绝缘填料层111,以便填充沟槽110。绝缘填料层111可通过低压化学汽相淀积(LP CVD)或利用等离子体的等离子体增强化学汽相淀积(PECVD)形成。绝缘填料层111可通过高密度等离子体化学汽相淀积(HDP CVD)形成。臭氧原硅酸四乙酯(TEOS(Si(OC2H5)4)氧化物层、硅烷基氧化物层或未掺杂硅酸盐玻璃(USG)层可用于绝缘填料层111。或者,高处理温度氧化物(HTO)和硼磷硅酸盐玻璃(BPSG)之一与臭氧原硅酸四乙酯、硅烷基氧化物和USG之一的混合层可用于绝缘填料层111。淀积绝缘填料层111以完全填充沟槽110之后,在惰性气氛中在800-1150℃的处理温度下使绝缘填料层111致密化。然后,绝缘填料层111被压缩和致密化,以便具有高机械强度和高耐化学性。这样,绝缘填料层111在氟酸溶液如HF或缓冲HF(BHF)中不被刻蚀,其中的氟酸是在后来的刻蚀工艺中使用的用于氧化硅层的刻蚀液,并且在刻蚀工艺之后可以留下,由此减少沟槽110的边缘塌陷的可能性和减少在沟槽110的中心周围产生空隙。
参见图7,除了填充沟槽110的部分绝缘填料层111之后,去掉形成在半导体衬底100上的绝缘填料层111。通过化学机械抛光,抛光该绝缘填料层111以使其与绝缘掩模层103所包含的氮化硅层102齐平。结果是,只在沟槽110中留下绝缘填料层111。在该化学机械抛光工艺中,呈现氮化硅层相对于氧化硅层的低抛光选择性的方法可用于保护位于氧化硅层111下面的半导体衬底100的下层和硅的目的。
参见图8,为了完成隔离工艺并露出半导体衬底100的硅,首先去掉形成在其上形成有器件的区域中的绝缘掩模层103所包含的氮化硅层102。可通过干刻蚀或使用刻蚀液的湿刻蚀去掉氮化硅层102。为了在不对半导体衬底100的硅产生等离子体损伤的情况下进行刻蚀工艺,可通过使用磷酸(H3PO4)的湿刻蚀去掉氮化硅层102。如果不完全从基底氧化物层100的表面上去掉氮化硅层102,则基底氧化物层101可以在后面刻蚀工艺中被刻蚀的很好。这样,氮化硅层102可以被过刻蚀约基准刻蚀时间的100-200%,以便从基底氧化物层101表面上完全去掉氮化硅层102。由于用于去除氮化硅层102的刻蚀工艺,基底氧化物层101和绝缘填料层111被轻微刻蚀,并磨损掉一点,置入侧壁氧化物层107和绝缘填料层111之间的沟槽衬里层109还趋于被轻微刻蚀和凹入。然而,沟槽衬里层109被刻蚀的深度不可能到达半导体衬底100表面以下。
参见图9,留在其上可放置器件的区域上的基底氧化物层可以被去除,以便露出半导体衬底100的表面。基底氧化物层可以通过湿刻蚀去除。含HF或BHF的溶液或者HF或BHF的稀释溶液可用做刻蚀液。为了减少在刻蚀工艺之后很容易形成的水标志保留在半导体衬底100上,可在半导体衬底100上进行过氧化氢(H2O2)处理,然后利用异丙醇(IPA)烘干法烘干半导体衬底100。在湿刻蚀工艺期间,侧壁氧化物层107和基底氧化物层101被刻蚀并去除,由氧化硅层形成并暴露于外部的绝缘填料层111露出预定厚度。结果,如图9所示,绝缘填料层111、沟槽衬里层109和氧化保护层105的上表面几乎与半导体衬底100的表面齐平。然而,相对于半导体衬底100没有阶梯高度差的绝缘填料层111不总是很好的。相反,绝缘填料层111可以形成为具有相对于半导体衬底100的表面的阶梯高度差。为此,通过调整绝缘掩模层103的厚度、绝缘掩模层103的抛光程度、基底氧化物层101的厚度和基底氧化物层101被刻蚀的程度,沟槽110可以形成为具有稍高于半导体衬底100的其它部分的阶梯高度差。
如上所述,在本发明至少一个典型实施例中的半导体器件的隔离方法,通过在绝缘掩模层103的侧壁上形成预定厚度的侧壁氧化物层107,可以减少沿着沟槽110的边缘产生凹痕的可能性。此外,根据本发明的典型实施例的半导体器件隔离方法,通过在高处理温度(或采用高温处理)下形成侧壁氧化物层107,可以修复对沟槽110的损伤和由沟槽刻蚀产生的缺陷,由此在完成半导体器件的制造之后,可以减少漏电流。而且,通过减少产生不希望的现象,如在I-V曲线中涉及阈值电压的***现象,可以增强器件的电特性。
图10是表示在本发明典型实施例的半导体器件隔离方法中通过热氧化在氮化硅层上形成氧化硅层的步骤的单元工艺流程图。如图10所示,在步骤s1中,在半导体衬底100上形成具有图案的氮化物层。在步骤s2,在高温反应器或高温反应室中将半导体衬底快速加热到预定处理温度。在步骤s3,通过注入与硅反应形成氧化物层的反应物质(元素)如氧化气体,并使反应材料与半导体衬底接触,在氮化硅层上形成预定厚度的氧化硅层。
在典型实施例中,加热半导体衬底所需要的处理温度设定为在700℃-1100℃的范围内,此外,反应器或反应室的压力可设定为在1-760乇的范围内。
氧化气体可以是具有O2∶H2适当比的氧(O2)和氢(H2)混合气体。在典型实施例中,考虑到突然***的可能性,氢气的体积可以调整到比氧气的体积少,这样氢气与混合气体的体积比可以为1-50%。
为了提供作为等离子体型的氧化气体,含有Kr和氧O2气体的反应气体被注入到等离子体反应室中,这样氧气被转换成氧等离子体。将氧等离子体提供给半导体衬底。然后,可以更容易地发生氮化硅层与氧等离子体之间的反应,因此通过反应可以更快速地形成氧化硅层。
代替用在本发明典型实施例中使用的通过热氧化或化学汽相淀积形成的氧化物层,侧壁氧化物层107可采用通过氧化由化学汽相淀积形成的多晶硅得到的氧化硅层。
代替本发明典型实施例中的氮化硅层,硼氮化物(BN)或氧化铝(Al2O3)层可用于沟槽衬里层109。BN可通过低压化学汽相淀积(LPCVD)或原子层淀积(ALD)形成,其中原子层淀积是光化学汽相淀积类型。然而,由于必须薄薄地形成沟槽衬里层109,因此可以利用ALD形成BN。而且,在形成氧化铝层作为沟槽衬里层109的情况下,可采用ALD。
图11-18是表示根据本发明的又一典型实施例的制造半导体器件的方法的截面图。对于上述典型实施例和该典型实施例之间的区别,下面将介绍除了用于半导体衬底的参考标记以外的具有其它参考标记的其它元件。
参见图11,在其上露出硅的半导体衬底100上形成栅绝缘层121。这里,其中氧化硅层被氮源气氮化的的氮化硅层以及氧化硅层可用于栅绝缘层121。
形成栅绝缘层121之后,在栅绝缘层121上形成栅导电层122。栅导电层122是具有给定导电性的层,被掺杂磷(P)或砷(As)的多晶硅可用于栅导电层122。可以采用低压化学汽相淀积(LP CVD)形成栅导电层122,并且此时通过向半导体衬底100提供硅源气和掺杂磷(P)的源气,可原位掺杂杂质,结果是工艺简单,掺杂的浓度均匀。
当需要不超过通过向多晶硅中掺杂杂质如磷(P)得到的表面电阻(Rs)的特性时,可通过组合具有较低表面电阻(Rs)的金属硅化物如硅化钨(WSi)、硅化钛(TiSi)或硅化钴(CoSi)形成栅导电层122。
形成栅导电层122之后,在栅导电层122上形成作为绝缘掩模层140的氮化硅层。由于在刻蚀栅极图案和沟槽图案时将厚厚地刻蚀该层,因此氮化硅层可用做保护层,以便减少与长时间暴露的等离子体的物理碰撞和由电源的震动产生的损伤。要刻蚀的层很厚,光刻胶不会留下作为掩模层,直到刻蚀沟槽为止,因此氮化硅层还可以用做刻蚀掩模。即使由于绝缘掩模层140的高密度和大的硬度而使绝缘掩模层140形成得比具有优异机械特性的层厚,绝缘掩模层140形成为一层,它将给形成在绝缘掩模层下面的栅导电层或给半导体衬底100的硅施加减少应力。这样,氮化硅层可以通过使用等离子体的等离子体增强CVD形成。在该层需要洁净度或硬度时,氮化硅层(Si3N4)还可以通过LP CVD形成。
通过这种方式,栅绝缘层121、栅导电层122和绝缘掩模层140依次形成在半导体衬底100上。在栅导电层122和绝缘掩模层140形成为分别与多晶硅和氮化硅层互相接触的情况下,这是由于优异的粘附性,在用于剥离绝缘掩模层140的后续工艺中,栅导电层122可能被用做下层的多晶硅损伤。这样,通过CVD形成的氧化硅层可以置于栅导电层122和作为绝缘缓冲层130的绝缘掩模层140之间,并且氮化硅层可形成在作为绝缘掩模层140的氧化硅层上。采用LP CVD形成的并用做氧化硅层的中温氧化物(MTO)层、TEOS氧化物层或高温氧化物(HTO)层可用于绝缘缓冲层130。
参见图12,用光刻胶200涂敷绝缘掩模层140,通过对准曝光和显影处理在光刻胶200上形成有栅极和沟槽图案。首先,用其上形成栅极和沟槽图案的光刻胶200做掩模,通过干刻蚀在由氮化硅层形成的绝缘掩模层140中形成栅极和沟槽图案。用光刻胶200做掩模,依次干刻蚀作为氧化硅层的下层绝缘缓冲层130和栅导电层122,栅极和沟槽图案作为掩模被转移,由此形成栅极120。在这种情况下,通过过刻蚀完全去掉栅绝缘层121并用光刻胶200和绝缘掩模层140做掩模,将半导体衬底100的硅101刻蚀到预定深度,由此形成向下凹入硅101的沟槽150。随后,可通过湿刻蚀去掉剩余光刻胶200和在沟槽刻蚀期间产生的聚合物。通过这种方法,可在半导体衬底100上同时形成用于隔离单独器件的栅极120和沟槽150。
参见图13,在露出硅101的沟槽150的侧壁上形成衬里绝缘层170,在其上露出栅导电层122的栅极120侧壁上形成栅侧壁绝缘层125。衬里绝缘层170和栅侧壁绝缘层125通过热氧化由氧化硅层形成。衬里绝缘层170和栅侧壁绝缘层125是通过在预定温度下加热半导体衬底100,使选择的氧化气体与硅的氧化反应形成的,其中氧化气体提供到其上露出硅101的沟槽150的侧壁上和栅极120的侧壁上。氧化气体可以是氢(H2)和氧(O2)的混合气体并与在半导体衬底100上露出的硅发生湿和干氧化反应,形成氧化硅层(SiO2)。这样,氧化硅层具有由干氧化和湿氧化产生的两种特性。可以通过需要约几秒-几十秒的短时间的快速热处理加热半导体衬底100,以便减少处理时间和在半导体衬底100上累积的热聚集。用于形成氧化物层的处理温度取决于要形成的氧化硅层的厚度,但是可在800-1150℃之间的相对高温下形成氧化物层,由此提高氧化物层的特性。在薄薄地形成栅侧壁绝缘层125和作为氧化硅层的衬里绝缘层170的情况下,氧化物层的生长率很高,并且很难控制氧化物层的厚度和均匀性,该氧化物层是在0.1-700乇的低压下形成的,以便减小其生长率。通过这种方式,氧化用做掩模的绝缘层的侧壁,由此减少在栅极的上部和绝缘掩模层140之间的界面处产生的鸟嘴现象。
参见图14,在半导体衬底100上形成厚绝缘填料层190以填充沟槽150。该绝缘填料层190可以是通过LP CVD或等离子体的CVD形成的氧化硅层。
参见图15,通过平面化工艺去掉形成在半导体衬底100上的绝缘填料层190到预定厚度。如图15所示,用绝缘掩模层140做抛光停止层,在绝缘掩模层140的上部进行化学机械抛光,以便抛光绝缘填料层190,由此只留下在沟槽区域中的绝缘填料层190,用于隔离单独的器件。
参见图16,均匀去掉绝缘填料层190、绝缘掩模层140和绝缘缓冲层130到与栅极120的上表面相邻的部分,选择去掉留在栅极120上的绝缘掩模层140以露出栅极120的表面。可通过至少两种方式去掉绝缘掩模层140到栅极120的上表面。
第一种方式是,通过采用磷酸(H3PO4)溶液的湿刻蚀在高温下完全去掉由氮化硅层(Si3N4)形成的绝缘掩模层140,然后,通过采用氟酸溶液如HF或缓冲的HF(BHF)的湿刻蚀去掉由氧化硅层(SiO2)形成的绝缘缓冲层130。
第二种方式是,通过干刻蚀去掉由氮化硅层形成的绝缘掩模层140,通过湿刻蚀去掉绝缘缓冲层130。然后,栅极120的上表面暴露于半导体衬底100,并且通过与栅极120的上表面的阶梯高度差,在其中形成沟槽150的隔离区域中平面化绝缘填料层190。
参见图17,在栅极120的上表面上淀积作为导电材料的掺杂杂质的多晶硅。使用形成图案的工艺如光刻工艺和干刻蚀工艺在导电材料上形成中间栅极123。在中间栅极123表面上形成作为绝缘层的介质层211。该介质层211决定器件的特性,但一般由氧化硅层或氮化硅层形成。然而,在由于闪速存储器的特性的需要而在栅极120和第二栅极210之间具有高介电常数的情况下,可以采用由高介质材料如Ta2O5、PLZT、PZT或BST形成的高介质层,其中上述介质材料可适用于动态随机存取存储器(DRAM)。
参见图18,第二栅导电层212形成在介质层211上。
第二栅导电层212可由通过掺杂磷(P)或砷(As)作为杂质形成的多晶硅形成,以便具有导电性。第二栅导电层212可利用LP CVD通过原位杂质掺杂形成。在第二栅导电层212需要较低表面电阻的情况下,掺杂多晶硅就不够了,因此可采用通过组合具有低电阻率的金属硅化物形成的多晶硅硅化物(polycide)。即,通过在其上已经形成图案的第二栅极210上淀积钛(Ti)、钼(Mo)、镍(Ni)或钴(Co),和通过在预定温度下进行热处理,使金属硅化物只在其上露出硅的栅极上热反应,由此通过用于形成TiSi、MoSi、NiSi或CoSi的自对准硅化作用形成金属硅化物。可通过金属CVD淀积WSi。
用光刻胶(未示出)涂敷第二栅导电层212,通过光刻工艺和干刻蚀工艺形成第二栅极210。之后,进行用于形成源和漏的连续工艺,然后依次形成层间绝缘(ILD)层220、接触(未示出)和位线(未示出)。位线是通过组合具有导电性的杂质掺杂多晶硅231与硅化钨层232形成的。根据需要,通过用于形成ILD层220和接触的工艺以及金属互连工艺、多个金属互连工艺完成半导体器件。
图19-21是表示根据本发明的另一典型实施例的制造半导体器件的方法的截面图。图11-15中所示的典型实施例与该典型实施例相同,下面将介绍连续工艺。
参见图19,均匀去掉绝缘填料层190、绝缘掩模层140、和绝缘缓冲层130直到栅极120的上表面,以便露出栅极120的上表面。可通过至少两种方式去除绝缘掩模层140和绝缘缓冲层130直到栅极120的上表面。
第一种方式是,通过如图15所示的CMP去掉绝缘填料层190,通过改变用于CMP的抛光浆料以相同的抛光速率去掉氮化硅层和氧化硅层。在一个工艺中去掉绝缘填料层190和绝缘缓冲层130直到栅极120的上表面,由此一次暴露和平面化栅极120。通过采用由多晶硅形成的栅极120做抛光停止层,抛光和去除由氧化硅层形成的绝缘缓冲层130,露出栅极120的上表面。
第二种方式是两步工艺,通过采用磷酸(H3PO4)溶液的湿刻蚀去掉由氮化硅层形成的绝缘掩模层140。使用具有相对于氧化硅层和氮化硅层的高选择性的方法的干刻蚀可用于选择地去除氮化硅层。然后,在已经去掉绝缘掩模层140的位置形成不均匀的氧化硅层图案。在这个状态下,通过采用用于抛光氧化硅层的抛光浆料的CMP,均匀抛光绝缘填料层190和绝缘缓冲层130,直到露出栅极120的上表面。由多晶硅形成的栅导电层122用做抛光停止层。然后,露出栅极120的上表面,并在形成沟槽150的隔离区域中平面化绝缘填料层190。
第三种方式是,当通过CMP抛光图15所示的绝缘填料层190时,采用用于以相同的抛光速率抛光氧化硅层和氮化硅层的抛光浆料。这样,如图7所示,在一步工艺中抛光绝缘填料层190、绝缘掩模层140和绝缘缓冲层130直到栅极120的上表面。
参见图20,介质层211形成在栅极120的上表面上作为绝缘层,第二栅导电层212形成在介质层211上。介质层211决定器件的特性,但是一般由氧化硅层或氮化硅层形成。然而,在由于闪速存储器件的特性而在栅极120和第二栅极210之间需要高介电常数的情况下,可以采用由高介质材料如Ta2O5、PLZT、PZT或BST形成的高介质层,其中上述介质材料可适用于动态随机存取存储器(DRAM)。
第二栅导电层212可由通过掺杂作为杂质的磷(P)或砷(As)以便具有导电性的多晶硅形成。第二栅导电层212可利用LP CVD通过原位杂质掺杂形成。在第二栅导电层212需要低表面电阻的情况下,掺杂多晶硅就不够了,因此可采用通过组合具有低电阻率的金属硅化物形成的多晶硅硅化物(polycide)。即,通过在其上已经形成图案的第二栅极210上淀积钛(Ti)、钼(Mo)、镍(Ni)或钴(Co),和通过在预定温度下进行热处理,使金属硅化物只在其上露出硅的栅极上热反应,由此通过用于形成TiSi、MoSi、NiSi或CoSi的自对准硅化作用形成金属硅化物。可通过金属CVD淀积WSi。
参见图21,与图18中一样,用光刻胶(未示出)涂敷第二栅导电层212,并且通过光刻工艺和干刻蚀工艺形成第二栅极210。随后,进行用于形成源和漏的连续工艺,然后依次形成层间绝缘(ILD)层220、接触(未示出)和位线(未示出)。位线是通过组合具有导电性的杂质掺杂多晶硅231与硅化钨层232形成的。根据需要,通过用于形成ILD层220和接触成形的工艺以及金属互连工艺、多个金属互连工艺完成半导体器件。
在根据本发明的典型实施例用于隔离具有上述结构的半导体器件的单独器件的方法中,由于当在栅极120的侧壁上形成栅侧壁氧化物层125时采用了具有短工艺时间的快速热处理,因此可以减小在形成氧化物层期间氧化气体渗入界面的距离,以便减少沿着绝缘缓冲层130和栅极120之间的界面、和置于栅极120和硅之间的栅绝缘层121生长鸟嘴。形成栅侧壁氧化物层,同时氧化由氮化硅层形成的绝缘掩模层140,因此更均匀地进行栅导电层122的多晶硅的氧化,均匀地进行栅侧壁氧化物层125的构形,因此可减少由与相邻单元的桥接产生的缺陷。
快速热处理已经用在用于离子激活的结热处理工艺中。然而,由于在快速热处理期间,半导体衬底的温度相对不稳定,因此通过快速热处理器(RTP)难以形成均匀膜层,因此快速热处理器已经不用于形成层了。然而,近年来,由于RTP的显著发展,即RTP的结构已经被研制成单个腔室型,为了得到均匀温度而旋转半导体衬底,已经实现了更均匀的温度分布。
此外,已经改进了用于提供反应气体的方法,即,该方法可以用于半导体器件以形成均匀膜层,并且可通过快速热氧化得到该均匀膜层。就是说,氢(H2)和氧(O2)用于氧化反应气体,以便氢(H2)和氧(O2)流入反应器或反应室,产生汽化的水(H2O)并与硅反应形成湿氧化物层,湿氧化物层的特性被提高了,并且不管反应元素(物质)如硅或多晶硅怎样,生长速率都有一点差别,氧化物膜层的厚度和通过氧化沟槽中的衬底的硅形成的衬里绝缘层170的厚度或通过氧化多晶硅形成的栅侧壁绝缘层125之间有小差别,因此,湿氧化物层形成为基本上均匀的厚度。
图22是单元工艺流程图,表示根据本发明的再一典型实施例的用于在半导体存储器件的栅侧壁上形成氧化硅层的方法,图23是表示根据本发明的典型实施例用于形成氧化硅层的快速热处理器(RTP)的示意图。
参见图22和23,刻蚀沟槽或刻蚀栅极图案之后,提供其上同时露出栅极侧壁上的一部分多晶硅和沟槽中的一部分硅衬底的至少之一的半导体衬底(图1中的100)。将半导体衬底(图1中的100)放置在反应室(图23的10)中的晶片支架13上,通过真空***(图23的30)保持反应室10内为所希望的低压,通过由辐射灯构成的加热器(图23的11)在半导体衬底100上进行快速热处理。然后,通过气体提供装置20、气体入口15和反应室10以预定比向半导体衬底100同时提供氢源气和氧源气。然后,氢源气和氧源气在半导体衬低100附近反应,并产生汽化水(H2O)和O2原子团,以便同时湿氧化和干氧化在半导体衬底100上露出的硅和多晶硅,形成预定厚度的氧化硅层。图23的参考标记16表示在反应之后抽出剩余气体的气体出口。
在本发明的典型实施例中,氧源气采用氧(O2),氢源气采用氢(H2)。氧化反应气体是以氢与氧的流速比为1∶50到1∶5提供的,因而提供的氧比氢多。氢气可以以0.1-2slm的速度提供。
反应室10处于0.1-700乇之间的低压。这是因为半导体器件的设计规则特别精细,因此薄薄地形成氧化物层,并且应当通过减小氧化速率来减小生长率以实现工艺可控性。
由于只在温度必须为高温和充分发生氧化反应时,氧化物层的特性良好,因此温度在800-1150℃之间增高。特别是,为了形成具有高密度的良好和洁净的氧化物层,应该在900-1000℃之间的温度形成氧化物层。此外,由于具有电阻型加热器的标准腔室使该腔室内的处理温度达到高温要花费很长时间和半导体衬底长时间暴露于高温下,因此通过采用快速热氧化可使温度快速升高或降低,并且可以减少不需要的半导体衬底暴露于热量的时间。
图24A和24B是通过扫描电子显微镜(SEM)拍摄的照片,表示根据本发明的典型实施例在形成栅侧壁氧化物层之后的栅极截面(图24A)和在现有技术中形成栅侧壁氧化物层之后的栅极截面(图24B)。图24C和24D是表示图24A和24B的截面图,用于解释图24A和24B之间的差别。
在根据本发明的典型实施例的栅极截面(图24A)中,在栅极120和绝缘掩模层140之间的绝缘缓冲层130的界面生长的鸟嘴的尺寸比现有技术中图24B的鸟嘴尺寸小很多。
参见图24C和24D,在现有技术中,在被构图的栅极1120中的角部边缘X或在沟槽1160和栅绝缘层1121相交的角部边缘形成锐角。在栅极1120和沟槽1160(在与图15D的参考线‘A’相比界面切线为‘B’的情况下为反向倾斜,在与图15D的参考线‘A’相比界面切线为‘C’的情况下为正向倾斜)的基础上,形成在绝缘掩模层相交的边缘和角部的栅侧壁氧化物层1125的界面在参考线‘A’基础上形成在‘B’方向,并具有反向倾斜形状,因此对完成的半导体器件的电特性产生不良影响。就是说,电场集中在锐角角部,栅绝缘层1121即使在低工作电压下也很容易破裂,因此栅绝缘层1121的可靠性退化了,并且在栅极1120的边缘产生的鸟嘴现象导致产生漏电流,即软故障。此外,沟槽1160的侧壁的倾斜方向反向时,在形成衬里绝缘层1170(氧化硅层)之后在沟槽1160的边缘形成的锐角角部可能在形成结之后产生I-V曲线中的阈值电压的双***现象,因此使器件的特性退化。然而,根据本发明的典型实施例的栅侧壁氧化物层125的鸟嘴尺寸很小,并且栅侧壁氧化物层125的角部被倒圆,以便减小栅极120和沟槽160的侧壁的反向倾斜。这样,电特性不会下降。
关于反应率,代替用于反应气体的氧源气和氢源气,其它源气也可以用于反应气体。就是说,也可以采用重氢(D2)和超重氢(T2),以便适当地形成作为氢源气的反应率。由于重氢(D2)和超重氢(T2)的质量比氢(H2)的质量大,气体均匀地提供到半导体衬底上,虽然因小质量而给半导体衬底提供少量重氢(D2)或超重氢(T2)以便产生作为用于湿氧化的物质的汽化水(H2O),也不会合适地进行与氧的燃烧反应。
代替氧,氧源气可采用N2O和NO。当源气采用氧时,在高温和相对高温下氧化速率很高,因此不能保证氧化物层的均匀性。然而,当N2O和NO用于氧源气时,在反应期间产生的氧原子的数量比在氧分子分解时产生的氧原子的数量少,因此可以预料相对低的生长率,并且可以提高氧化物层的均匀性。不管源极是否是但晶硅或多晶硅,都可以均匀地形成氧化物层。这样,可以解决在侧壁(当在后面工艺中淀积多晶硅和在多晶硅中进行栅极构图时,为栅极侧壁)上产生的多晶硅残留问题。
如上所述,氧化反应气体可以只包括参与氧化反应的源气,但是氧化反应气体中还可包括作为载体气体提供以稀释反应气体的惰性气体。惰性气体可采用氮(N2)、氩气(Ar)、氦气(He)。
上述本发明的典型实施例可用于闪速存储器、电可编程只读存储器(EPROM)或与闪速存储器一样采用双栅的EEPROM。在这种情况下,代替介质层,置于栅极120(浮置栅极)和第二栅极210之间的绝缘层211可采用氧化硅层或氮化硅层。
本发明的典型实施例可适用于只有一个栅极的常规半导体存储器件。即,当其中同时形成沟槽和栅极的本发明的典型实施例适用于只有一个栅极的常规半导体存储器件时,进行制造工艺,直到形成栅极120为止,在形成栅极120之后,在不形成第二栅极(图1的220)的情况下,进行包括直接形成源和漏结的工艺的后面工艺,这些工艺可以不同于常规工艺。
根据本发明的典型实施例的半导体器件的隔离方法,通过在其上形成沟槽图案的绝缘掩模层的侧壁上形成侧壁氧化物层,可减少或防止在完成隔离工艺之后沿着沟槽的边缘产生凹痕。此外,根据本发明的典型实施例的半导体器件的隔离方法,在形成沟槽期间通过减轻在高温下形成侧壁氧化物层时产生的对沟槽的损伤或应力,可以增强涉及漏电流或阈值电压的器件电特性。
根据本发明的典型实施例的半导体器件的隔离方法,通过采用快速热氧化在同时形成有隔离沟槽图案的栅极的侧壁上形成栅侧壁绝缘层,可抑制在形成在栅极上的绝缘掩模层之间的界面处形成鸟嘴。这样,可提高由鸟嘴产生的存储器件的阈值电压的分布均匀性,由此大大地增加了半导体存储器件的生产率。
通过同时提供作为氧化气体的氧气和氢气,可在半导体衬底上同时进行湿氧化和干氧化,因此可形成具有作为干氧化层的生长率或小于干氧化层的生长率的湿氧化层的特性的氧化硅层。
此外,根据本发明典型实施例的半导体器件的隔离方法,通过在沟槽侧壁上同时形成衬里绝缘层和栅侧壁绝缘层以提高工艺生产率,可减少分散处理的数量和处理时间,并且可提高半导体器件的产率。
另外,根据本发明典型实施例的半导体器件的隔离方法可同时氧化作为绝缘掩模层的氮化硅层,以便均匀氧化下层多晶硅,由此减少由半导体存储单元之间的桥接产生的缺陷。
前面已经参照优选实施例具体示出并介绍了本发明,本领域技术人员应该理解,在不脱离由所附权利要求限定的本发明精神和范围的情况下,可以在形式和细节上做出各种改变。
Claims (76)
1、一种半导体器件的隔离方法,包括:
a)在半导体衬底的多个区域上形成绝缘掩模层图案;
b)用绝缘掩模层图案做掩模,在半导体衬底上形成预定深度的沟槽;
c)在绝缘掩模层图案上和沟槽的侧壁上形成氧化物层;
d)在氧化物层上形成沟槽衬里层;
e)在其上形成沟槽衬里层的半导体衬底上的沟槽中形成绝缘填料层,以便填充沟槽;和
f)去掉绝缘掩模层图案。
2、根据权利要求1的方法,其中a)步骤包括:
在半导体衬底上形成基底氧化物层;和
在基底氧化物层上形成氮化硅掩模层。
3、根据权利要求2的方法,其中基底氧化物层是通过热氧化半导体衬底而形成的。
4、根据权利要求2的方法,其中氮化硅掩模层是通过低压化学汽相淀积形成的。
5、根据权利要求1的方法,其中步骤a)包括:
在半导体衬底的整个表面上形成绝缘掩模层;
用光刻胶涂敷该绝缘掩模层;
通过光刻在光刻胶上形成沟槽图案;和
用光刻胶沟槽图案做掩模,在绝缘掩模层上形成沟槽图案。
6、根据权利要求5的方法,其中还包括:
在形成绝缘掩模层的步骤和用光刻胶涂敷绝缘掩模层的步骤之间形成防反射层。
7、根据权利要求6的方法,其中防反射层是由氮化硅层和氮氧化硅层之一形成的。
8、根据权利要求5的方法,其中在绝缘掩模层上形成沟槽图案的步骤中,干刻蚀绝缘掩模层,以便露出半导体衬底的表面。
9、根据权利要求5的方法,其中在绝缘掩摸层中形成沟槽图案的步骤包括去掉光刻胶。
10、根据权利要求1的方法,其中步骤a)包括:
依次在暴露于硅的半导体衬底上形成栅绝缘层、栅导电层和绝缘掩模层;和
构图该绝缘掩模层、栅导电层和栅绝缘层,形成绝缘掩摸图案和栅极。
11、根据权利要求10的方法,其中步骤a)还包括在栅极和绝缘掩模层之间形成绝缘缓冲层。
12、根据权利要求11的方法,其中绝缘掩模层是由CVD形成的氮化硅层,绝缘缓冲层是氧化硅层。
13、根据权利要求1的方法,其中在步骤b)中,沟槽是通过干刻蚀形成的。
14、根据权利要求1的方法,其中沟槽的深度在0.1-1μm的范围内。
15、根据权利要求5的方法,其中在半导体衬底中形成沟槽之后,该方法还包括:
去掉在步骤a)之后留下来的任何光刻胶。
16、根据权利要求1的方法,其中在步骤b)和c)之间,该方法还包括:
在沟槽的侧壁或内壁上形成氧化保护层。
17、根据权利要求16的方法,其中氧化保护层是通过热氧化形成的。
18、根据权利要求16的方法,还包括:
通过化学汽相淀积在氧化保护层上形成氧化物层。
19、根据权利要求1的方法,其中在步骤c)中,氧化物层是通过热氧化绝缘掩模层图案的表面形成的。
20、根据权利要求19的方法,其中在绝缘掩模层图案表面上形成氧化物层的步骤包括:
将在其上形成绝缘掩模层图案的半导体衬底加热到预定温度;和
通过向绝缘掩模层上提供氧化气体,形成预定厚度的氧化物层。
21、根据权利要求20的方法,其中加热半导体衬底的步骤是通过快速热处理进行的。
22、根据权利要求20的方法,其中加热半导体衬底的步骤是在700-1100℃的温度下进行的。
23、根据权利要求20的方法,其中形成氧化物层的步骤是在1-760乇的压力下进行的。
24、根据权利要求20的方法,其中氧化气体是氧(O2)和氢(H2)的混合气体。
25、根据权利要求24的方法,其中氢气与总混合气体的体积比为1-50%。
26、根据权利要求25的方法,其中氧气与氢气以1∶50至1∶5的体积比提供的。
27、根据权利要求26的方法,其中氢气是在0.1-2slm的流率提供的。
28、根据权利要求20的方法,其中形成氧化物层的步骤是在Kr/O2等离子体气氛中进行的。
29、根据权利要求18的方法,其中氧化物层形成为20-300μm的厚度。
30、根据权利要求1的方法,其中在步骤d)中,沟槽衬里层是由氮化硅层形成的。
31、根据权利要求30的方法,其中氮化硅层是通过低压化学汽相淀积形成的。
32、根据权利要求1的方法,其中在步骤d)中,沟槽衬里层是由氮化硼形成的。
33、根据权利要求32的方法,其中氮化硼是通过低压化学汽相淀积和原子层淀积中的一种工艺形成的。
34、根据权利要求1的方法,其中沟槽衬里层是由氧化铝形成的。
35、根据权利要求34的方法,其中氧化铝是通过原子层淀积形成的。
36、根据权利要求1的方法,其中步骤e)包括:
在沟槽中形成绝缘填料层以完全填充沟槽;
热处理绝缘填料层,以便致密化绝缘填料层;
平面化绝缘填料层,同时去掉淀积在其上将要形成器件的区域上绝缘填料层,以便使绝缘填料层只留在沟槽中。
37、根据权利要求36的方法,其中绝缘填料层由氧化硅层形成。
38、根据权利要求36的方法,其中绝缘填料层是通过化学汽相淀积形成的。
39、根据权利要求38的方法,其中绝缘填料层是采用等离子体通过化学汽相淀积形成的。
40、根据权利要求36的方法,其中热处理绝缘填料层的步骤是在800-1150℃的温度下进行的。
41、根据权利要求40的方法,其中热处理绝缘填料层的步骤是在惰性气体气氛中进行的。
42、根据权利要求36的方法,其中平面化绝缘填料层的步骤是通过化学机械抛光进行的。
43、根据权利要求42的方法,其中平面化绝缘填料层的步骤是用绝缘掩模层做抛光停止层,通过化学机械抛光进行的。
44、根据权利要求1的方法,其中在步骤f)中,通过湿刻蚀去掉绝缘掩模层图案。
45、根据权利要求44的方法,其中通过磷酸(H3PO4)溶液刻蚀绝缘掩模层图案。
46、一种半导体器件的隔离方法,包括:
a)在其上露出硅的半导体衬底上依次形成栅绝缘层、栅导电层和绝缘掩模层:
b)构图绝缘掩模层、栅导电层和栅绝缘层,以便形成绝缘掩模层图案和栅极;
c)用绝缘掩模层和栅极做掩模,在半导体衬底的硅中形成沟槽;
d)利用快速热处理,在暴露于沟槽中的半导体衬底的硅的表面上和栅极的栅导电层的侧壁上形成预定厚度的侧壁绝缘层;和
e)用绝缘填料层填充沟槽。
47、根据权利要求46的方法,其中步骤a)包括在栅导电层和绝缘掩模层之间形成绝缘缓冲层。
48、根据权利要求47的方法,其中绝缘掩模层是通过化学汽相淀积形成的氮化硅层。
49、根据权利要求47的方法,其中绝缘缓冲层是氧化硅层。
50、根据权利要求46的方法,其中在步骤d)中,侧壁绝缘层是氧化硅层。
51、根据权利要求50的方法,其中氧化硅层是在800-1150℃的处理温度下被氧化和形成的。
52、根据权利要求50的方法,其中在低压下形成氧化硅层。
53、根据权利要求52的方法,其中压力在0.1-700乇之间。
54、根据权利要求50的方法,其中在形成氧化硅层时同时使用氢(H2)气和氧(O2)气。
55、根据权利要求54的方法,其中以1∶50-1∶5的体积比提供氢气和氧气。
56、根据权利要求55的方法,其中以0.1-2slm的流速提供氢气。
57、根据权利要求46的方法,还包括:
在步骤e)之后形成第二栅极。
58、根据权利要求57的方法,其中形成第二栅极的步骤包括:
暴露栅极的上部;
在栅极表面上形成介质层;
在介质层上形成第二栅导电层;和
在第二栅导电层上形成第二栅极图案。
59、根据权利要求58的方法,其中暴露栅极上部的步骤包括:
在栅极上部形成导电材料;和
构图该导电材料以形成中间栅极。
60、根据权利要求59的方法,其中导电材料时掺杂杂质的多晶硅。
61、根据权利要求60的方法,其中介质层是高介电系数介质层。
62、根据权利要求61的方法,其中介质层是TaO5、PLZT、PZT和BST中的一种。
63、根据权利要求58的方法,其中第二栅导电层是掺杂杂质的多晶硅。
64、根据权利要求63的方法,其中第二栅导电层还形成掺杂多晶硅上的硅化物层。
65、根据权利要求64的方法,其中硅化物层是在多晶硅上通过自对准硅化作用形成的。
66、一种在半导体衬底上形成氧化硅层的方法,该方法包括:
a)制备包括其上露出硅或多晶硅的多个区域的半导体衬底;
b)将半导体衬底保持在低压气氛中;
c)在预定处理温度下快速热加热半导体衬底;和
d)向半导体衬底上提供含有氧源气和氢源气的反应气体,通过湿氧化反应和干氧化反应的组合氧化反应,在其上露出硅或多晶硅的区域上形成氧化硅层。
67、根据权利要求66的方法,其中在步骤a)中,露出区域是栅极的侧壁和沟槽的侧壁。
68、根据权利要求66的方法,其中在步骤b)中,低压是在0.1-700乇之间。
69、根据权利要66的方法,其中在步骤c)中,处理温度在800-1150℃之间。
70、根据权利要求66的方法,其中在步骤d)中,反应气体是作为氧源气的氧气(O2)和作为氢源气的氢气(H2)以预定比混合的混合气体。
71、根据权利要求70的方法,其中以1∶50-1∶5的体积比提供氧气和氢气。
72、根据权利要求71的方法,其中以1slm-10slm之间的流速提供氧气。
73、根据权利要求66的方法,其中氢源气是重氢(D2)或超重氢(T2)中的一种。
74、根据权利要求66的方法,其中氧源气是N2O和NO中的一种。
75、根据权利要求66的方法,其中反应气体还包括惰性气氛气体。
76、根据权利要求75的方法,其中该气氛气体是氮气(N2)、氩气(Ar)和氦气(He)中的一种。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR27345/2001 | 2001-05-18 | ||
KR10-2001-0027345A KR100403628B1 (ko) | 2001-05-18 | 2001-05-18 | 반도체 장치의 소자분리 방법 |
KR10-2001-0060554A KR100421049B1 (ko) | 2001-09-28 | 2001-09-28 | 반도체 메모리 장치의 제조방법 |
KR60554/2001 | 2001-09-28 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1387248A true CN1387248A (zh) | 2002-12-25 |
CN1267982C CN1267982C (zh) | 2006-08-02 |
Family
ID=36816190
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB021202222A Expired - Fee Related CN1267982C (zh) | 2001-05-18 | 2002-05-20 | 半导体器件的隔离方法 |
Country Status (4)
Country | Link |
---|---|
US (2) | US20020197823A1 (zh) |
JP (1) | JP2003045957A (zh) |
CN (1) | CN1267982C (zh) |
DE (1) | DE10222083B4 (zh) |
Cited By (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN100463144C (zh) * | 2005-09-20 | 2009-02-18 | 力晶半导体股份有限公司 | 非挥发性存储器及其制造方法 |
CN102222636A (zh) * | 2010-04-14 | 2011-10-19 | 中芯国际集成电路制造(上海)有限公司 | 浅沟槽隔离的制作方法 |
CN101558494B (zh) * | 2005-04-06 | 2012-03-28 | 飞思卡尔半导体公司 | 半导体器件及其制造方法 |
CN102931128A (zh) * | 2012-11-28 | 2013-02-13 | 上海华力微电子有限公司 | 浅沟槽隔离之边角圆化的方法 |
CN103456616A (zh) * | 2013-09-02 | 2013-12-18 | 上海华力微电子有限公司 | 制备栅氧层的工艺 |
CN107275339A (zh) * | 2017-04-20 | 2017-10-20 | 惠科股份有限公司 | 主动开关阵列基板及制造方法与应用的显示面板 |
CN108231537A (zh) * | 2017-12-05 | 2018-06-29 | 中国电子科技集团公司第五十五研究所 | 改善多晶硅侧壁粗糙度的制备方法 |
CN109075207A (zh) * | 2016-07-19 | 2018-12-21 | 应用材料公司 | 在显示装置中利用的包含氧化锆的高k介电材料 |
CN109727906A (zh) * | 2017-10-31 | 2019-05-07 | 无锡华润微电子有限公司 | N型半导体元器件的浅槽隔离结构的处理方法 |
CN109755178A (zh) * | 2017-11-06 | 2019-05-14 | 三星电子株式会社 | 制造集成电路器件的方法 |
CN110211875A (zh) * | 2019-06-06 | 2019-09-06 | 武汉新芯集成电路制造有限公司 | 一种半导体器件的制造方法 |
CN110931421A (zh) * | 2018-09-20 | 2020-03-27 | 长鑫存储技术有限公司 | 浅沟槽隔离结构及制作方法 |
CN113013034A (zh) * | 2021-02-07 | 2021-06-22 | 西安微电子技术研究所 | 一种沟槽肖特基二极管及其制作方法 |
CN114078950A (zh) * | 2020-08-18 | 2022-02-22 | 南亚科技股份有限公司 | 半导体元件及其制备方法 |
Families Citing this family (211)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003017595A (ja) * | 2001-06-29 | 2003-01-17 | Toshiba Corp | 半導体装置 |
JP3586268B2 (ja) * | 2002-07-09 | 2004-11-10 | 株式会社東芝 | 半導体装置及びその製造方法 |
DE10234734A1 (de) * | 2002-07-30 | 2004-02-12 | Infineon Technologies Ag | Verwendung von Masken aus Metalloxiden zur Bearbeitung von Oberflächen bei der Herstellung von Mikrochips |
DE10234952B3 (de) * | 2002-07-31 | 2004-04-01 | Infineon Technologies Ag | Herstellungsverfahren für eine Halbleiterstruktur mit einem Graben, insbesondere zur Verwendung bei der Herstellung eines Grabenkondensators |
US20040029389A1 (en) * | 2002-08-06 | 2004-02-12 | Winbond Electronics Corporation | Method of forming shallow trench isolation structure with self-aligned floating gate |
KR100468771B1 (ko) * | 2002-10-10 | 2005-01-29 | 삼성전자주식회사 | 모스 트랜지스터의 제조방법 |
US6649489B1 (en) * | 2003-02-13 | 2003-11-18 | Taiwan Semiconductor Manufacturing Company | Poly etching solution to improve silicon trench for low STI profile |
KR100497603B1 (ko) * | 2003-03-17 | 2005-07-01 | 삼성전자주식회사 | 트렌치 소자 분리 방법 및 이를 이용한 불휘발성 메모리장치의 제조방법 |
JP4000087B2 (ja) * | 2003-05-07 | 2007-10-31 | 株式会社東芝 | 半導体装置およびその製造方法 |
KR100543655B1 (ko) * | 2003-06-30 | 2006-01-20 | 주식회사 하이닉스반도체 | 반도체 소자의 제조방법 |
JP4545401B2 (ja) * | 2003-07-22 | 2010-09-15 | パナソニック株式会社 | 半導体装置の製造方法 |
JP4549039B2 (ja) * | 2003-08-08 | 2010-09-22 | 新日本無線株式会社 | 半導体集積回路の製造方法 |
KR100766196B1 (ko) * | 2003-08-26 | 2007-10-10 | 가부시키가이샤 히다치 고쿠사이 덴키 | 반도체 장치의 제조 방법 및 기판 처리 장치 |
KR100499642B1 (ko) * | 2003-09-05 | 2005-07-05 | 주식회사 하이닉스반도체 | 반도체 소자의 소자 분리막 제조 방법 |
JP4540320B2 (ja) * | 2003-09-19 | 2010-09-08 | Okiセミコンダクタ株式会社 | 半導体装置の製造方法 |
JP2005191512A (ja) * | 2003-12-01 | 2005-07-14 | Sharp Corp | 半導体装置の製造方法 |
JP4825402B2 (ja) * | 2004-01-14 | 2011-11-30 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US20050276922A1 (en) * | 2004-06-10 | 2005-12-15 | Henry Bernhardt | Method of forming thin dielectric layers |
US7282409B2 (en) | 2004-06-23 | 2007-10-16 | Micron Technology, Inc. | Isolation structure for a memory cell using Al2O3 dielectric |
KR100546161B1 (ko) * | 2004-07-13 | 2006-01-24 | 주식회사 하이닉스반도체 | 반도체 소자의 소자 분리막 제조 방법 |
DE102004042459B3 (de) * | 2004-08-31 | 2006-02-09 | Infineon Technologies Ag | Verfahren zur Herstellung einer Grabenisolationsstruktur mit hohem Aspektverhältnis |
US7022583B1 (en) * | 2004-11-26 | 2006-04-04 | Grace Semiconductor Manufacturing Corporation | Method of forming a shallow trench isolation device to prevent kick effect |
KR100610017B1 (ko) * | 2004-11-26 | 2006-08-08 | 삼성전자주식회사 | 비휘발성 메모리 소자 및 그 제조방법 |
KR20060068848A (ko) * | 2004-12-17 | 2006-06-21 | 삼성전자주식회사 | 중수소 가스를 사용하는 반도체 소자의 게이트 산화막형성방법 |
KR100702769B1 (ko) * | 2004-12-28 | 2007-04-03 | 주식회사 하이닉스반도체 | 반도체 소자의 소자분리막 형성방법 |
KR20060087875A (ko) * | 2005-01-31 | 2006-08-03 | 주식회사 하이닉스반도체 | 스텝게이트를 갖는 반도체소자 및 그의 제조 방법 |
US7776686B2 (en) * | 2005-03-08 | 2010-08-17 | Nec Electronics Corporation | Method of fabricating a non-volatile memory element including nitriding and oxidation of an insulating film |
KR100590383B1 (ko) * | 2005-03-09 | 2006-06-19 | 주식회사 하이닉스반도체 | 반도체 소자의 소자분리막 형성방법 |
KR100607351B1 (ko) * | 2005-03-10 | 2006-07-28 | 주식회사 하이닉스반도체 | 플래쉬 메모리 소자의 제조방법 |
KR100596889B1 (ko) * | 2005-03-22 | 2006-07-04 | 주식회사 하이닉스반도체 | 반도체 소자의 제조 방법 |
KR20060104531A (ko) * | 2005-03-30 | 2006-10-09 | 삼성에스디아이 주식회사 | 발광표시장치의 제조방법 |
KR100699843B1 (ko) * | 2005-06-09 | 2007-03-27 | 삼성전자주식회사 | 트렌치 분리영역을 갖는 모스 전계효과 트랜지스터 및 그제조방법 |
JP4756926B2 (ja) * | 2005-06-17 | 2011-08-24 | Okiセミコンダクタ株式会社 | 素子分離構造部の製造方法 |
US7473615B2 (en) * | 2005-08-05 | 2009-01-06 | Micron Technology, Inc. | Semiconductor processing methods |
JP2007048941A (ja) * | 2005-08-10 | 2007-02-22 | Fujitsu Ltd | 半導体装置の製造方法 |
KR100679833B1 (ko) * | 2005-10-21 | 2007-02-06 | 동부일렉트로닉스 주식회사 | 반도체 소자 및 그 제조 방법 |
US20080277737A1 (en) * | 2005-11-16 | 2008-11-13 | Nxp B.V. | Method of Manufacturing a Semiconductor Device and Semiconductor Device Obtained with Such a Method |
KR100643468B1 (ko) * | 2005-12-01 | 2006-11-10 | 동부일렉트로닉스 주식회사 | 절연막 스페이서가 형성된 비휘발성 메모리 소자 및 그제조 방법 |
US8501632B2 (en) * | 2005-12-20 | 2013-08-06 | Infineon Technologies Ag | Methods of fabricating isolation regions of semiconductor devices and structures thereof |
JP4984558B2 (ja) * | 2006-02-08 | 2012-07-25 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
US7754611B2 (en) * | 2006-02-28 | 2010-07-13 | Macronix International Co., Ltd. | Chemical mechanical polishing process |
US7767588B2 (en) * | 2006-02-28 | 2010-08-03 | Freescale Semiconductor, Inc. | Method for forming a deposited oxide layer |
US8936995B2 (en) | 2006-03-01 | 2015-01-20 | Infineon Technologies Ag | Methods of fabricating isolation regions of semiconductor devices and structures thereof |
JP4560820B2 (ja) * | 2006-06-20 | 2010-10-13 | エルピーダメモリ株式会社 | 半導体装置の製造方法 |
KR100791334B1 (ko) * | 2006-07-26 | 2008-01-07 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속 산화막 형성 방법 |
US20080054409A1 (en) * | 2006-08-31 | 2008-03-06 | Cheon-Man Shim | Fabricating method of semiconductor device |
KR100829600B1 (ko) * | 2006-10-02 | 2008-05-14 | 삼성전자주식회사 | 비휘발성 메모리 장치의 제조 방법 |
US7524777B2 (en) * | 2006-12-14 | 2009-04-28 | Texas Instruments Incorporated | Method for manufacturing an isolation structure using an energy beam treatment |
KR100868654B1 (ko) * | 2006-12-27 | 2008-11-12 | 동부일렉트로닉스 주식회사 | 반도체 소자의 트렌치 형성 방법 |
US8337950B2 (en) * | 2007-06-19 | 2012-12-25 | Applied Materials, Inc. | Method for depositing boron-rich films for lithographic mask applications |
US20100193900A1 (en) * | 2007-07-13 | 2010-08-05 | National University Corporation Tohoku University | Soi substrate and semiconductor device using an soi substrate |
KR100913331B1 (ko) * | 2007-09-20 | 2009-08-20 | 주식회사 동부하이텍 | 모스 트랜지스터 및 그의 제조 방법 |
JP2009170781A (ja) * | 2008-01-18 | 2009-07-30 | Toshiba Corp | 不揮発性半導体記憶装置およびその製造方法 |
JP2009272365A (ja) * | 2008-05-01 | 2009-11-19 | Renesas Technology Corp | 半導体装置の製造方法 |
US8133797B2 (en) * | 2008-05-16 | 2012-03-13 | Novellus Systems, Inc. | Protective layer to enable damage free gap fill |
KR100950480B1 (ko) * | 2008-06-20 | 2010-03-31 | 주식회사 하이닉스반도체 | 스페이스 패터닝 기술을 이용한 반도체 소자의 활성영역형성방법 |
JP2010027904A (ja) * | 2008-07-22 | 2010-02-04 | Elpida Memory Inc | 半導体装置の製造方法 |
US7910491B2 (en) * | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US8563090B2 (en) * | 2008-10-16 | 2013-10-22 | Applied Materials, Inc. | Boron film interface engineering |
JP2010199156A (ja) * | 2009-02-23 | 2010-09-09 | Panasonic Corp | 半導体装置及びその製造方法 |
JP2010272675A (ja) * | 2009-05-21 | 2010-12-02 | Toshiba Corp | 半導体記憶装置 |
KR101062849B1 (ko) * | 2009-10-30 | 2011-09-07 | 주식회사 하이닉스반도체 | 반도체 장치 및 그 제조 방법 |
US8946851B1 (en) | 2009-11-13 | 2015-02-03 | Maxim Integrated Products, Inc. | Integrated MOS power transistor with thin gate oxide and low gate charge |
US20110115019A1 (en) * | 2009-11-13 | 2011-05-19 | Maxim Integrated Products, Inc. | Cmos compatible low gate charge lateral mosfet |
US8963241B1 (en) | 2009-11-13 | 2015-02-24 | Maxim Integrated Products, Inc. | Integrated MOS power transistor with poly field plate extension for depletion assist |
US8987818B1 (en) | 2009-11-13 | 2015-03-24 | Maxim Integrated Products, Inc. | Integrated MOS power transistor with thin gate oxide and low gate charge |
US20110115018A1 (en) * | 2009-11-13 | 2011-05-19 | Maxim Integrated Products, Inc. | Mos power transistor |
US8969958B1 (en) | 2009-11-13 | 2015-03-03 | Maxim Integrated Products, Inc. | Integrated MOS power transistor with body extension region for poly field plate depletion assist |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10672748B1 (en) | 2010-06-02 | 2020-06-02 | Maxim Integrated Products, Inc. | Use of device assembly for a generalization of three-dimensional heterogeneous technologies integration |
US8349653B2 (en) | 2010-06-02 | 2013-01-08 | Maxim Integrated Products, Inc. | Use of device assembly for a generalization of three-dimensional metal interconnect technologies |
US8605481B2 (en) * | 2010-09-30 | 2013-12-10 | GlobalFoundries, Inc. | Crossbar array memory elements and related read methods |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
JP2011146733A (ja) * | 2011-03-18 | 2011-07-28 | Renesas Electronics Corp | 半導体装置の製造方法 |
CN102842595B (zh) * | 2011-06-20 | 2015-12-02 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
KR20170010342A (ko) * | 2012-02-15 | 2017-01-31 | 로베르트 보쉬 게엠베하 | 도핑된 전극을 갖는 압력 센서 |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9070742B2 (en) * | 2013-01-18 | 2015-06-30 | GlobalFoundries, Inc. | FinFet integrated circuits with uniform fin height and methods for fabricating the same |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US8962430B2 (en) * | 2013-05-31 | 2015-02-24 | Stmicroelectronics, Inc. | Method for the formation of a protective dual liner for a shallow trench isolation structure |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US20160172200A1 (en) * | 2014-12-15 | 2016-06-16 | United Microelectronics Corp. | Method for fabricating non-volatile memory device |
US9202701B1 (en) * | 2014-12-17 | 2015-12-01 | United Microelectronics Corp. | Method for manufacturing silicon—oxide—nitride—oxide—silicon (SONOS) non-volatile memory cell |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
CN107154354B (zh) * | 2016-03-03 | 2020-12-11 | 上海新昇半导体科技有限公司 | 晶圆热处理的方法 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9698043B1 (en) * | 2016-05-20 | 2017-07-04 | International Business Machines Corporation | Shallow trench isolation for semiconductor devices |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
JP2018181911A (ja) * | 2017-04-04 | 2018-11-15 | 浜松ホトニクス株式会社 | 光半導体装置 |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
CN107706181A (zh) * | 2017-10-27 | 2018-02-16 | 睿力集成电路有限公司 | 高深宽比结构、电容器结构、半导体存储器件及制备方法 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
JP2018106173A (ja) * | 2018-01-10 | 2018-07-05 | 東京エレクトロン株式会社 | 反射防止機能を有する部材の製造方法 |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
JP7278184B2 (ja) * | 2019-09-13 | 2023-05-19 | キオクシア株式会社 | 半導体装置の製造方法 |
US20220293612A1 (en) * | 2021-03-15 | 2022-09-15 | Micron Technology, Inc. | Semiconductor device having sti region |
JP7393376B2 (ja) * | 2021-03-19 | 2023-12-06 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置 |
Family Cites Families (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5244843A (en) * | 1991-12-17 | 1993-09-14 | Intel Corporation | Process for forming a thin oxide layer |
US5492858A (en) * | 1994-04-20 | 1996-02-20 | Digital Equipment Corporation | Shallow trench isolation process for high aspect ratio trenches |
US5478765A (en) * | 1994-05-04 | 1995-12-26 | Regents Of The University Of Texas System | Method of making an ultra thin dielectric for electronic devices |
FR2725453B1 (fr) * | 1994-10-05 | 1996-11-08 | Atochem North America Elf | Compositions renforcantes comprenant une silice precipitee pour polymeres thermoplastiques ayant des proprietes d'anti-mottage et d'ecoulement ameliorees |
US5786263A (en) * | 1995-04-04 | 1998-07-28 | Motorola, Inc. | Method for forming a trench isolation structure in an integrated circuit |
US5891809A (en) * | 1995-09-29 | 1999-04-06 | Intel Corporation | Manufacturable dielectric formed using multiple oxidation and anneal steps |
US5756390A (en) * | 1996-02-27 | 1998-05-26 | Micron Technology, Inc. | Modified LOCOS process for sub-half-micron technology |
US5780346A (en) * | 1996-12-31 | 1998-07-14 | Intel Corporation | N2 O nitrided-oxide trench sidewalls and method of making isolation structure |
US5763315A (en) * | 1997-01-28 | 1998-06-09 | International Business Machines Corporation | Shallow trench isolation with oxide-nitride/oxynitride liner |
TWI227530B (en) * | 1997-03-05 | 2005-02-01 | Hitachi Ltd | Manufacturing method of semiconductor integrated circuit device |
US5851892A (en) * | 1997-05-07 | 1998-12-22 | Cypress Semiconductor Corp. | Fabrication sequence employing an oxide formed with minimized inducted charge and/or maximized breakdown voltage |
US6207591B1 (en) * | 1997-11-14 | 2001-03-27 | Kabushiki Kaisha Toshiba | Method and equipment for manufacturing semiconductor device |
KR100252866B1 (ko) * | 1997-12-13 | 2000-04-15 | 김영환 | 반도체소자 및 이의 제조방법 |
KR100286736B1 (ko) * | 1998-06-16 | 2001-04-16 | 윤종용 | 트렌치 격리 형성 방법 |
KR100289738B1 (ko) * | 1998-07-07 | 2001-07-12 | 윤종용 | 반도체집적회로의트렌치소자분리방법 |
JP2000031264A (ja) * | 1998-07-08 | 2000-01-28 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
US6261908B1 (en) * | 1998-07-27 | 2001-07-17 | Advanced Micro Devices, Inc. | Buried local interconnect |
US6387777B1 (en) * | 1998-09-02 | 2002-05-14 | Kelly T. Hurley | Variable temperature LOCOS process |
KR100292616B1 (ko) * | 1998-10-09 | 2001-07-12 | 윤종용 | 트렌치격리의제조방법 |
US6103581A (en) * | 1998-11-27 | 2000-08-15 | Taiwan Semiconductor Manufacturing Company | Method for producing shallow trench isolation structure |
KR100322531B1 (ko) * | 1999-01-11 | 2002-03-18 | 윤종용 | 파임방지막을 이용하는 반도체소자의 트랜치 소자분리방법 및이를 이용한 반도체소자 |
US6180492B1 (en) * | 1999-01-25 | 2001-01-30 | United Microelectronics Corp. | Method of forming a liner for shallow trench isolation |
US6140208A (en) * | 1999-02-05 | 2000-10-31 | International Business Machines Corporation | Shallow trench isolation (STI) with bilayer of oxide-nitride for VLSI applications |
US6358796B1 (en) * | 1999-04-15 | 2002-03-19 | Taiwan Semiconductor Manufacturing Company | Method to fabricate a non-smiling effect structure in split-gate flash with self-aligned isolation |
US6255194B1 (en) * | 1999-06-03 | 2001-07-03 | Samsung Electronics Co., Ltd. | Trench isolation method |
KR100363699B1 (ko) * | 1999-12-31 | 2002-12-05 | 주식회사 하이닉스반도체 | 반도체장치의 제조방법 |
US6358867B1 (en) * | 2000-06-16 | 2002-03-19 | Infineon Technologies Ag | Orientation independent oxidation of silicon |
KR20020017827A (ko) * | 2000-08-31 | 2002-03-07 | 박종섭 | 반도체 소자의 트렌치 소자분리막 형성방법 |
US6620681B1 (en) * | 2000-09-08 | 2003-09-16 | Samsung Electronics Co., Ltd. | Semiconductor device having desired gate profile and method of making the same |
JP3484410B2 (ja) * | 2000-12-14 | 2004-01-06 | 沖電気工業株式会社 | 半導体装置における素子分離領域の形成方法 |
US6355539B1 (en) * | 2001-05-07 | 2002-03-12 | Macronix International Co., Ltd. | Method for forming shallow trench isolation |
-
2002
- 2002-05-17 US US10/147,326 patent/US20020197823A1/en not_active Abandoned
- 2002-05-17 DE DE10222083A patent/DE10222083B4/de not_active Expired - Fee Related
- 2002-05-20 CN CNB021202222A patent/CN1267982C/zh not_active Expired - Fee Related
- 2002-05-20 JP JP2002145346A patent/JP2003045957A/ja not_active Ceased
-
2006
- 2006-04-06 US US11/398,536 patent/US20060183296A1/en not_active Abandoned
Cited By (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101558494B (zh) * | 2005-04-06 | 2012-03-28 | 飞思卡尔半导体公司 | 半导体器件及其制造方法 |
CN100463144C (zh) * | 2005-09-20 | 2009-02-18 | 力晶半导体股份有限公司 | 非挥发性存储器及其制造方法 |
CN102222636B (zh) * | 2010-04-14 | 2014-03-12 | 中芯国际集成电路制造(上海)有限公司 | 浅沟槽隔离的制作方法 |
CN102222636A (zh) * | 2010-04-14 | 2011-10-19 | 中芯国际集成电路制造(上海)有限公司 | 浅沟槽隔离的制作方法 |
CN102931128A (zh) * | 2012-11-28 | 2013-02-13 | 上海华力微电子有限公司 | 浅沟槽隔离之边角圆化的方法 |
CN103456616A (zh) * | 2013-09-02 | 2013-12-18 | 上海华力微电子有限公司 | 制备栅氧层的工艺 |
CN109075207B (zh) * | 2016-07-19 | 2023-08-11 | 应用材料公司 | 在显示装置中利用的包含氧化锆的高k介电材料 |
CN109075207A (zh) * | 2016-07-19 | 2018-12-21 | 应用材料公司 | 在显示装置中利用的包含氧化锆的高k介电材料 |
US11894396B2 (en) | 2016-07-19 | 2024-02-06 | Applied Materials, Inc. | High-K dielectric materials comprising zirconium oxide utilized in display devices |
US11239258B2 (en) | 2016-07-19 | 2022-02-01 | Applied Materials, Inc. | High-k dielectric materials comprising zirconium oxide utilized in display devices |
WO2018192056A1 (zh) * | 2017-04-20 | 2018-10-25 | 惠科股份有限公司 | 主动开关阵列基板及制造方法与应用的显示面板 |
CN107275339A (zh) * | 2017-04-20 | 2017-10-20 | 惠科股份有限公司 | 主动开关阵列基板及制造方法与应用的显示面板 |
CN107275339B (zh) * | 2017-04-20 | 2020-06-12 | 惠科股份有限公司 | 主动开关阵列基板及制造方法与应用的显示面板 |
CN109727906A (zh) * | 2017-10-31 | 2019-05-07 | 无锡华润微电子有限公司 | N型半导体元器件的浅槽隔离结构的处理方法 |
CN109727906B (zh) * | 2017-10-31 | 2021-01-05 | 无锡华润微电子有限公司 | N型半导体元器件的浅槽隔离结构的处理方法 |
CN109755178A (zh) * | 2017-11-06 | 2019-05-14 | 三星电子株式会社 | 制造集成电路器件的方法 |
CN109755178B (zh) * | 2017-11-06 | 2023-08-15 | 三星电子株式会社 | 制造集成电路器件的方法 |
CN108231537A (zh) * | 2017-12-05 | 2018-06-29 | 中国电子科技集团公司第五十五研究所 | 改善多晶硅侧壁粗糙度的制备方法 |
CN110931421A (zh) * | 2018-09-20 | 2020-03-27 | 长鑫存储技术有限公司 | 浅沟槽隔离结构及制作方法 |
CN110211875A (zh) * | 2019-06-06 | 2019-09-06 | 武汉新芯集成电路制造有限公司 | 一种半导体器件的制造方法 |
TWI779975B (zh) * | 2020-08-18 | 2022-10-01 | 南亞科技股份有限公司 | 具有氮化硼層之半導體元件的製備方法 |
CN114078950A (zh) * | 2020-08-18 | 2022-02-22 | 南亚科技股份有限公司 | 半导体元件及其制备方法 |
TWI825423B (zh) * | 2020-08-18 | 2023-12-11 | 南亞科技股份有限公司 | 具有氮化硼層的半導體元件及其製備方法 |
CN114078950B (zh) * | 2020-08-18 | 2024-03-29 | 南亚科技股份有限公司 | 半导体元件及其制备方法 |
CN113013034B (zh) * | 2021-02-07 | 2023-08-15 | 西安微电子技术研究所 | 一种沟槽肖特基二极管及其制作方法 |
CN113013034A (zh) * | 2021-02-07 | 2021-06-22 | 西安微电子技术研究所 | 一种沟槽肖特基二极管及其制作方法 |
Also Published As
Publication number | Publication date |
---|---|
DE10222083A1 (de) | 2002-11-28 |
DE10222083B4 (de) | 2010-09-23 |
US20020197823A1 (en) | 2002-12-26 |
US20060183296A1 (en) | 2006-08-17 |
CN1267982C (zh) | 2006-08-02 |
JP2003045957A (ja) | 2003-02-14 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1267982C (zh) | 半导体器件的隔离方法 | |
JP4174302B2 (ja) | フラッシュメモリセルの製造方法 | |
US7915138B2 (en) | Methods of manufacturing non-volatile memory devices | |
KR100426484B1 (ko) | 플래쉬 메모리 셀 및 그의 제조방법 | |
JP2003197788A (ja) | フラッシュメモリセルの製造方法 | |
KR100711519B1 (ko) | 고농도로 도핑된 실리콘 박막의 형성 방법 및 이를 이용한비휘발성 메모리 장치의 제조 방법 | |
CN1641854A (zh) | 制造半导体器件的方法 | |
CN1210783C (zh) | 半导体集成电路器件的制造工艺 | |
CN1525570A (zh) | 半导体器件及其制造方法 | |
JP4209181B2 (ja) | フラッシュメモリセルの自己整列フローティングゲート形成方法 | |
CN1779916A (zh) | 制造半导体器件的方法 | |
CN1750234A (zh) | 形成半导体器件精细图形的方法及用其形成接触的方法 | |
CN1129189C (zh) | 非易失性半导体存储器件及其制造工艺 | |
US20110183492A1 (en) | Methods of forming oxides, methods of forming semiconductor constructions, and methods of forming isolation regions | |
JP2004214621A (ja) | フラッシュメモリ素子の製造方法 | |
CN1812107A (zh) | 半导体器件和半导体器件的制造方法 | |
JP2004179624A (ja) | 半導体素子の製造方法 | |
US7736963B2 (en) | Method of forming a gate structure for a semiconductor device and method of forming a cell gate structure for a non-volatile memory device | |
CN1697154A (zh) | 具有沟道隔离的半导体器件的制造方法 | |
KR100624089B1 (ko) | 패턴 형성 방법, 이를 이용한 다중게이트 산화막 및 플래쉬메모리 셀의 제조 방법 | |
CN1440049A (zh) | 半导体装置的制造方法 | |
US20200090996A1 (en) | Method of forming a contact plug of a semiconductor integrated circuit device | |
KR100421049B1 (ko) | 반도체 메모리 장치의 제조방법 | |
US20100155819A1 (en) | Method of fabricating semiconductor device and semiconductor device | |
JP4886163B2 (ja) | 半導体素子の製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
C17 | Cessation of patent right | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20060802 |