JP4825402B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP4825402B2
JP4825402B2 JP2004007167A JP2004007167A JP4825402B2 JP 4825402 B2 JP4825402 B2 JP 4825402B2 JP 2004007167 A JP2004007167 A JP 2004007167A JP 2004007167 A JP2004007167 A JP 2004007167A JP 4825402 B2 JP4825402 B2 JP 4825402B2
Authority
JP
Japan
Prior art keywords
oxide film
silicon oxide
film
silicon
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004007167A
Other languages
English (en)
Other versions
JP2005203508A (ja
Inventor
賢司 金光
卓史 森山
直宏 細田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2004007167A priority Critical patent/JP4825402B2/ja
Priority to TW093138811A priority patent/TW200532896A/zh
Priority to US11/028,867 priority patent/US7303951B2/en
Priority to KR1020050003145A priority patent/KR20050074915A/ko
Priority to CNB2005100018237A priority patent/CN100550340C/zh
Priority to CN2008101376717A priority patent/CN101330038B/zh
Publication of JP2005203508A publication Critical patent/JP2005203508A/ja
Application granted granted Critical
Publication of JP4825402B2 publication Critical patent/JP4825402B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H23/00Tumbler or rocker switches, i.e. switches characterised by being operated by rocking an operating member in the form of a rocker button
    • H01H23/02Details
    • H01H23/12Movable parts; Contacts mounted thereon
    • H01H23/14Tumblers
    • H01H23/148Tumblers actuated by superimposed sliding element
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60JWINDOWS, WINDSCREENS, NON-FIXED ROOFS, DOORS, OR SIMILAR DEVICES FOR VEHICLES; REMOVABLE EXTERNAL PROTECTIVE COVERINGS SPECIALLY ADAPTED FOR VEHICLES
    • B60J7/00Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs
    • B60J7/02Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of sliding type, e.g. comprising guide shoes
    • B60J7/04Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of sliding type, e.g. comprising guide shoes with rigid plate-like element or elements, e.g. open roofs with harmonica-type folding rigid panels
    • B60J7/057Driving or actuating arrangements e.g. manually operated levers or knobs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • H01L21/76235Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls trench shape altered by a local oxidation of silicon process step, e.g. trench corner rounding by LOCOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H2231/00Applications
    • H01H2231/026Car

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は、半導体装置の製造技術に関し、特に、半導体基板に素子分離溝(Shallow Trench Isolation;STI)を製造するプロセスに適用して有効な技術に関する。
半導体基板に素子分離溝を形成する一般的な方法は、次の通りである。まず、単結晶シリコン基板を熱酸化してその表面に薄い酸化シリコン膜を形成し、さらにその上部にCVD(Chemical Vapor Deposition)法で窒化シリコン膜を堆積した後、フォトレジスト膜をマスクにしたドライエッチングで素子分離領域の窒化シリコン膜および酸化シリコン膜を除去する。次に、上記フォトレジスト膜を除去し、窒化シリコン膜をマスクにしたドライエッチングで基板に溝を形成した後、基板を熱酸化することによって、溝の内壁に薄い酸化シリコン膜を形成する。この酸化シリコン膜は、溝の内壁に生じたエッチングダメージの除去と、後の工程で溝の内部に埋め込まれる酸化シリコン膜のストレス緩和を目的として形成される。
次に、溝の内部を含む基板上にCVD法で厚い酸化シリコン膜を堆積した後、基板を熱処理し、溝の内部に埋め込んだ酸化シリコン膜を緻密に焼締め(デンシファイ)する。続いて、化学的機械研磨(Chemical Mechanical Polishing;CMP)法で窒化シリコン膜の上部の酸化シリコン膜を除去し、溝の内部のみに酸化シリコン膜を残した後、不要となった窒化シリコン膜をエッチングで除去する。
上記のような方法で形成される素子分離溝は、窒化シリコン膜を除去したときのシリコン基板の表面と、素子分離溝内の酸化シリコン膜の表面との間に段差が生じるために、その後の洗浄工程で素子分離溝の端部の酸化シリコン膜が下方に後退(リセス)するという現象が発生する。
そのため、活性領域の基板表面に形成するゲート酸化膜が活性領域の端部(肩部)で局所的に薄くなり、この肩部にゲート電圧の電界が集中する結果、低いゲート電圧でもドレイン電流が流れてしまう現象(キンク特性と呼ばれる)が生じることが知られており、これを解決する対策として、活性領域の肩部に丸みを付ける技術などが提案されている。
例えば、特開昭63−2371号公報(特許文献1参照)は、上記のような素子分離溝によって囲まれた基板の活性領域にチャネル幅が1μm以下の微細なMISFETを形成した場合、しきい値電圧(Vth)が低下する、いわゆる狭チャネル効果が顕在化し、デバイスとして使用不可能になる問題を指摘している。そして、このような狭チャネル効果を抑制するために、基板に溝を形成した後、950℃のウェット酸化を行なって活性領域の肩部に曲率(丸み)を持たせると共に、活性領域の肩部のゲート酸化膜を厚くすることによって、しきい値電圧の低下を防ぐ技術を開示している。
特開平2−260660号公報(特許文献2参照)は、概略次のような方法によって活性領域の肩部に丸みを付けている。まず、半導体基板の素子形成領域を酸化膜と耐酸化性膜の積層膜からなるマスクで覆い、この状態で基板を熱酸化することによって、素子分離領域の基板面にその一端が素子形成領域に食い込むように酸化膜を形成する。次に、上記耐酸化性膜をマスクにしたウェットエッチングによって、素子分離領域の上記酸化膜を除去し、続いて上記耐酸化性膜をマスクにした反応性イオンエッチングによって、素子分離領域の基板に溝を形成した後、基板を熱酸化することによって、上記溝の内壁面に熱酸化膜を形成し、併せて溝の肩部に丸みを付ける。
特開昭63−2371号公報 特開平2−260660号公報
本発明者は、従来の素子分離溝形成方法を検討した結果、次のような問題点を新規に見出した。前述したように、素子分離溝を形成する工程では、まずシリコン基板上に薄い熱酸化シリコン膜を介して窒化シリコン膜を堆積し、次に、フォトレジスト膜をマスクにしたドライエッチングで素子分離領域の窒化シリコン膜を除去する。この窒化シリコン膜は、素子分離領域のシリコン基板をエッチングして溝を形成する際のマスクとして使用するが、酸化されにくい性質を持つので、その下部のシリコン基板の表面が酸化されるのを防ぐ耐酸化膜としても機能する。
ところが、上記窒化シリコン膜上にフォトレジスト膜を形成すると、窒化シリコン膜の表面に異物が発生する。この異物は、主としてフォトレジスト膜の下層に設ける反射防止膜中の成分に由来するものと考えられ、このような異物による製造不良が引き起こされるという問題がある。
本発明の目的は、素子分離溝形成工程時において誘発される製造不良の要因を取り除く技術を提供することにある。
本発明の他の目的は、半導体装置の信頼性を向上させる技術を提供することにある。
本発明の前記ならびにその他の目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。
本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。
本発明の半導体装置の製造方法は、以下の工程を含んでいる。
(a)シリコン基板の主面上に第1酸化シリコン膜を介して窒化シリコン膜を形成する工程、
(b)前記窒化シリコン膜上に第2酸化シリコン膜を形成した後、素子分離領域が開口されたフォトレジスト膜を前記第2酸化シリコン膜上に形成する工程、
(c)前記工程(b)の後、前記素子分離領域に露出した前記第2酸化シリコン膜に対してウェットエッチング処理を施す工程、
(d)前記工程(c)の後、前記フォトレジスト膜をマスクにして前記窒化シリコン膜および前記第1酸化シリコン膜をドライエッチングすることにより、前記素子分離領域の前記シリコン基板を露出する工程、
(e)前記フォトレジスト膜を除去する工程、
(f)前記工程(e)の後、前記窒化シリコン膜をマスクにして前記シリコン基板をドライエッチングすることにより、前記素子分離領域の前記シリコン基板に溝を形成する工程、
(g)前記溝の内部を含む前記シリコン基板上に第3酸化シリコン膜を形成した後、前記溝の外部の前記第3酸化シリコン膜を化学的機械研磨法、または化学的機械研磨法を行った後にエッチバックを行う方法で除去し、前記溝の内部に前記第3酸化シリコン膜を残すことによって、前記素子分離領域の前記シリコン基板に素子分離溝を形成する工程、
(h)前記窒化シリコン膜を除去する工程。
本発明の半導体装置の製造方法は、以下の工程を含んでいる。
(a)シリコン基板の主面上に第1酸化シリコン膜を介して窒化シリコン膜を形成する工程、
(b)前記窒化シリコン膜上に、素子分離領域が開口されたフォトレジスト膜を形成する工程、
(c)前記フォトレジスト膜をマスクにして前記窒化シリコン膜および前記第1酸化シリコン膜をドライエッチングすることにより、前記素子分離領域の前記シリコン基板を露出する工程、
(d)前記フォトレジスト膜を除去する工程、
(e)前記工程(d)の後、前記窒化シリコン膜をマスクにして前記シリコン基板をドライエッチングすることにより、前記素子分離領域の前記シリコン基板に溝を形成する工程、
(f)前記工程(e)の後、ISSG酸化法を用いて前記溝の内部に露出した前記シリコン基板および前記窒化シリコン膜をそれぞれ酸化することによって、前記溝の内壁に第2酸化シリコン膜を形成し、前記窒化シリコン膜の上面および側壁に第3酸化シリコン膜を形成する工程、
(g)前記工程(f)の後、前記溝の内部を含む前記シリコン基板上に第4酸化シリコン膜を形成した後、前記溝の外部の前記第4酸化シリコン膜を化学的機械研磨法で除去し、前記溝の内部に前記第4酸化シリコン膜を残すことによって、前記素子分離領域の前記シリコン基板に素子分離溝を形成する工程、
(h)前記窒化シリコン膜をウェットエッチングで除去する工程、
(i)前記工程(h)の後、前記第1、第3および第4酸化シリコン膜に対してウェットエッチング処理を施す工程。
素子分離溝工程時において誘発される製造不良の起因を取り除くことができる。
また、半導体装置の信頼性を向上させることができる。
以下、本発明の実施の形態を図面に基づいて詳細に説明する。なお、実施の形態を説明するための全図において、同一の部材には原則として同一の符号を付し、その繰り返しの説明は省略する。
まず、本願発明者が新たに見出した素子分離溝形成工程における製造不良について述べる。素子分離溝を形成する工程では、まずシリコン基板上に薄い熱酸化シリコン膜を介して窒化シリコン膜を堆積し、次に、フォトレジスト膜をマスクにしたドライエッチングで素子分離領域の窒化シリコン膜を除去する。この窒化シリコン膜は、素子分離領域のシリコン基板をエッチングして溝を形成する際のマスクとして使用するが、酸化されにくい性質を持つので、その下部のシリコン基板の表面が酸化されるのを防ぐ耐酸化膜としても機能する。
ところが、上記窒化シリコン膜上にフォトレジスト膜を形成すると、窒化シリコン膜の表面に異物が発生する。この異物は、主としてフォトレジスト膜の下層に設ける反射防止膜中の成分に由来するものと考えられ、そして、この異物が付着した状態で素子分離領域の窒化シリコン膜をドライエッチングすると、異物がエッチングのマスクとなってその下部の窒化シリコン膜が除去されずに残る。そのため、次に、窒化シリコン膜をマスクにしたドライエッチングでシリコン基板に溝を形成すると、異物の下部に残った窒化シリコン膜の下部はシリコン基板がエッチングされないために、溝の内部に針状のシリコン突起が形成される。
この針状突起は、その先端部が極めて細いために、次の工程でシリコン基板を熱酸化して溝の内壁に薄い酸化シリコン膜を形成しても、突起の先端部は殆ど酸化されないという特徴や、突起の先端部に電界が集中し易いという特徴を備えている。そのため、溝の内部に酸化シリコン膜を埋め込んで素子分離溝を形成した後、活性領域のシリコン基板の表面にゲート酸化膜を形成し、さらにその上部にゲート電極を形成すると、素子分離溝上を横切るゲート電極と針状のシリコン突起との間で絶縁破壊が生じるという問題を引き起こす。図26は従来の製造方法によって引き起こされる製造不良について示した図である。素子分離溝30に形成された針状のシリコン突起31と、素子分離溝30の上を横切るように形成されたゲート電極32がこの針状のシリコン突起に起因して絶縁破壊を引き起こしていることが分かる。
本実施の形態によるMISFETの製造方法を図1〜図25を用いて工程順に説明する。
まず、図1に示すように、例えば1〜10Ωcm程度の比抵抗を有するp型の単結晶シリコン基板(以下、単に基板という)1を約800〜1100℃で熱酸化して、その表面に膜厚11nm程度の薄い酸化シリコン膜2を形成した後、酸化シリコン膜2の上部にCVD法で膜厚190nm〜200nm程度の窒化シリコン膜3を堆積する。窒化シリコン膜3は、素子分離領域の基板1をエッチングして溝を形成する際のマスクとして使用するが、酸化されにくい性質を持つので、その下部の基板1の表面が酸化されるのを防ぐ耐酸化膜としても機能する。窒化シリコン膜3の下部の酸化シリコン膜2は、基板1と窒化シリコン膜3との界面に生じるストレスを緩和し、このストレスに起因して基板1の表面に転位などの欠陥が発生するのを防ぐために形成する。
次に、図2に示すように、窒化シリコン膜3上に絶縁膜として、例えば、膜厚13nm程度の酸化シリコン膜4を形成する。酸化シリコン膜4は、例えば熱酸化法の一種であるISSG(In-Situ Steam Generation)酸化法(減圧した熱処理チャンバ内に水素と酸素を直接導入し、加熱した基板上でラジカル酸化反応を行う方法)で形成する。酸化シリコン膜4は、ISSG酸化法の他、CVD法を用いて堆積することもできる。
次に、図3に示すように、酸化シリコン膜4の上部に反射防止膜(BARC;Bottom-Anti-Reflective Coating)5とフォトレジスト膜6とを重ねて形成した後、露光および現像を行うことによって、素子分離溝を形成すべき領域の反射防止膜5とフォトレジスト膜6とを除去する。このとき、図4に示すように、酸化シリコン膜4の表面に異物7が発生する。この異物7は、主として酸化シリコン膜4上に形成された反射防止膜5を構成する成分に由来するものと考えられる。
そこで、本実施の形態では、上記した露光、現像処理を行った後、基板1の表面をフッ酸系のエッチング液で洗浄する。このフッ酸洗浄処理を行うことにより、図5に示すように、フォトレジスト膜6と反射防止膜5とが除去された領域(素子分離領域)に露出した酸化シリコン膜4がエッチングされるので、この領域の酸化シリコン膜4の表面に付着していた異物7がリフトオフされる。すなわち、絶縁膜(酸化シリコン膜4)は、窒化シリコン膜3上に異物が形成されることを防止するための、保護膜として形成されている。なお、ここでは窒化シリコン膜3と反射防止膜5との間に酸化シリコン膜4を介在させたが、酸化シリコン膜4に限定されるものではなく、窒化シリコン膜3が溶解しないエッチング液によって除去できる他の薄膜、例えば多結晶シリコン膜、アモルファスシリコン膜などを介在させてもよい。その場合も、酸化シリコン膜4と同様に、窒化シリコン膜3上に異物が形成されることを防止するための保護膜として機能させることができる。
次に、図6に示すように、上記フォトレジスト膜6と反射防止膜5とをマスクにしたドライエッチングによって、素子分離領域の窒化シリコン膜3とその下層の酸化シリコン膜2とを除去し、基板1の表面を露出させる。このとき、露出した基板1の表面に酸化シリコン膜2が残っていると異物発生の原因となるので、基板1をオーバーエッチングして酸化シリコン膜2を完全に除去する。このときの基板1のオーバーエッチング量は、10〜30nm程度あればよい。
図7は、上記ドライエッチングによって露出した基板1の拡大断面図である。前述したフッ酸洗浄処理の際、酸化シリコン膜4のエッチング量が不十分であったりすると、異物7の一部がリフトオフされずに残ることがある。この場合は、残った異物7がドライエッチングのマスクとなるので、図に示すように、窒化シリコン膜3とその下層の酸化シリコン膜2とをドライエッチングしたときに、異物7の下方の絶縁膜(酸化シリコン膜4、窒化シリコン膜3および酸化シリコン膜2)がエッチングされず、基板1上に残ってしまう。このとき、基板1上に残った異物7とその下方の絶縁膜(酸化シリコン膜4、窒化シリコン膜3および酸化シリコン膜2)は、後述する工程で除去する。
次に、図8に示すように、フォトレジスト膜6と反射防止膜5とをアッシングで除去し、続いてSC−1液(アンモニア水/過酸化水素水の混合液)およびSC−2液(塩酸/過酸化水素水の混合液)を使って基板1の表面を洗浄した後、フッ酸系のエッチング液による洗浄を行う。このフッ酸洗浄処理を行なうと、図9に示すように、窒化シリコン膜3の端部下(図の矢印で示す箇所)に露出した酸化シリコン膜2がエッチングされ、窒化シリコン膜3の端部よりも内側(活性領域側)へ後退する。このとき、酸化シリコン膜2の後退量を大きくすると、窒化シリコン膜3と酸化シリコン膜2との接触面積が減少し、両者の界面で剥離が生じ易くなるので、この後退量は、酸化シリコン膜2の膜厚を大きく超えない範囲に止めることが望ましい。
次に、図10に示すように、基板1を約800〜1100℃で熱酸化することによって、素子分離領域に露出していた基板1の表面に、酸化シリコン膜2よりも厚い膜厚(例えば20nm程度)の酸化シリコン膜8を形成する。この熱酸化処理を行なうことにより、窒化シリコン膜3の端部からその内側(活性領域側)へ向かって酸化シリコン膜8がバーズビーク(bird's beak)状に成長する。
次に、図11に示すように、上記の熱酸化処理で形成した酸化シリコン膜8をフッ酸系のエッチング液で除去する。このエッチング処理を行なうことにより、窒化シリコン膜3の端部下の基板1表面に緩い傾斜面が形成されると共に、窒化シリコン膜3上の酸化シリコン膜4が除去される。さらに、素子分離領域の基板1の表面に、残留異物に起因する絶縁膜(酸化シリコン膜4、窒化シリコン膜3および酸化シリコン膜2)が残っていた場合でも、窒化シリコン膜3の下部の酸化シリコン膜2が除去されるので、その上部の窒化シリコン膜3や異物7がリフトオフされる。すなわち、窒化シリコン膜3上の酸化シリコン膜4をフッ酸系のエッチング液で洗浄する工程(図5参照)で異物7の一部がリフトオフされずに残った場合でも、酸化シリコン膜8をフッ酸系のエッチング液で除去する上記の工程(図11参照)で同時に除去することができる。
次に、図12に示すように、窒化シリコン膜3をマスクにして基板1をドライエッチングすることにより、素子分離領域の基板1に深さ330nm程度の溝9aを形成する。このとき、エッチングガスの組成を調節し、溝9aの側壁に80°程度のテーパを設けることにより、後の工程で溝9aの内部に酸化シリコン膜(11)が充填され易くなる。
次に、SC−1液、SC−2液および希フッ酸を使った洗浄によって、溝9aの内壁に付着したエッチング残渣を除去した後、図13に示すように、基板1を酸化することによって、溝9aの内壁に膜厚20nm程度の酸化シリコン膜10を形成する。この酸化シリコン膜10は、溝9aの内壁に生じたドライエッチングのダメージを回復させると共に、次の工程で溝9aの内部に埋め込む酸化シリコン膜(11)と基板1との界面に生じるストレスを緩和するために形成する。
本実施の形態では、基板1を酸化して溝9aの内壁に酸化シリコン膜10を形成する方法として、ISSG酸化法を用いる。ISSG酸化法は、前述したように、減圧した熱処理チャンバ内に水素と酸素を直接導入し、加熱した基板上でラジカル酸化反応を行う方法であり、シリコンだけでなく窒化シリコンも酸化するという強力な酸化作用を有している。従って、このISSG酸化法を用いて溝9aの内壁に酸化シリコン膜10を形成すると、図14に示すように、窒化シリコン膜3の上面と側壁とに膜厚13nm程度の酸化シリコン膜10’が形成される。ISSG酸化法で形成された酸化シリコン膜10、10’は、CVD法で堆積した酸化シリコン膜や既存のウェット酸化法で形成した熱酸化膜に比べて、フッ酸に対するエッチング耐性が高い(エッチングレートが小さい)という特徴がある。すなわち、後の工程でCVD法によって形成される絶縁膜(酸化シリコン膜11)よりもフッ酸を含む溶液に対するエッチングレートが小さい。言い換えれば、酸化シリコン膜10、10’は耐フッ酸用の膜として形成されている。このように、本実施の形態では、本来、耐酸化膜として機能する窒化シリコン膜3を積極的に酸化してその表面に酸化シリコン膜10’を形成する。
次に、図15に示すように、溝9a内に絶縁膜を堆積する。この絶縁膜は、例えば高密度プラズマCVD法を用いて溝9aの内部を含む基板1上に酸化シリコン膜11を用いて堆積することができる。酸化シリコン膜11は、溝9aの上部の膜厚が600nm程度となるような厚い膜厚で堆積し、溝9aの内部に隙間なく酸化シリコン膜11を充填する。なお、溝9aの内壁と酸化シリコン膜10との間に窒化シリコン膜(図示せず)を薄く堆積してもよい。この窒化シリコン膜は、溝9aに埋め込んだ酸化シリコン膜11をデンシファイ(焼き締め)する際に、溝9aの内壁に形成された酸化シリコン膜10が活性領域側に厚く成長するのを抑制する作用がある。この窒化シリコン膜の形成方法としては、酸化シリコン膜10を形成する前にCVD法で堆積する方法や、窒素を含む雰囲気中で熱処理を施すことによって形成する方法が挙げられる。
次に、約1150℃の窒素雰囲気中で基板1を熱酸化することによって、溝9aに埋め込んだ酸化シリコン膜11の膜質を改善するためのデンシファイ(焼き締め)処理を行った後、図16に示すように、化学的機械研磨(CMP)法を用いて溝9aの上部の酸化シリコン膜11を研磨することにより、その表面を平坦化する。この研磨は、窒化シリコン膜3をストッパに用いて行ない、酸化シリコン膜11の表面の高さが窒化シリコン膜3のそれと同じになった時点を終点とする。従って、この研磨を行うと、窒化シリコン膜3の上面の酸化シリコン膜10’は除去されるが、窒化シリコン膜3の側壁には酸化シリコン膜10’が残留する。ここまでの工程により、溝9aの内部に酸化シリコン膜11が埋め込まれた素子分離溝9が完成する。また、ここでは酸化シリコン膜11の研磨をCMP法によって行うが、他の方法として、例えば、エッチバック法によっておこなうこともできる。または、CMP法を行った後にエッチバック法を組み合わせて行うことも可能である。
ここで、デンシファイ(焼き締め)処理を行った後、CMP工程に先立って、フォトレジスト膜をマスクにしたドライエッチングで窒化シリコン膜3の上部の酸化シリコン膜11を、ドライエッチング法等によりあらかじめ除去することもできる(図示せず)。このときのフォトレジスト膜のパターンは、素子分離領域の窒化シリコン膜3をドライエッチングするときに使用したフォトレジスト膜の反転パターンを使用することができる。このように、酸化シリコン膜11を予め除去することにより、CMP工程での酸化シリコン膜11の研磨量を減らすことができるため、CMP工程の処理時間を短縮することができる。また、酸化シリコン膜11の研磨量を減らしたことで、各素子分離領域における研磨後の膜厚のばらつきを低減することができる。
次に、図17に示すように、素子分離溝9に埋め込まれた酸化シリコン膜11と窒化シリコン膜3の側壁の酸化シリコン膜10’をエッチバックしてそれらの表面を窒化シリコン膜3のそれよりも僅かに下方に後退させた後、図18に示すように、熱リン酸を用いたウェットエッチングによって、窒化シリコン膜3を除去する。熱リン酸を用いたウェットエッチングは、酸化シリコンに対する窒化シリコンのエッチング選択比が約30程度であることから、窒化シリコン膜3を完全に除去しても酸化シリコン膜2、10、10’、11のエッチング量は、僅かである。従って、熱リン酸を用いたウェットエッチングで窒化シリコン膜3を除去すると、図19に拡大して示すように、活性領域の基板1上の酸化シリコン膜2の表面と、素子分離溝9内の酸化シリコン膜11の表面との間に段差が発生すると共に、窒化シリコン膜3の側壁に形成されていた酸化シリコン膜10’が酸化シリコン膜11の側壁に残留する。
次に、図20に示すように、上記段差を低減するために、フッ酸系のエッチング液を使って酸化シリコン膜2、10’、11をウェットエッチングする。このウェットエッチングを行うと、素子分離溝9の中央部付近では、その上面のみがエッチング液に晒されるのに対し、素子分離溝9の端部では、その上面と側面とがエッチング液に晒される。ところが、ISSG酸化法で形成した酸化シリコン膜10’は、CVD法で堆積した酸化シリコン膜11に比べて、フッ酸に対するエッチングレートが小さい(約0.83程度)ことから、酸化シリコン膜11の側壁に酸化シリコン膜10’が形成されている場合には、素子分離溝9の端部における酸化シリコン膜11の後退(リセス)量が抑制される。これに対し、酸化シリコン膜11の側壁に酸化シリコン膜10’が形成されていない場合には、図21に示すように、素子分離溝9の端部の酸化シリコン膜11が中央部の酸化シリコン膜11に比べて大きく下方に後退(リセス)する。このように、素子分離溝9に埋め込んだ酸化シリコン膜11よりもエッチングレートが小さい酸化シリコン膜10’を素子分離溝9の端部に形成する本実施の形態によれば、素子分離溝9の端部の後退(リセス)量を低減することができる。
次に、図22に示すように、基板1を約800〜1100℃で熱酸化して活性領域の基板1の表面に膜厚15nm程度の酸化シリコン膜12を形成する。続いて、酸化シリコン膜12を通じて基板1の一部にn型不純物(例えばリン)をイオン注入し、他部にp型不純物(ホウ素)をイオン注入した後、基板1を約950℃で熱処理して上記不純物を引き延ばし拡散することにより、基板1の一部にp型ウエル13を形成し、他部にn型ウエル14を形成する。
次に、フッ酸を用いたウェットエッチングで基板1の表面の酸化シリコン膜12を除去した後、図23に示すように、基板1上にゲート絶縁膜15を形成する。このゲート絶縁膜15は、基板1を約800〜850℃で熱酸化することによって、その表面に膜厚4nm程度の清浄なゲート酸化膜を形成する。続いてゲート絶縁膜15の上部にゲート電極16を形成する。ゲート電極16は導電性膜からなり、例えばゲート絶縁膜15上にリンをドープした多結晶シリコン膜をCVD法で堆積し、続いてその上部にスパッタリング法でWSi(タングステンシリサイド)膜を堆積し、さらにその上部にCVD法で酸化シリコン膜17を堆積した後、フォトレジスト膜(図示せず)をマスクにしたドライエッチングでこれらの膜をパターニングすることによって形成する。図24は、p型ウエル13、n型ウエル14のそれぞれに形成されたゲート電極16を示す平面図である。
本実施の形態によれば、素子分離溝9の端部の後退(リセス)量が低減されることにより、ゲート電極材料をドライエッチングしてゲート電極16を形成する際、素子分離溝9と活性領域(p型ウエル13、n型ウエル14)との境界部に沿ってゲート電極材料のエッチング残りが生じることがないので、隣接するゲート電極16同士が短絡する不良の発生を防止することができる。
次に、図25に示すように、p型ウエル13にn型不純物(リンまたはヒ素)をイオン注入してn-型半導体領域18を形成し、n型ウエル14にp型不純物(ホウ素)をイオン注入してp-型半導体領域19を形成した後、基板1上にCVD法で堆積した酸化シリコン膜を異方的にエッチングすることによって、ゲート電極16の側壁にサイドウォールスペーサ20を形成する。その後、p型ウエル13にn型不純物(リンまたはヒ素)をイオン注入することによって高不純物濃度のn+型半導体領域21(ソース、ドレイン)を形成し、n型ウエル14にp型不純物(ホウ素)をイオン注入することによって高不純物濃度のp+型半導体領域22(ソース、ドレイン)を形成する。ここまでの工程により、nチャネル型MISFET(Qn)およびpチャネル型MISFET(Qp)が完成する。
本実施の形態によれば、素子分離溝9の端部の後退(リセス)量が低減されることにより、活性領域の基板1の表面に形成されるゲート絶縁膜15が活性領域の端部(肩部)で局所的に薄くなる現象が抑制されるので、nチャネル型MISFET(Qn)およびpチャネル型MISFET(Qp)のそれぞれのキンク特性を抑制することができる。
以上、本願において開示される実施の形態のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。
反射防止膜を構成する成分に起因する異物を除去するために窒化シリコン膜上に酸化シリコン膜を形成するし、この酸化シリコン膜をウェットエッチングすることにより、異物を除去できる。また、窒化シリコン膜をパターニング後に熱酸化、ウェット洗浄を行うことにより、針状突起を除去できる。これにより、針状突起に起因するゲート電極の絶縁破壊を防止することができる。これにより半導体装置の信頼性を向上することができる。
素子分離溝の端部の後退(リセス)量が低減されることにより、ゲート電極材料をドライエッチングしてゲート電極を形成する際、素子分離溝と活性領域との境界部に沿ってゲート電極材料のエッチング残りが生じることがないので、隣接するゲート電極同士が短絡する不良の発生を防止することができる。これにより半導体装置の信頼性を向上することができる。
素子分離溝の端部の後退(リセス)量が低減されることにより、活性領域の基板の表面に形成されるゲート酸化膜が活性領域の端部(肩部)で局所的に薄くなる現象が抑制されるので、MISFETのキンク特性を抑制することができる。これにより半導体装置の信頼性を向上することができる。
以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることはいうまでもない。
本発明は、半導体集積回路装置およびその製造技術に関し、特に、微細なMISFET(Metal Insulator Semiconductor Field Effect Transistor)を形成するための素子分離構造およびその形成プロセスに適用して有効な技術に関する。
本発明の一実施の形態である半導体装置の製造工程を示す半導体基板の要部断面図である。 図1に続く半導体装置の製造工程を示す半導体基板の要部断面図である。 図2に続く半導体装置の製造工程を示す半導体基板の要部断面図である。 図3の要部拡大断面図である。 図3に続く半導体装置の製造工程を示す半導体基板の要部拡大断面図である。 図5に続く半導体装置の製造工程を示す半導体基板の要部断面図である。 図6の要部拡大断面図である。 図6に続く半導体装置の製造工程を示す半導体基板の要部断面図である。 図8の要部拡大断面図である。 図8に続く半導体装置の製造工程を示す半導体基板の要部拡大断面図である。 図10に続く半導体装置の製造工程を示す半導体基板の要部拡大断面図である。 図11に続く半導体装置の製造工程を示す半導体基板の要部断面図である。 図12に続く半導体装置の製造工程を示す半導体基板の要部断面図である。 図13に続く半導体装置の製造工程を示す半導体基板の要部拡大断面図である。 図14に続く半導体装置の製造工程を示す半導体基板の要部断面図である。 図15に続く半導体装置の製造工程を示す半導体基板の要部断面図である。 図16に続く半導体装置の製造工程を示す半導体基板の要部拡大断面図である。 図17に続く半導体装置の製造工程を示す半導体基板の要部断面図である。 図18の要部拡大断面図である。 図18に続く半導体装置の製造工程を示す半導体基板の要部拡大断面図である。 比較例の製造工程を示す半導体基板の要部拡大断面図である。 図20に続く半導体装置の製造工程を示す半導体基板の要部断面図である。 図22に続く半導体装置の製造工程を示す半導体基板の要部断面図である。 p型ウエル、n型ウエルのそれぞれに形成されたゲート電極を示す平面図である。 図23に続く半導体装置の製造工程を示す半導体基板の要部断面図である。 従来の製造方法によって引き起こされる製造不良を示す半導体基板の要部拡大断面図である。
符号の説明
1 シリコン基板
2 酸化シリコン膜
3 窒化シリコン膜
4 酸化シリコン膜
5 反射防止膜
6 フォトレジスト膜
7 異物
8 酸化シリコン膜
9 素子分離溝
9a 溝
10、10’11、12 酸化シリコン膜
13 p型ウエル
14 n型ウエル
15 ゲート絶縁膜
16 ゲート電極
17 酸化シリコン膜
18 n-型半導体領域
19 p-型半導体領域
20 サイドウォールスペーサ
21 n+型半導体領域
22 p+型半導体領域
30 素子分離溝
31 シリコン突起
32 ゲート電極
Qn nチャネル型MISFET
Qp pチャネル型MISFET

Claims (18)

  1. 以下の工程を含む半導体装置の製造方法:
    (a)シリコン基板の主面上に第1酸化シリコン膜を介して窒化シリコン膜を形成する工程、
    (b)前記窒化シリコン膜上に第2酸化シリコン膜を形成した後、素子分離領域が開口されたフォトレジスト膜を前記第2酸化シリコン膜上に形成する工程、
    (c)前記工程(b)の後、前記素子分離領域に露出した前記第2酸化シリコン膜に対してウェットエッチング処理を施す工程、
    (d)前記工程(c)の後、前記フォトレジスト膜をマスクにして前記窒化シリコン膜および前記第1酸化シリコン膜をドライエッチングすることにより、前記素子分離領域の前記シリコン基板を露出する工程、
    (e)前記フォトレジスト膜を除去する工程、
    (f)前記工程(e)の後、前記窒化シリコン膜をマスクにして前記シリコン基板をドライエッチングすることにより、前記素子分離領域の前記シリコン基板に溝を形成する工程、
    (g)前記溝の内部を含む前記シリコン基板上に第3酸化シリコン膜を形成した後、前記溝の外部の前記第3酸化シリコン膜を化学的機械研磨法、または化学的機械研磨法を行った後にエッチバックを行う方法で除去し、前記溝の内部に前記第3酸化シリコン膜を残すことによって、前記素子分離領域の前記シリコン基板に素子分離溝を形成する工程、
    (h)前記窒化シリコン膜を除去する工程。
  2. 請求項1記載の半導体装置の製造方法において、前記第2酸化シリコン膜は、熱酸化法によって形成することを特徴とする半導体装置の製造方法。
  3. 請求項1記載の半導体装置の製造方法において、前記第2酸化シリコン膜は、CVD法によって形成することを特徴とする半導体装置の製造方法。
  4. 請求項1記載の半導体装置の製造方法において、前記第2酸化シリコン膜に代えてシリコン膜を用いることを特徴とする半導体装置の製造方法。
  5. 請求項1記載の半導体装置の製造方法において、前記フォトレジスト膜の下層に反射防止膜を設けることを特徴とする半導体装置の製造方法。
  6. 請求項1記載の半導体装置の製造方法において、前記工程(e)と前記工程(f)との間に、さらに、
    (i)前記素子分離領域に露出した前記シリコン基板に対してウェットエッチング処理を施すことにより、前記窒化シリコン膜の端部下に露出した前記第1酸化シリコン膜の端部を前記窒化シリコン膜の端部よりも内側へ後退させる工程、
    (j)前記シリコン基板を熱酸化することによって、前記素子分離領域に露出した前記シリコン基板の表面に、前記第1酸化シリコン膜よりも厚い膜厚を有する第4酸化シリコン膜を形成する工程、
    (k)前記第4酸化シリコン膜をウェットエッチングで除去することによって、前記窒化シリコン膜の端部下の前記シリコン基板表面に傾斜面を形成する工程、
    を含むことを特徴とする半導体装置の製造方法。
  7. 請求項1記載の半導体装置の製造方法において、前記工程(h)の後、さらに、
    (l)前記シリコン基板にウエルを形成するための不純物を導入する工程、
    を含むことを特徴とする半導体装置の製造方法。
  8. 請求項1記載の半導体装置の製造方法において、前記工程(h)の後、さらに、
    (m)前記シリコン基板の表面にゲート絶縁膜を形成し、前記ゲート絶縁膜上にMISFETのゲート電極を形成する工程、
    を含むことを特徴とする半導体装置の製造方法。
  9. 請求項1記載の半導体装置の製造方法において、前記工程(f)と前記工程(g)との間に、さらに、
    (n)前記シリコン基板を酸化することによって、前記溝の内壁に第5酸化シリコン膜を形成する工程、
    を含むことを特徴とする半導体装置の製造方法。
  10. 請求項9記載の半導体装置の製造方法において、前記第5酸化シリコン膜は、ISSG酸化法によって形成し、前記窒化シリコン膜の表面を同時に酸化することを特徴とする半導体装置の製造方法。
  11. 以下の工程を含む半導体装置の製造方法:
    (a)シリコン基板の主面上に第1酸化シリコン膜を介して窒化シリコン膜を形成する工程、
    (b)前記窒化シリコン膜上に第2酸化シリコン膜を形成した後、素子分離領域が開口されたフォトレジスト膜を前記第2酸化シリコン膜上に形成する工程、
    (c)前記工程(b)の後、前記素子分離領域に露出した前記第2酸化シリコン膜に対してウェットエッチング処理を施す工程、
    (d)前記工程(c)の後、前記フォトレジスト膜をマスクにして前記窒化シリコン膜および前記第1酸化シリコン膜をドライエッチングすることにより、前記素子分離領域の前記シリコン基板を露出する工程、
    (e)前記フォトレジスト膜を除去する工程、
    (f)前記工程(e)の後、前記窒化シリコン膜をマスクにして前記シリコン基板をドライエッチングすることにより、前記素子分離領域の前記シリコン基板に溝を形成する工程、
    (g)前記工程(f)の後、ISSG酸化法を用いて前記溝の内部に露出した前記シリコン基板および前記窒化シリコン膜をそれぞれ酸化することによって、前記溝の内壁に第3酸化シリコン膜を形成し、前記窒化シリコン膜の上面および側壁に第4酸化シリコン膜を形成する工程、
    (h)前記工程(g)の後、前記溝の内部を含む前記シリコン基板上に第5酸化シリコン膜を形成し、前記溝の外部の前記第5酸化シリコン膜を化学的機械研磨法、または化学的機械研磨法を行った後にエッチバックを行う方法で除去することによって、前記素子分離領域の前記シリコン基板に素子分離溝を形成する工程、
    (i)前記窒化シリコン膜をウェットエッチングで除去する工程、
    (j)前記工程(i)の後、前記第1、第4および第5酸化シリコン膜に対してウェットエッチング処理を施す工程。
  12. 請求項11記載の半導体装置の製造方法において、前記第2酸化シリコン膜は、熱酸化法によって形成することを特徴とする半導体装置の製造方法。
  13. 請求項11記載の半導体装置の製造方法において、前記第2酸化シリコン膜は、CVD法によって形成することを特徴とする半導体装置の製造方法。
  14. 請求項11記載の半導体装置の製造方法において、前記第2酸化シリコン膜に代えてシリコン膜を用いることを特徴とする半導体装置の製造方法。
  15. 請求項11記載の半導体装置の製造方法において、前記フォトレジスト膜の下層に反射防止膜を設けることを特徴とする半導体装置の製造方法。
  16. 請求項11記載の半導体装置の製造方法において、前記工程(e)と前記工程(f)との間に、さらに、
    (k)前記素子分離領域に露出した前記シリコン基板に対してウェットエッチング処理を施すことにより、前記窒化シリコン膜の端部下に露出した前記第1酸化シリコン膜の端部を前記窒化シリコン膜の端部よりも内側へ後退させる工程、
    (l)前記シリコン基板を熱酸化することによって、前記素子分離領域に露出した前記シリコン基板の表面に、前記第1酸化シリコン膜よりも厚い膜厚を有する第6酸化シリコン膜を形成する工程、
    (m)前記第6酸化シリコン膜をウェットエッチングで除去することによって、前記窒化シリコン膜の端部下の前記シリコン基板表面に傾斜面を形成する工程、
    を含むことを特徴とする半導体装置の製造方法。
  17. 請求項11記載の半導体装置の製造方法において、前記工程(j)の後、さらに、
    (n)前記シリコン基板を酸化することによって、前記素子分離溝によって囲まれた活性領域の前記シリコン基板の表面に第7酸化シリコン膜を形成する工程、
    (o)前記工程(n)の後、前記シリコン基板にウエルを形成するための不純物を導入する工程、
    を含むことを特徴とする半導体装置の製造方法。
  18. 請求項17記載の半導体装置の製造方法において、前記工程(o)の後、さらに、
    (p)前記シリコン基板の表面にゲート絶縁膜を形成し、前記ゲート絶縁膜上にMISFETのゲート電極を形成する工程、
    を含むことを特徴とする半導体装置の製造方法。
JP2004007167A 2004-01-14 2004-01-14 半導体装置の製造方法 Expired - Fee Related JP4825402B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2004007167A JP4825402B2 (ja) 2004-01-14 2004-01-14 半導体装置の製造方法
TW093138811A TW200532896A (en) 2004-01-14 2004-12-14 A method of manufacturing a semiconductor device
US11/028,867 US7303951B2 (en) 2004-01-14 2005-01-05 Method of manufacturing a trench isolation region in a semiconductor device
KR1020050003145A KR20050074915A (ko) 2004-01-14 2005-01-13 반도체 장치의 제조 방법
CNB2005100018237A CN100550340C (zh) 2004-01-14 2005-01-13 制造半导体器件的方法
CN2008101376717A CN101330038B (zh) 2004-01-14 2005-01-13 制造半导体器件的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004007167A JP4825402B2 (ja) 2004-01-14 2004-01-14 半導体装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011060088A Division JP2011146733A (ja) 2011-03-18 2011-03-18 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2005203508A JP2005203508A (ja) 2005-07-28
JP4825402B2 true JP4825402B2 (ja) 2011-11-30

Family

ID=34737296

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004007167A Expired - Fee Related JP4825402B2 (ja) 2004-01-14 2004-01-14 半導体装置の製造方法

Country Status (5)

Country Link
US (1) US7303951B2 (ja)
JP (1) JP4825402B2 (ja)
KR (1) KR20050074915A (ja)
CN (2) CN100550340C (ja)
TW (1) TW200532896A (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3955404B2 (ja) * 1998-12-28 2007-08-08 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US7148120B2 (en) * 2004-09-23 2006-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming improved rounded corners in STI features
KR100745967B1 (ko) * 2006-07-28 2007-08-02 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
CN101393892B (zh) * 2007-09-20 2010-06-09 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
US7851374B2 (en) * 2007-10-31 2010-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon wafer reclamation process
JP4598047B2 (ja) * 2007-11-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
JP5691074B2 (ja) * 2008-08-20 2015-04-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR20100072514A (ko) * 2008-12-22 2010-07-01 주식회사 동부하이텍 반도체 소자의 제조 방법
CN101819929B (zh) * 2009-02-27 2012-03-21 中芯国际集成电路制造(上海)有限公司 制造分离栅极存储器浮栅的方法
JP2011044503A (ja) * 2009-08-19 2011-03-03 Sharp Corp 半導体装置の製造方法、及び、半導体装置
CN101719468B (zh) * 2009-11-10 2012-06-20 上海宏力半导体制造有限公司 一种可减小侧墙坡度的氧化层制造方法
CN102237266A (zh) * 2010-04-29 2011-11-09 中芯国际集成电路制造(上海)有限公司 半导体制造工艺中减少柱状缺陷的方法
CN102386057B (zh) * 2010-09-01 2013-10-23 上海宏力半导体制造有限公司 一种降低半导体衬底表面磷浓度的方法
JP5958950B2 (ja) * 2011-07-13 2016-08-02 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN102446743A (zh) * 2011-09-08 2012-05-09 上海华力微电子有限公司 建立有源区氮化硅膜应用数据库的工艺方法
CN103107065B (zh) * 2011-11-15 2017-04-05 黄辉 一种基于纳米线有序排列的纳米线器件的制备方法
CN103137463A (zh) * 2011-11-30 2013-06-05 上海华虹Nec电子有限公司 深沟槽刻蚀工艺针刺状缺陷的解决方法
CN103632952B (zh) * 2012-08-29 2016-12-21 无锡华润华晶微电子有限公司 多层复合膜中悬空台阶的消除方法
KR102086626B1 (ko) * 2012-11-23 2020-03-11 한국전자통신연구원 자기 정렬 박막 트랜지스터 및 그 제조 방법
CN104241115A (zh) * 2013-06-14 2014-12-24 上海华虹宏力半导体制造有限公司 减少深沟槽硅蚀刻针状缺陷的处理方法
US9105687B1 (en) 2014-04-16 2015-08-11 Nxp B.V. Method for reducing defects in shallow trench isolation
CN105097491B (zh) 2014-04-30 2018-09-21 无锡华润上华科技有限公司 一种基于氮氧化硅抗反射层的化学机械平坦化工艺
JP6310802B2 (ja) 2014-07-28 2018-04-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN111341724B (zh) * 2018-12-19 2022-11-04 上海新微技术研发中心有限公司 浅沟槽隔离工艺及浅沟槽隔离结构
CN111244167B (zh) * 2020-01-19 2023-07-04 上海华虹宏力半导体制造有限公司 栅极沟槽填充方法
US20220302119A1 (en) * 2021-03-17 2022-09-22 Changxin Memory Technologies, Inc. Dram and formation method thereof
WO2022241064A1 (en) * 2021-05-13 2022-11-17 Texas Instruments Incorporated Shallow trench isolation processing with local oxidation of silicon

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2877361A (en) * 1957-04-12 1959-03-10 Holmes Electric Protective Com Burglar alarm system
US3161742A (en) * 1961-08-24 1964-12-15 Specialties Dev Corp Magnetic intruder and fire deterctor
US3187129A (en) * 1962-04-05 1965-06-01 Mosler Res Products Inc Magnetic switch assembly
US3668579A (en) * 1970-11-09 1972-06-06 Door Alarm Device Corp Magnetic door alarm
US3813663A (en) * 1972-11-10 1974-05-28 D Perkins Electrical locking alarm system
JPS632371A (ja) 1986-06-23 1988-01-07 Hitachi Ltd 半導体装置
JPH02260660A (ja) 1989-03-31 1990-10-23 Toshiba Corp Mos型半導体装置の製造方法
US4945340A (en) * 1989-04-25 1990-07-31 Pittway Corporation Tamper-resistant magnetic security system
US5332992A (en) * 1993-04-06 1994-07-26 Randall Woods Security alarm switch
US5530428A (en) * 1993-04-06 1996-06-25 Woods; Randall Security alarm switch
JP2955459B2 (ja) * 1993-12-20 1999-10-04 株式会社東芝 半導体装置の製造方法
US5673021A (en) * 1996-05-22 1997-09-30 Woods; Randall Magnetic switch assembly for detecting unauthorized opening of doors or windows
US5910018A (en) * 1997-02-24 1999-06-08 Winbond Electronics Corporation Trench edge rounding method and structure for trench isolation
US5880659A (en) * 1997-03-17 1999-03-09 Woods; Randell Magnetic switch assembly for detecting unauthorized opening of doors or windows
KR20000013397A (ko) * 1998-08-07 2000-03-06 윤종용 트렌치 격리 형성 방법
TW396520B (en) * 1998-10-30 2000-07-01 United Microelectronics Corp Process for shallow trench isolation
JP3955404B2 (ja) 1998-12-28 2007-08-08 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
WO2000052754A1 (fr) * 1999-03-03 2000-09-08 Hitachi Ltd Circuit integre et son procede de fabrication
US6297126B1 (en) * 1999-07-12 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Silicon nitride capped shallow trench isolation method for fabricating sub-micron devices with borderless contacts
JP3439388B2 (ja) * 1999-07-27 2003-08-25 日本電気株式会社 半導体装置の製造方法
KR100338767B1 (ko) * 1999-10-12 2002-05-30 윤종용 트렌치 소자분리 구조와 이를 갖는 반도체 소자 및 트렌치 소자분리 방법
US20020197823A1 (en) * 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
US6506987B1 (en) * 2001-07-19 2003-01-14 Randy Woods Magnetic switch
US6602792B2 (en) * 2001-08-02 2003-08-05 Macronix International Co., Ltd. Method for reducing stress of sidewall oxide layer of shallow trench isolation
US6963281B2 (en) * 2001-09-14 2005-11-08 Honeywell International Inc. Tamper resistant magnetic contact apparatus for security systems
US6784077B1 (en) * 2002-10-15 2004-08-31 Taiwan Semiconductor Manufacturing Co. Ltd. Shallow trench isolation process

Also Published As

Publication number Publication date
CN1641854A (zh) 2005-07-20
US20050153521A1 (en) 2005-07-14
TW200532896A (en) 2005-10-01
KR20050074915A (ko) 2005-07-19
JP2005203508A (ja) 2005-07-28
US7303951B2 (en) 2007-12-04
CN101330038A (zh) 2008-12-24
CN101330038B (zh) 2010-06-02
CN100550340C (zh) 2009-10-14

Similar Documents

Publication Publication Date Title
JP4825402B2 (ja) 半導体装置の製造方法
JP2002016156A (ja) 不揮発性メモリの製造方法
JP2003017555A (ja) 半導体集積回路装置およびその製造方法
US6221736B1 (en) Fabrication method for a shallow trench isolation structure
US20050245015A1 (en) Method for manufacturing a semiconductor device having a dual-gate structure
KR100500581B1 (ko) 반도체 장치에서 게이트 전극 형성 방법
JP2007019191A (ja) 半導体装置とその製造方法
JP2000323564A (ja) 半導体装置の製造方法
US20020187616A1 (en) Method of eliminating leakage current in shallow trench isolation
JP2006310484A (ja) 半導体装置の製造方法
JP2004179301A (ja) 半導体集積回路装置の製造方法
JP2011146733A (ja) 半導体装置の製造方法
JP2663946B2 (ja) 半導体装置の製造方法
CN113539968B (zh) 半导体器件的形成方法
JP4511101B2 (ja) 半導体装置の製造方法
JP3053009B2 (ja) 半導体装置の製造方法
JP2008047691A (ja) 半導体装置の製法
JP2006024888A (ja) ゲート酸化膜形成法
KR100408862B1 (ko) 반도체 소자의 소자 분리막 형성 방법
JP2002100670A (ja) 半導体装置及びその製造方法
KR100822608B1 (ko) 반도체 메모리 소자의 소자 분리막 형성 방법
KR20040006322A (ko) 반도체 소자의 소자분리막 형성방법
JP2000340644A (ja) 半導体装置の製造方法
JP4571116B2 (ja) 半導体集積回路装置の製造方法
KR20030056388A (ko) 반도체 소자의 소자분리막 형성방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070110

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091028

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110118

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110318

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110816

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110912

R150 Certificate of patent or registration of utility model

Ref document number: 4825402

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140916

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees