JP2003045957A - 半導体装置の素子分離方法 - Google Patents
半導体装置の素子分離方法Info
- Publication number
- JP2003045957A JP2003045957A JP2002145346A JP2002145346A JP2003045957A JP 2003045957 A JP2003045957 A JP 2003045957A JP 2002145346 A JP2002145346 A JP 2002145346A JP 2002145346 A JP2002145346 A JP 2002145346A JP 2003045957 A JP2003045957 A JP 2003045957A
- Authority
- JP
- Japan
- Prior art keywords
- film
- insulating film
- forming
- element isolation
- gate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Ceased
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
- H01L21/76232—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Element Separation (AREA)
- Formation Of Insulating Films (AREA)
- Semiconductor Memories (AREA)
- Non-Volatile Memory (AREA)
Abstract
用の絶縁膜を形成し、このマスク用の絶縁膜を用いて半
導体基板に所定深さのトレンチ110を形成する。マス
ク用の絶縁膜の側壁及びトレンチの内壁に酸化膜10
5、107を形成し、その上にトレンチライナー膜10
9を形成する。次いで、トレンチが完全に充填されるよ
うに充填用絶縁膜111を形成する。引き続きマスク用
の絶縁膜を除去する。このようにトレンチ型素子分離方
法において、半導体基板のトレンチエッチングの後にマ
スク用の絶縁膜の側壁にも酸化膜を形成することによっ
て、トレンチの両側上部で生じ易い陥没現象を防止し、
マスク絶縁膜と接する界面で生じるバーズビークタイプ
酸化膜浸透現象を防止し、半導体装置の漏れ電流を減少
及び抑制してスレショルド電圧特性を向上させうる。
Description
離方法に係り、特に半導体基板に板面から所定深さにト
レンチを形成して素子間を分離するSTI(shall
ow trench Isolation)に関する。
の集積度も高まり、素子間の間隔も狭まった。したがっ
て、これら素子間を電気的に分離するのに必要な分離距
離も極端に短くなって素子の特性を分離するための方法
も多様に変化してきた。すなわち、素子分離方法におい
て、デザインルールが0.40um級以下の64M D
RAM以後には既存のLOCOS(Local Oxi
dation of Silicon)型素子分離方法を
使用した。しかし、現在は半導体基板を部分的にエッチ
ングして素子間に所定のトレンチを形成して素子を分離
するトレンチ技法が適用されている。特に、トレンチの
深さを3um以下に浅く形成するSTIを適用してい
る。このようなSTI技法は、現在0.15um級以下
のデザインルール(256M DRAM量産バージョ
ン)まで大きな問題なく適用されている。
形成するためには半導体基板上にトレンチが形成される
領域を除き、素子の形成部分には窒化膜マスクを形成し
てシリコンをエッチングする工程を行う。そして、トレ
ンチ内部にSTIライナー膜として絶縁性のシリコン窒
化膜を形成した後、シリコン酸化膜を蒸着してトレンチ
内部を充填する。次いで、絶縁性膜質を基板に対して平
坦に除去してトレンチ内部にのみ絶縁性膜を残して素子
分離領域を限定し、素子形成領域に残っているシリコン
窒化膜を除去することによって、素子分離工程が完了す
る。ここで、素子形成領域に残っているシリコン窒化膜
を除去するには、一般に高温のH3PO4を用いた湿式エ
ッチング工程を用いる。ところが、湿式エッチングの特
性上露出されたあらゆる膜質は、エッチング速度には差
があるが、両方共に侵食されて少しずつエッチングされ
て消耗される傾向がある。湿式エッチング時に露出され
た膜質がSTIライナー膜と同じ物質の場合にはSTI
ライナー膜と露出された膜質とが同時に等方性エッチン
グされるために、露出された膜質が他の電気的特性や膜
質を保つために適用された場合にはひどく侵食される。
また、界面での化学反応は表面でさらに活発なので、半
導体基板上の素子領域とトレンチとの境界部がひどく窪
む陥没現象が生じる。このような陥没現象は素子の漏れ
電流が増加する原因となる。そして、トランジスタの電
気的特性でハンプ(hump)現象も起こす。しかも、
後続工程で伝導性膜(例えば、導電性ポリシリコン等)
にパターンを形成する場合、上部の伝導性膜は除去され
るとしても陥没部(dent)内部には伝導性膜が残っ
てショート不良を起こすこともある。
解決するために案出されたものであって、その目的は、
半導体装置のSTI素子分離工程の実行時に生じるトレ
ンチ素子分離領域と素子形成領域との境界間に生じる陥
没部の発生を防止する半導体装置の素子分離方法を提供
することである。
ショルド電圧特性においてハンプ現象が生じることな
く、かつ漏れ電流を減少させうる半導体装置の素子分離
方法を提供することである。
ために、本発明の半導体装置の素子分離方法は、まず半
導体基板上に所定のマスク用の絶縁膜パターンを形成す
る。このようなマスク用の絶縁膜に素子分離領域を形成
するためのトレンチ形成用パターンを形成する。次い
で、マスク用の絶縁膜パターンを用いて半導体基板に所
定深さのトレンチパターンを形成する。露出されたマス
ク用の絶縁膜パターンに酸化膜を形成し、この酸化膜上
にトレンチライナー膜を形成する。半導体基板上に形成
されたトレンチ内部にのみ充填用絶縁膜を形成し、マス
ク用の絶縁膜パターンを除去する。
する段階は、まず、半導体基板上に乾式酸化法を用いて
パッド酸化膜を形成し、その上に低圧化学気相蒸着法を
用いてシリコン窒化膜を形成してマスク用の絶縁膜を形
成する。
ターンを形成するために、マスク用の絶縁膜上にフォト
レジストを塗布して写真工程を経てトレンチパターンを
形成し、このようにトレンチパターンが形成されたフォ
トレジストをマスクとして用いて乾式エッチング法で下
部のマスク用の絶縁膜にトレンチパターンを形成する。
この際、フォトレジストを塗布する前に絶縁膜の光反射
による工程妨害を防止するためにシリコン窒化膜やシリ
コン窒素酸化膜のような物質で形成された反射防止膜を
さらに形成しうる。また、マスク用の絶縁膜にトレンチ
パターンを形成する時、半導体基板のシリコンが露出さ
れるようにパッド酸化膜まで除去しうる。そして、マス
ク用の絶縁膜にトレンチパターンを形成した後にフォト
レジストを直ちに完全に除去しても良い。
る段階は、トレンチパターンが形成されたマスク用の絶
縁膜パターンをマスクとして用いてシリコンを乾式エッ
チングを用いて0.1umないし1.0um深さにエッ
チングする。この際、前記マスク用の絶縁膜パターン上
にフォトレジストを残留させたままトレンチエッチング
を行った場合には、この段階でフォトレジストを除去す
る段階をさらに追加しうる。このように形成されたトレ
ンチ内には乾式エッチング工程中に生じるプラズマ損傷
を治し、後続工程での汚染を防止するための保護用酸化
膜をさらに形成しうる。このような保護用酸化膜は熱的
酸化法(thermal oxidation)で行わ
れ、主に乾式酸化法で形成することが望ましい。そし
て、化学気相蒸着法により蒸着されたシリコン酸化膜を
さらに含みうる。
成する段階において、酸化膜はマスク用の絶縁膜のうち
シリコン窒化膜を熱的に酸化させて形成する。このよう
にシリコン窒化膜上に酸化膜を形成する段階は、シリコ
ン窒化膜が形成された半導体基板を所定工程温度に加熱
させた後、シリコン窒化膜上に酸化用反応ガスを供給し
て酸化反応を起こすことによって、酸化膜を形成しう
る。この際、シリコン窒化膜上で酸化速度の速い湿式酸
化法や急速酸化法を用いて形成することが望ましい。特
に、急速酸化法はシリコン窒化膜で酸化速度がさらに速
くて酸化膜を形成しやすいために、急速酸化法を用いて
700℃ないし1100℃の工程温度で20Åないし3
00Åの厚さに形成することが望ましい。そして、酸化
用反応ガスとしては、酸素と水素とが混合されている混
合ガスを使用し、この時、水素の含量は体積率で1%な
いし50%であることが望ましい。一方、酸化膜を形成
する段階で、酸化用反応ガスとしてはプラズマ形態のK
r/O2が用いられる。さらに、酸化膜形成工程は1t
orrないし760torrの工程圧力で行われること
がシリコン窒化膜上での酸化膜の形成反応に望ましい。
レンチ領域の酸化膜が後続の湿式洗浄や湿式エッチング
に侵食されないように保護膜を形成する。このようなト
レンチライナー膜は保護膜としての役割をしなければな
らないために、比較的に密度と硬度とが高くて溶液や不
純物元素が浸透できないように低圧化学気相蒸着法で形
成されたシリコン窒化膜を使用する。一方、トレンチラ
イナー膜としてシリコン窒化膜以外にも密度が高くて保
護膜の役割をするBN(Boro nitride)や
Al2O3を形成しうる。ここで、BNは低圧化学気相蒸
着法(LPCVD)とALD(Atomic laye
r deposition)法のうちいずれか1つによ
って形成でき、Al2O3はフォト化学気相蒸着法の一種
であるALD法によって形成できる。
階では、まず、トレンチを完全に充填できるように半導
体基板全体に充填用絶縁膜のシリコン酸化膜を厚く形成
する。この際、シリコン酸化膜は化学気相蒸着法のうち
主に蒸着速度が高いプラズマを用いた化学気相蒸着法に
より形成される。このように形成されたシリコン酸化膜
はその構造が複雑で密度が低いために、高温の反応炉内
で800℃ないし1150℃の熱処理温度と不活性ガス
雰囲気とで所定時間熱処理を行って充填用シリコン酸化
膜を高密度化させる。次いで、高密度化された充填用シ
リコン酸化膜を化学的機械研磨法(Chemical
Mechanical Polishing)を用いて
マスク用の絶縁膜が現れるように全面を平坦に研磨して
除去する。この際、化学的機械研磨時、研磨停止層とし
てマスク用絶縁膜のシリコン窒化膜を用いてマスク用の
絶縁膜上で研磨を停止させる。
の部分の充填用シリコン酸化膜を全て除去した後、マス
ク用の絶縁膜として用いられたシリコン窒化膜とパッド
酸化膜とを湿式エッチング法でエッチングして除去す
る。この際、先にシリコン窒化膜を除去するために湿式
エッチング用として用いられるエッチング溶液はH3P
O4であって、シリコン酸化膜とのエッチング選択比に
優れるために、下部に形成されたパッド酸化膜に侵害を
与えずにマスク用の絶縁膜として用いられたシリコン窒
化膜を除去しうる。そして、シリコン酸化膜エッチング
溶液を用いてパッド酸化膜を除去して素子分離工程を完
成する。
離方法は、マスク用絶縁膜の側壁にシリコン酸化膜を形
成することによって、トレンチと所定領域との間に陥没
された欠陥が生じることを抑制して素子(トランジス
タ)の漏れ電流が低く、スレショルド電圧特性に優れ
る。
さらに他の実施の形態の半導体メモリ装置の素子分離方
法は、まず、半導体基板のシリコン上にゲート絶縁膜、
ゲート導電膜及びマスク用の絶縁膜を順次に形成する。
そして、マスク用の絶縁膜とゲート導電膜とに所定のパ
ターンを形成してゲートと同時に素子分離用マスクを形
成する。次いで、素子分離用マスクをマスクとして用い
て半導体基板に所定深さのトレンチを形成する。こうし
て形成されたゲートとトレンチの内壁の側壁に急速加熱
方式を用いて所定厚さの側壁絶縁膜を形成する。トレン
チ内部を充填用絶縁膜で充填し、平坦化してからマスク
層を除去し、前記ゲート上に第2ゲートを形成してフロ
ーティングゲート電極を完成する。
まず、半導体基板の表面からポリマー及び重金属などの
不純物などを除去するために希釈されたHF溶液と強酸
としてH2SO4及びHCl溶液等で洗浄処理する。そし
て、半導体基板上に酸化ガスを供給してシリコンを酸化
させることによって、ゲート絶縁膜を形成する。そうす
ると、清浄ゲート酸化膜が形成されてゲート絶縁膜の電
気的信頼性が高まる。このようなゲート絶縁膜は前述し
た方式でシリコン酸化膜を形成した後、窒素ソースガス
としてN2OまたはNOガスを用いて表面を窒化させる
ことによって、シリコン窒素酸化膜(SiON)も形成
できるが、このシリコン窒素酸化膜はゲート絶縁膜の超
薄膜化につれて劣るゲート絶縁膜の膜質信頼性を向上で
きて望ましい。
のあるゲート導電膜を形成し、その上にマスク用の絶縁
膜を形成する。ゲート導電膜はPやAsがドーピングさ
れたポリシリコンを化学気相蒸着法で形成し、マスク用
の絶縁膜は後続する工程でトレンチエッチングのための
マスクとして用いるためにシリコン窒化膜を所定厚さだ
けプラズマを用いたPECVD法を用いて形成する。
布して整列露光及び現像工程を経てフォトレジストにゲ
ート及び素子分離用トレンチパターンを形成する。次い
で、マスク用の絶縁膜とゲート導電膜とに、パターンが
形成されたフォトレジストをマスクとして用いて乾式エ
ッチング法でゲートパターンを形成すると同時に、トレ
ンチエッチング用マスクを形成する。この際、半導体基
板と接する領域に形成された最下部のゲート絶縁膜を全
て除去することによって、後続トレンチエッチング時に
シリコンが露出されてトレンチエッチングが容易にな
る。次いで、フォトレジスト及びマスク用の絶縁膜をマ
スクとして用いて乾式エッチング法で半導体基板のシリ
コンに素子分離用トレンチを形成する。トレンチエッチ
ング後にはシリコンがエッチングされたトレンチ内部に
エッチング副反応(etchingbi−produc
t)により副産物としてポリマーが生じられるので後続
洗浄によってこれらポリマーを除去することが望まし
い。
ポリシリコンが露出されたゲートの側壁とに所定厚さの
絶縁膜を形成する。絶縁膜は、0.1torrないし7
00torrの圧力下で800℃ないし1150℃の工
程温度で保って所定の工程ガス(酸化ガス)を供給して
形成したシリコン酸化膜である。使われる工程ガスはH
2ガスとO2ガスであって、半導体基板上でインサイチュ
で湿式酸化と乾式酸化とを同時に発生させる。この際、
H2ガスとO2ガスとの比率を1:50ないし1:5の
流量で供給することによって、薄膜のシリコン酸化膜を
形成するための工程調節性を向上させる。
に厚く形成してトレンチの内部を完全に充填する。この
際、シリコン絶縁膜はシリコン酸化膜であって、蒸着速
度及び充填性に優れたプラズマを用いた化学気相蒸着法
によって形成される。次で、化学的機械研磨法を用いた
平坦化工程でマスク用の絶縁膜上部に形成されたシリコ
ン酸化膜を全て除去してトレンチ領域にのみシリコン酸
化膜を残してトレンチ充填工程を完了する。
うとする半導体装置の特性によって単一ゲートを使用す
るDRAM、SRAMまたはNVM(non−vola
tile memory)のうち一部の半導体メモリ装
置はそれに合わせて次の工程にジャンクションをはじめ
としてキャパシタ及び層間絶縁膜形成工程、金属配線工
程などを経て半導体メモリ装置を完成する。
EPROMまたはEEPROMなどのメモリ装置は次の
第2ゲート形成工程をさらに含む。
子分離用絶縁膜とゲートとが完成された後、ゲート上に
第2ゲートを二重で形成する。まず、ゲートの上部が露
出されるようにゲート上部のマスク用絶縁膜のシリコン
窒化膜を除去し、その上に導電性物質として不純物がド
ーピングされたポリシリコンよりなる中間ゲートと誘電
膜とを形成する。ここで、中間絶縁膜を形成する理由
は、第2ゲートとゲートとが接する断面積を広めて十分
に高いキャパシタンスを確保するためのものである。誘
電膜は半導体製品の特性によってTa2O5、PLZT、
PZT及びBSTなどの高誘電膜を使用でき、伝統的な
ONO(oxide/nitride/oxide)構
造を適用することもある。そして、この誘電膜上に第2
ゲート導電膜を形成する。第2ゲート導電膜はPやAs
のような不純物がドーピングされたポリシリコンを適用
する。次いで、フォトレジストを塗布し、整列露光及び
現像工程を経てフォトレジストに第2ゲートパターンを
形成する。パターニングされたフォトレジストをマスク
として用いて乾式エッチング法で第2ゲート導電膜にゲ
ートパターンを転写することで第2ゲートを形成する。
ところが、第2ゲートは素子の信号処理速度と関連があ
って装置の線幅が極端に狭くなれば既存の不純物がドー
ピングされたポリシリコンとして処理速度を満たせなく
て第2ゲートの比抵抗値を低めるために金属シリサイド
と組合わせられたポリサイドを適用することもある。こ
の際、シリサイドは自己整列シリサイド形成法によって
形成されることが、デザインルールが非常に狭いゲート
パターンにおいて望ましい。
形成する時、誘電膜を高誘電膜質として使用する場合に
は中間ゲートを介在せず、直ちにゲートの上面部に誘電
膜を形成し、第2ゲートを形成することもある。そうす
ると、工程数が減少され、コストダウンとなる。
して層間絶縁膜の形成工程はもとよりビットライン形成
工程、コンタクト形成工程及び金属配線工程を経てフラ
ッシュメモリやEPROMまたはEEPROMのような
半導体メモリ装置の製造工程が完了される。
半導体メモリ製造装置は、ゲートの側壁に急速酸化法で
側壁絶縁膜を形成することによって、シリコン酸化膜の
形成中にポリシリコンと層間界面とが酸化反応ガスに露
出される時間が非常に短く、酸化反応ガスが界面に沿っ
て拡散される距離が短くなって、ゲート絶縁膜とゲート
との間及びゲートとマスク用の絶縁膜との間にバーズビ
ーク(Bird’sBeak)がほとんど発生しない。
側壁絶縁膜とトレンチ内部のライナー絶縁膜として半導
体基板上へのシリコン酸化膜の形成方法は、まず、少な
くとも部分的にシリコン及びポリシリコンが露出された
領域を有する半導体基板を備える。この半導体基板を低
圧の雰囲気で保ちつつ、所定の工程温度で急速加熱させ
る。半導体基板上に酸素ソースガスと水素ソースガスと
を含む反応ガスを供給してシリコンまたはポリシリコン
が露出された領域に湿式酸化反応と乾式酸化反応とが組
合わせられた酸化反応によってインサイチュでシリコン
酸化膜を形成する。
ゲートの側壁部とトレンチの内壁のうち少なくともいず
れか1つである。
orrないし700torrであることが酸化膜の形成
反応速度を適切に調節し薄膜のシリコン酸化膜を得るた
めには望ましい。
化反応ガスが容易に活性化されてシリコン及びポリシリ
コンにシリコン酸化膜を効率良く形成できて望ましい。
O2ガスと、水素ソースガスとしてH2ガスとを所定の割
合で混合した混合ガスを使用することが、これらが半導
体基板に到達して乾式酸化と湿式酸化反応を同時に行っ
て湿式酸化膜の物性特性を示し、成長速度は乾式酸化膜
の水準に近い特性を示して薄膜の厚さ調節に望ましい。
H2ガスとO2ガスとの供給される体積比は1:50ない
し1:5であり、O 2ガスの供給量は1slmないし1
0slmであることが適正な酸化膜の成長速度及び湿式
酸化膜の物性を得るのに望ましい。
子量が大きくて解離反応速度が低い重水素(D2)また
は三重水素(T2)のうちいずれか1つを適用すること
によって、酸化膜の成長速度を調節しやすい。
を使用するよりは、N2OとNOのうちいずれか1つを
使用するのが、高温の工程温度ででも成長速度が低くて
薄膜として酸化膜の厚さを調節可能なので望ましい。
応ガスとしてN2、Ar、Heのような不活性の雰囲気
ガスをさらに含むことによって、酸化反応ガスの濃度を
希釈させて超薄膜の酸化膜形成においても酸化膜の厚さ
を容易に調節して望ましい。
発明の一実施形態を詳細に説明する。しかし、次に例示
する本発明の実施例は多様な他の形に変形でき、本発明
の範囲が後述する実施例に限定されることではない。本
発明の実施例は当業者に本発明をさらに完全に説明する
ために提供されるものである。
離方法が適用された半導体装置の断面図である。図に示
されたように、本発明に係る半導体装置は、半導体基板
100の下部に所定深さだけ陥没されてトレンチ110
が形成されている。トレンチ110が形成されていない
部分には半導体基板100のシリコン上にパッド酸化膜
101とシリコン窒化膜102とが順次に積層されたマ
スク用の絶縁膜103が形成されている。シリコン半導
体基板100と接するトレンチ110の内壁面には保護
用酸化膜105が形成されている。保護用酸化膜の外側
にはトレンチライナー膜109として所定厚さのシリコ
ン窒化膜が形成されている。そして、トレンチ110の
残りの空間には充填用絶縁膜111としてシリコン酸化
膜が形成されて充填されている。
子分離方法を順次に示す断面図である。
パッド酸化膜101を形成し、その上にシリコン窒化膜
102を順次に形成してマスク用の絶縁膜103を形成
する。ここで、パッド酸化膜102は半導体基板100
のシリコンを酸素または水蒸気と反応させて酸化させる
ことによって形成される熱的酸化法によって形成する。
この際、工程温度は900℃ないし950℃の範囲であ
る。そして、シリコン窒化膜102はCVDを用いて5
00Åないし1500Å程度の厚さに形成する。このシ
リコン窒化膜は、密度と硬度とが高く、機械的特性に優
れるようにLPCVDを用いて形成する。ところが、こ
のようなマスク用の絶縁膜上に後続して行われるフォト
リソグラフィーの整列露光段階で光を放出して非常に微
細なパターンを転写する時、マスク用絶縁膜の表面での
光反射によって微細なパターン形成が妨害を受けること
がある。すなわち、パターンの臨界寸法が良くない場合
もある。したがって、このような反射現象を防止するた
めに、マスク用の絶縁膜上に反射防止膜をさらに形成で
きるが、このような反射防止膜は主にプラズマを用いた
低密度のシリコン窒化膜やシリコン窒素酸化膜などを所
定厚さに積層する。
上にフォトレジストを塗布した後、フォトレジストはト
レンチパターンが形成されたレチクルを装着した整列露
光器(stepper)を用いて整列露光してから現像
液で現像することによって、トレンチパターンが形成さ
れたフォトレジスト膜201を形成する。次いで、乾式
エッチング法を用いてマスク用の絶縁膜103にトレン
チパターンを形成する。この時、使われる乾式エッチン
グ法は反応イオンエッチング法またはプラズマを用いた
乾式エッチング法などを用いて一方性エッチングを行
う。この際、2つの方法でマスク用の絶縁膜103を乾
式エッチングしうる。最初は、上層のシリコン窒化膜1
02のみをエッチングして下層のパッド酸化膜101を
残留させることであり、二番目は、シリコン窒化膜10
2とパッド酸化膜101とを共にエッチングして半導体
基板100のシリコンを露出させる方法である。
写されたマスク用の絶縁膜103をマスクとして用いて
乾式エッチング法で半導体基板100のシリコンを所定
深さに陥没させてトレンチ110を形成する。この際、
トレンチ110の深さは0.1umないし1umで半導
体装置の特性やデザインルールによって適切に選択され
うる。トレンチ110の断面において、両側壁が下部に
行くほど狭くなるテーパ状をなすことが望ましい。その
理由は、後続工程でトレンチ110を絶縁膜で充填する
時、中央にボイドが生じないからである。このようにト
レンチエッチングを行う時には、マスク用の絶縁膜10
3上に形成されたフォトレジスト201を残留させたま
ま工程を行っても良く、フォトレジスト201を所定の
洗浄工程を経て完全に除去し、単にマスク用の絶縁膜1
03だけをマスクとして用いてトレンチエッチング工程
を行っても良い。ところが、フォトレジスト201に含
まれた有機物によるシリコンの汚染を防止するためには
フォトレジスト201を完全に除去し、マスク用の絶縁
膜103だけをマスクとして用いて半導体基板100を
トレンチエッチングすることが素子の電気的特性を考慮
すれば望ましい。
ング工程によって形成されたトレンチ110の内壁に熱
的酸化法を用いて保護用酸化膜105を形成する。この
時、使われる熱的酸化法は乾式酸化法であって、950
℃の比較的高い温度でO2ガスを流入させてシリコン酸
化膜を形成する。同時にシリコンが露出された部位に汚
染された金属を除去するためにHClを共に注入させて
工程を進行することが望ましい(これをクリーン酸化膜
形成工程という)。そうすると、金属に汚染されていな
い清潔な保護用酸化膜105がトレンチ110内に形成
される。もちろん、この際、シリコン窒化膜や既にシリ
コン酸化膜が形成された部分はほとんど酸化膜が形成さ
れない。このような保護用酸化膜105は、工程が進行
される間、トレンチエッチング時に生じたプラズマ損傷
を直して損傷による欠陥が生じた部分を酸化させて欠陥
を減少させるように機能する。また、後続工程から汚染
物質(遷移金属及び有機物質等)が浸透することを防止
するだけでなく、トレンチ110内の充填絶縁膜の累積
ストレスが直接伝えられることを防止する緩衝の役割も
する。次いで、急速酸化法を用いてシリコン窒化膜より
なるマスク用の絶縁膜103上にシリコン酸化膜を形成
する。ここで、急速酸化法を用いてシリコン窒化膜より
なるマスク用の絶縁膜103とトレンチ110の内壁に
同時にシリコン酸化膜を形成して保護用酸化膜を同時に
形成しうる。この際、熱的酸化法は湿式酸化法や乾式酸
化法の両方に適用できるが、実際にシリコン窒化膜での
酸化反応はRTPを用いた湿式酸化でより一層よく起こ
る。したがって、RTPを用いて700℃ないし115
0℃の工程温度で酸素と水素とを適正の体積割合で供給
してシリコン窒化膜上にシリコン酸化膜を形成する。こ
の際、水素は全体ガス対比体積比が1%ないし50%の
割合で供給される。そして、必要に応じて反応器内の圧
力を1torrないし760torrに設定して行うこ
ともある。そうすると、シリコン窒化膜の側壁と上面と
に酸化膜107が形成されるだけでなく、トレンチ領域
の保護用酸化膜105も所定厚さだけ増加する(ここ
で、保護用酸化膜105を別途に形成していなければ、
この段階でトレンチ110の側壁に保護用酸化膜105
が形成される)。そして、トレンチ形成時に生じた残留
電位や積層欠陥によって印加された格子ストレインなど
が治って工程が完了された後、半導体素子の電気的特性
が向上される。
保護用酸化膜105及び酸化膜107上にトレンチライ
ナー膜109として低圧化学気相蒸着法を用いてシリコ
ン窒化膜を形成する。次いで、高密度の組織が緻密な窒
化膜を形成することによって、後続工程(例えば、湿式
洗浄及び湿式エッチングのような湿式工程)によってト
レンチ110の上部と隣接した充填用絶縁膜111やパ
ッド酸化膜101などが過度エッチングされて陥没部が
形成されることを防止する。
上にトレンチ充填のための絶縁膜111としてシリコン
酸化膜を厚く蒸着する。この際、蒸着される充填用絶縁
膜111はLPCVDやプラズマを用いたPECVD等
で形成されるが、特に、蒸着速度が速く充填力に優れた
高密度プラズマを用いたHDPCVDを使用することが
望ましい。このようなシリコン酸化膜としてはオゾンT
EOS(Si(OC2H5)4)を用いて形成された酸化
膜や、シランベースの酸化膜及びUSG(Undope
d Silicate glass)などを使用しうる。
場合に応じてHTOやBPSG等をこれらと複合膜とし
て組合わせて使用しうる。このように充填用絶縁膜11
1をトレンチパターンを全て充填する程に蒸着した後、
800℃ないし1150℃の高温非活性雰囲気でこの絶
縁膜111を高密度化させる。そうすると、初期に形成
される時、低密度、低結合力の充填用絶縁膜111が圧
縮されて高密度化されつつ膜内の結合が固くなって高い
機械的強度と化学的耐性を有することになる。すなわ
ち、後続する湿式エッチング工程で使われるシリコン酸
化膜エッチング溶液のフッ酸(HF、BHF等)溶液で
も高密度化された充填用絶縁膜111がエッチングされ
ず残留し続けてトレンチ110の縁部が陥没される現象
を防止しうる。また、トレンチ110の中央で生じるボ
イド現象を防止しうる。
形成された充填用絶縁膜111をトレンチ領域にのみ残
し、他の部分では全て除去する。ここで、充填用絶縁膜
111を化学的機械研磨法を用いて平坦に除去してマス
ク用の絶縁膜103のうちシリコン窒化膜102の表面
まで研磨する。次いで、トレンチ110が形成された領
域以外の領域にはシリコン酸化膜111が全て除去さ
れ、トレンチ110の内部にのみ充填用絶縁膜111が
残る。この際、CMPで、シリコン酸化膜に対するシリ
コン窒化膜の研磨選択比が比較的低い工程を用いること
が下部に形成された膜層とシリコンとを保護できて望ま
しい。
し、素子形成領域のシリコンを露出させるために、素子
形成領域に形成されたマスク用の絶縁膜103の1つの
シリコン窒化膜102を先に除去する。この際、シリコ
ン窒化膜102は乾式エッチングを用いて除去してもよ
く、エッチング溶液を用いた湿式エッチングで除去して
も良い。シリコンにプラズマ損傷を与えずにエッチング
工程を行うためにはH3PO4溶液を用いた湿式エッチン
グ法で除去することが望ましい。シリコン窒化膜102
が表面に残留すれば後続するパッド酸化膜101のエッ
チング時にエッチングを妨害するので、基準エッチング
時間の約100%ないし200%を過度エッチングして
シリコン窒化膜102がパッド酸化膜101の表面から
完全に除去されるようにエッチングする。そうすると、
少量ではあるが下部に形成されたパッド酸化膜101と
充填用絶縁膜111ともエッチングされて消耗される傾
向がある。そして、側壁酸化膜107と充填用絶縁膜1
11との間にサンドイッチ状に介在され、上部が露出さ
れたトレンチライナー膜109のシリコン窒化膜もある
程度エッチングされて陥没される傾向があるが、露出さ
れた面積が極端に小さいために、その部分でのエッチン
グ速度が低くてその陥没部が半導体基板100のシリコ
ンの下部にまでは延びない。
いるパッド酸化膜101を除去して半導体基板100の
シリコンを露出させる。このようなパッド酸化膜101
の除去はシリコンが露出される工程として湿式エッチン
グ法を使用する。この際、エッチング溶液としてHFや
BHF成分を含む溶液やその希釈溶液を使用する。そし
て、エッチング工程後によく生じる水斑点現象を防止す
るためにH2O2処理をし、乾燥時にはIPA乾燥(Is
opropyl alcohol Drying)を行う
ことが望ましい。このように湿式エッチングの実行中
に、パッド酸化膜101だけでなく、側壁酸化膜107
もエッチングして除去し、シリコン酸化膜よりなって露
出された充填用絶縁膜111も所定厚さだけエッチング
される。そうすると、図9に示されたように、トレンチ
110部分が隣接したシリコンと比較してほとんど段差
のない比較的平らな形を示す。ところが、このようなト
レンチ充填用の絶縁膜111が、半導体基板100のシ
リコンの高さと比較して段差なく平らであったとしても
必ずしも良いものではない。したがって、これらの間に
多少段差を与えて形成することが望ましいので、このた
めに前述したマスク用の絶縁膜103の厚さと化学的機
械研磨で研磨程度とパッド酸化膜101の厚さ及びパッ
ド酸化膜101のエッチング程度などを調節することに
よって、トレンチ110部分がやや高い段差を有するよ
うに形成しうる。
素子分離方法は、トレンチエッチングを行う前にトレン
チパターンが形成されたマスク用の絶縁膜103の側壁
に所定厚さの側壁酸化膜107または107aを形成す
ることによって、トレンチ110の両縁部に形成される
陥没部の発生を防止しうる。そして、側壁酸化膜107
または107aを高温工程を用いて形成することによっ
て、トレンチエッチング時にトレンチ110内の側壁に
生じた損傷と欠陥とを除去でき、半導体装置が完成され
た後に漏れ電流を減少させる。そして、トランジスタの
スレショルド電圧特性でハンプ現象をなくすなど素子の
電気的特性が向上される。
いてシリコン窒化膜上で熱的酸化法でシリコン酸化膜を
形成する方法を示すフローチャートである。図に示され
たように、まず、半導体基板上に所定のパターンを有す
る窒化膜を形成する(S1)。そして、このような半導
体基板を高温用反応炉や反応チャンバで所定の工程温度
まで急速に加熱させて工程温度条件を形成する(S
2)。このように加熱された半導体基板上にシリコンと
反応して酸化膜を形成する反応物質(酸化用反応ガス)
を注入してシリコン窒化膜と接触させてシリコン窒化膜
上に所定厚さのシリコン酸化膜を形成する(S3)。
ないし1100℃の範囲で設定され、この際、酸化膜形
成反応を円滑にするために反応炉や反応チャンバの圧力
を1torrないし760torrに設定して行える。
適正の割合で混合した混合ガスであり、この際、水素ガ
スの体積比は酸素量がより多くなるように調節し、全体
ガス量に対して1%ないし50%であることが望まし
い。これは反応炉内で突然の爆発危険性を防止するため
にも望ましい。
で供給されるように、プラズマ反応チャンバ内にKrと
O2ガスを含む反応ガスを注入して高周波電力としてO2
ガスをプラズマ化して半導体基板に供給することによっ
て、酸化性物質がさらに容易にシリコン窒化膜と反応し
てさらに迅速にシリコン酸化膜を形成しうる。
107aとして熱的酸化膜や化学気相蒸着法による酸化
膜以外にも、他の物質、例えば、化学気相蒸着法で形成
されたポリシリコンを酸化させた酸化膜を適用しうる。
使われたシリコン窒化膜は、BNやAl2O3膜に取り替
えられる。このようなBNはLPCVDまたはフォト化
学気相蒸着法の一種であるALD法を用いて形成する
が、トレンチライナー膜は非常に薄く形成されなければ
ならないために、主にALD法を使用することが望まし
い。そして、酸化アルミニウム膜はALD法で形成す
る。
モリ装置の素子分離方法に関する他の実施形態を示す断
面図である。ここで、前述した実施形態と区分するため
に半導体基板の参照番号だけ除いて他の構成要素は別の
他の参照番号を付与して説明する。
た半導体基板100にゲート絶縁膜121を形成する。
ここで、ゲート絶縁膜121はシリコン酸化膜以外にも
シリコン酸化膜を窒素ソースガスを用いて窒化させたシ
リコン窒化膜を適用しても良い。
ート絶縁膜121上にゲート導電膜122を形成する。
ゲート導電膜122は所定の伝導性を有する膜質であっ
て、PやAsなどがドーピングされたポリシリコンを使
用する。このようなゲート導電膜122は低圧化学気相
蒸着法を用いて形成し、不純物をドーピングする方法は
シリコンソースガスとPドーピングソースガスとを同時
に供給してインサイチュで形成する方法が、工程を単純
化し、ドーピング濃度を均一にするために望ましい。
ンにPのような不純物をドーピングして得られる面抵抗
(Rs)以下の特性を要求する時は、より低い面抵抗を
得られるWSiやTiSi及びCoSiのような金属シ
リサイドと組合わせて形成しても良い。
れば、その上にマスク用の絶縁膜140としてシリコン
窒化膜を形成する。このシリコン窒化膜は後述するゲー
トパターン及びトレンチパターンのエッチング時にエッ
チングすべき膜質が厚いために長時間露出されるプラズ
マの物理的な衝突及びエッチングパワー衝撃からの被害
をできるだけ防止できるように防護膜としての役割をし
なければならない。そして、エッチングすべき膜が厚く
てフォトレジストがトレンチエッチングまでマスク用の
膜として残っていないために同時にエッチング用マスク
としての役割をもしなければならない。このようなマス
ク用の絶縁膜140は膜質の特性が稠密し、硬度が高く
て機械的特性に優れた膜よりは厚く形成しても下部に形
成されたゲート導電膜122ないしは半導体基板のシリ
コンにより少ないストレスをあたえる膜が望ましい。し
たがって、プラズマを用いた化学気相蒸着法によって形
成されるシリコン窒化膜が望ましいが、膜質の清浄性や
堅固性を要求する場合にはLPCVDによって形成され
るSi3N4を使用する場合もある。
ト絶縁膜121とゲート導電膜122及びマスク用の絶
縁膜140を順次に形成する。ところが、ゲート導電膜
122とマスク用の絶縁膜140とが各々ポリシリコン
とシリコン窒化膜として相互接触して形成される場合、
接着性に優れて後続するマスク用の絶縁膜140を除去
する工程で下部膜質のポリシリコンよりなるゲート導電
膜122が損傷される危険性が大きい。したがって、ゲ
ート導電膜122上に緩衝用絶縁膜130としてCVD
よりなるシリコン酸化膜を介在し、その上にマスク用の
絶縁膜140としてシリコン窒化膜を形成することが望
ましい。このような緩衝用絶縁膜130はシリコン酸化
膜としてはLPCVDを用いて形成されたMTO(Mi
d−temperature oxide)やTEOS
酸化膜またはHTOなどが使われる。
40上にフォトレジスト200を塗布して整列露光及び
現像工程を経てフォトレジスト200にゲート及びトレ
ンチパターンを形成する。パターンが形成されたフォト
レジスト200をマスクとして用いて乾式エッチング法
で先にシリコン窒化膜よりなるマスク用の絶縁膜140
にゲート及びトレンチパターンを形成する。そして、同
じフォトレジストパターンをマスクとして用いて下部の
緩衝用絶縁膜130のシリコン酸化膜とゲート導電膜1
22とを順次に乾式エッチングしてパターンを転写しつ
つゲート120を形成する。この際、過度エッチングを
行ってゲート絶縁膜121まで完全に除去した後、最後
に、残留されたフォトレジスト200とマスク用の絶縁
膜140とをマスクとして用いて半導体基板100のシ
リコン101を所定深さにエッチングし、シリコン10
1の下部に陥没されたトレンチ150を形成する。次い
で、洗浄工程を用いて残留されたフォトレジスト200
とトレンチエッチング時に生じたポリマーとを除去す
る。かくして、半導体基板100上にゲート120と素
子分離用トレンチ150とが同時に形成される。
出されたトレンチ150の内壁とゲート導電膜122が
露出されたゲート120の側壁とにライナー絶縁膜17
0とゲート側壁絶縁膜180を形成する。このライナー
絶縁膜170とゲート側壁絶縁膜125とはシリコン酸
化膜よりなっており、熱的酸化法によって形成される。
このような酸化膜125、170は、半導体基板100
を所定温度に加熱してシリコンソースが露出されたトレ
ンチ150の内壁とゲート120の側壁とに供給される
所定の酸化用ガスとシリコンとの酸化反応によって形成
される。この際、使われる酸化用ガスはH2とO2とを混
合した混合ガスであって、半導体基板100上に露出さ
れたSiソースと湿式及び乾式酸化反応を同時に生じて
SiO2を形成する。したがって、このようなシリコン
酸化膜は乾式酸化によるシリコン酸化膜の特性と湿式酸
化によるシリコン酸化膜の特性とを同時に有する。この
際、半導体基板100を加熱する方式は、所定の工程温
度まで上昇させるために数秒ないし数十秒の短時間の急
速熱処理法を用いることが工程時間と半導体基板100
に累積される熱負担を減少させるために望ましい。そし
て、酸化膜を形成するための工程温度は形成しようとす
るシリコン酸化膜の厚さに応じて異なるが、800℃な
いし1150℃の範囲のうち比較的高温で酸化膜を形成
させることによって膜質の特性を向上させうる。また、
シリコン酸化膜125、170を薄膜に形成する場合、
酸化膜の成長速度が非常に速くて厚さ及び均一度の調節
が難しい短所があるために、膜厚さを調節しにくい傾向
があり、0.1torrないし700torr程度の低
圧で酸化膜を形成させて成長速度を減少させることが望
ましい。このような方法により、マスクとして使われる
絶縁膜の側壁も酸化されてゲートの上部とマスク絶縁膜
との界面で生じるバーズビークを減少させうる。
に厚いトレンチ充填用の絶縁膜190を形成し、トレン
チ150を充填する。このトレンチ充填用の絶縁膜19
0は化学気相蒸着法で形成されたシリコン酸化膜であ
り、低圧化学気相蒸着法やプラズマを用いた化学気相蒸
着法のいずれも使用できる。
に形成された充填用絶縁膜190を平坦化工程を用いて
所定厚さだけ除去する。すなわち、示されたように、マ
スク用の絶縁膜140を研磨停止膜として用いてマスク
用の絶縁膜140の上部まで化学的機械研磨法を実施し
て充填用絶縁膜190を研磨することによって、素子分
離用トレンチ領域にだけ充填用絶縁膜190を残す。
とマスク用の絶縁膜140及び緩衝用絶縁膜130をゲ
ート120の上部と隣接した部分まで平坦に除去した
後、ゲート上部に残留されたマスク用の絶縁膜140を
選択的に除去してゲート120の上部を露出させる。こ
のようにゲート上面までマスク用の絶縁膜140を除去
する方法には様々な方法がある。
方法であって、高温の燐酸溶液を用いてSi3N4よりな
るマスク用の絶縁膜140を完全に除去した後、再びフ
ッ酸溶液(HF、BHF)を用いた湿式エッチングでシ
リコン酸化膜よりなる緩衝用絶縁膜190を除去する方
法がある。
膜よりなるマスク用の絶縁膜140を除去し、湿式エッ
チング法で緩衝用絶縁膜を除去する方法である。そうす
ると、ゲート120の上部が露出され、トレンチ150
が形成された素子分離領域には充填用絶縁膜190がゲ
ート120の上部と所定段差を形成して平坦化される。
ート120の上面に再び導電性物質の不純物がドーピン
グされたポリシリコンを蒸着して形成する。導電性物質
に写真及び乾式エッチング工程などの所定のパターン形
成工程を経て中間ゲート123を形成する。前記中間ゲ
ート123の表面に絶縁膜として誘電膜211を形成す
る。誘電膜211は装置の特性によって異なるが一般に
シリコン酸化膜やシリコン窒化膜を使用する。ところ
が、フラッシュメモリ素子の特性上ゲート120と第2
ゲート210との間に高誘電率を要求する場合には、高
誘電物質であるTa2O5やPLZT、PZTまたはBS
TなどのDRAMにおいてキャパシタの誘電膜質として
使われる高誘電膜を適用しうる。
上部に第2ゲート導電膜212を形成する。
ように不純物としてPやAs等をドーピングして形成さ
れたポリシリコンを使用する。そして、第2ゲート導電
膜212は通常のLPCVDで形成し、インサイチュで
不純物をドーピングして形成する。第2ゲート導電膜2
12がさらに低い面抵抗を要求する時は、このようなド
ーピングしたポリシリコンとしては満たせないので、よ
り低い比抵抗を有する金属シリサイドを組み合わせて形
成されたポリサイドを適用しうる。すなわち、このよう
な金属シリサイドは、既にパターンが形成された第2ゲ
ート上にTi、Mo、NiまたはCoなどを蒸着した
後、所定温度で熱処理してSiが露出されたゲート上で
のみ熱的に反応させることによって、TiSi、MoS
i、NiSiまたはCoSi等を形成する自己整列シリ
サイド形成工程によって形成することが一般的である。
しかし、WSiの場合にはCVDを用いて直接その物質
を蒸着して形成する。
ト(図示せず)を塗布し、写真工程と乾式エッチング工
程を経て第2ゲート210を形成する。次いで、後続工
程でソースとドレーン形成工程を行った後、層間絶縁膜
220とコンタクト(図示せず)を形成し、ビットライ
ン(図示せず)を形成する。この際、ビットラインは不
純物がドーピングされた伝導性のポリシリコン231と
タングステンシリサイド膜232とが組み合わせられて
形成される。次いで、再び層間絶縁膜形成工程とコンタ
クト形成工程及び通常の金属配線工程を経て必要に応じ
て複数の金属配線工程を経て半導体装置を完成する。
に他の実施形態に係る製造方法を示す断面図である。前
述した図15までは製造過程が同一であり、以後の工程
は下記の通りである。
とマスク用の絶縁膜140及び緩衝用絶縁膜130をゲ
ート120の上部まで平坦に除去してゲート120の上
部を露出させる。このようにゲート上面までマスク用の
絶縁膜140と緩衝用絶縁膜190とを除去する方法に
は多様な方法がある。
膜をCMPで除去した後、CMPの研磨剤を変化させて
Si3N4とSiO2とを同一な研磨速度で除去する。す
なわち、ゲート120の上段まで充填用絶縁膜190及
び緩衝用絶縁膜130を1つの工程で除去し、ゲート1
20を1回の工程で露出させて平坦化しうる。この際、
ポリシリコンよりなるゲート120を研磨停止層として
用いて、シリコン酸化膜よりなる緩衝用絶縁膜130ま
で研磨除去してゲート120の上面を露出させる。
ず、H3PO4を用いた湿式エッチング法でシリコン窒化
膜よりなるマスク用の絶縁膜140を除去する。ここ
で、シリコン窒化膜を選択的に除去するために、シリコ
ン酸化膜とシリコン窒化膜の選択比の高い工程を用いる
乾式エッチング法を用いられる。そうすると、マスク用
の絶縁膜140が除去されたところに凹凸型のシリコン
酸化膜パターンが形成される。この状態でシリコン酸化
膜を研磨しうる研磨剤を用いてCMPでゲート120の
上部が露出されるまでシリコン酸化膜よりなる充填用絶
縁膜190と緩衝用絶縁膜130とを平坦に研磨する。
この際、研磨停止層としてポリシリコンよりなるゲート
導電膜122を用いる。そうすると、ゲート120の上
部が露出され、トレンチ150が形成された素子分離領
域には充填用絶縁膜190がゲート120の上部で平坦
化される。
膜190をCMPで研磨する時、最初からシリコン酸化
膜とシリコン窒化膜とが同一に研磨される研磨剤を用い
ることによって、図7に示されたように充填用絶縁膜1
90をはじめとしてマスク用の絶縁膜140と緩衝用絶
縁膜とをゲート上面まで1つの工程として行える。
ート120の上面に絶縁膜として誘電膜211を形成
し、その上に第2ゲート導電膜212を形成する。この
際、誘電膜211は装置の特性によって異なるが、一般
にシリコン酸化膜やシリコン窒化膜を使用する。ところ
が、フラッシュメモリ素子の特性上ゲート120と第2
ゲート210との間に高誘電率を要求する場合には、高
誘電物質のTa2O5やPLZT、PZTまたはBSTな
どのDRAMにおいてキャパシタの誘電膜質として使わ
れる高誘電膜を適用しても良い。
ように不純物としてPやAsをドーピングして形成され
たポリシリコンを使用する。そして、第2ゲート導電膜
212は、通常、LPCVDで形成され、インサイチュ
で不純物をドーピングして形成する。第2ゲート導電膜
212がより低い面抵抗を要求する時は、このようなド
ーピングされたポリシリコンとしては満たせないので、
より低い比抵抗を有する金属シリサイドを組み合わせて
形成したポリサイドを適用しうる。すなわち、このよう
な金属シリサイドは、既にパターンが形成された第2ゲ
ート上にTi、Mo、NiまたはCoなどを蒸着した
後、所定温度で熱処理してSiが露出されたゲート上で
のみ熱的に反応させることによって、TiSi、MoS
i、NiSiまたはCoSiを形成する自己整列シリサ
イド形成工程によって形成することが一般的である。し
かし、WSiの場合にはCVDを用いて直接その物質を
蒸着して形成する。
導電膜212上にフォトレジスト(図示せず)を塗布
し、写真工程と乾式エッチング工程とを経て第2ゲート
210を形成する。次いで、後続工程でソースとドレー
ン形成工程を行った後、層間絶縁膜220とコンタクト
(図示せず)を形成し、ビットライン(図示せず)を形
成する。この際、ビットラインは不純物がドーピングさ
れた伝導性のポリシリコン231とタングステンシリサ
イド膜232とが組み合わせられて形成する。次いで、
再び層間絶縁膜形成工程とコンタクト形成工程及び通常
の金属配線工程を経て必要に応じて複数の金属配線工程
を経て半導体装置を完成する。
体メモリ装置の素子分離方法において、ゲート120の
側壁にゲート側壁酸化膜125を形成する時、工程時間
が短い急速加熱工程を使用するために酸化膜形成工程中
に酸化ガスが界面に浸透する距離を減少させ、緩衝用絶
縁膜130とゲート120との界面及びゲート120と
シリコンとの間に介在されたゲート絶縁膜121に沿っ
て成長するバーズビーク現象を顕著に減少させうる。そ
して、側壁酸化膜125が形成されると共にマスク用の
絶縁膜140のシリコン窒化膜が酸化されてゲート物質
122を形成しているポリシリコンの酸化がより均一に
行われて側壁酸化膜125のモルホロジー(morph
ology)が平坦化されるので、周辺セル間のブリッ
ジによる不良を減少させうる。
ンクション熱処理工程には多く使用されてきた。しか
し、このようなRTP(rapid thermal p
rocessor)装備は急速加熱時半導体基板上での
温度分布が比較的不均一なので、均一な膜の形成が難し
くて膜の形成工程では使用していない。しかし、最近に
装置の構造を枚葉式チャンバタイプに変化させて温度の
均一化のために半導体基板を回転させるなど半導体製造
装置(RTP)の発展に伴って均一な温度分布が実現で
きた。
善して半導体装置に適用できる程度に均一な膜を形成で
きるだけでなく、急速酸化法によってのみ得られる。す
なわち、酸化反応ガスとしてはH2とO2とを使用するの
で、これらガスが反応炉の内部に流入された後、適当な
比率の水蒸気が生じつつシリコンと反応して湿式酸化膜
を形成することによって、膜質の特性を向上させるだけ
でなく、対象物質の種類(シリコンまたはポリシリコ
ン)に関係なく成長速度の差がほとんどないので、トレ
ンチ内部のシリコンが酸化されて形成されたライナー絶
縁膜170の厚さとポリシリコンが酸化されて形成され
たゲート側壁絶縁膜125の厚さとがほぼ同一に形成さ
れる。
ゲート側壁にシリコン酸化膜を形成する方法を示す単位
工程フローチャートである。そして、図23は本発明の
シリコン酸化膜を形成するために使われる急速加熱工程
用の半導体製造装置を概略的に示す概略図である。
チエッチングした後のトレンチの内壁のシリコンやゲー
トパターン後のゲート側壁のポリシリコンまたはこれら
シリコンとゲート側壁とが同時に少なくとも部分的に露
出される半導体基板(図1の100)を備える。この半
導体基板(図1の100)を反応チャンバ(図23の1
0)内部の基板支持台13に載せて、真空装置(図23
の30)を用いて所定の低圧力で内部を保ち、半導体基
板100をランプよりなる加熱装置(図23の11)を
用いて急速加熱して工程温度まで速かに上昇させる。次
いで、半導体基板100上でガス供給装置20からガス
引込口15を経て反応チャンバ10に水素ソースガスと
酸素ソースガスとを同時に所定の割合で供給する。そう
すると、水素ソースガスと酸素ソースガスとが半導体基
板の近くで反応してH2O及び酸素ラジカルを生成して
半導体基板100上に露出されたシリコンとポリシリコ
ンとが同時に湿式酸化及び乾式酸化されて所定厚さのシ
リコン酸化膜を形成する。ここで、図23の16は反応
後に残ったガスが排出されるガス排出口である。
用し、水素ソースガスとしてはH2を使用する。これら
酸化用反応ガスは酸素が水素より多量供給されるよう
に、水素と酸素との流量比を1:50ないし1:5に供
給する。水素ガスは0.1slmないし2slmの流速
で供給されることが望ましい。
力は0.1torrないし700torrの低圧で進行
されるが、これは半導体装置のデザインルールの微細化
につれて形成される酸化膜の厚さも薄膜化されて酸化反
応速度を減少させることによって、成長速度を工程調節
性が可能な水準に低めなければならないからである。
に高温で進行されてこそ酸化膜の性質が良好になるの
で、800℃ないし1150℃の温度まで上昇される。
特に、密度が高い良質の清浄な酸化膜を形成するために
は900℃ないし1000℃の温度で酸化膜形成工程を
進行することが望ましいが、抵抗式加熱装置を有する一
般の反応炉を使用すればこのような高温まで反応炉内の
温度を上昇させるのに長時間がかかって半導体基板が高
温で長時間露出されるので、急速酸化法を用いることが
温度の上昇と下降とを短時間に急速に進行して半導体基
板の不要な熱的露出時間を減少できて望ましい。
成されたゲート側壁絶縁膜形成後のゲートの断面(図2
4A)と従来の技術(図24B)によって形成されたこ
とを比較して走査顕微鏡(SEM)写真を示す図であ
る。そして、図25Aと図25Bは図24A及び図24
BのSEM写真を示す図を参照してこれらの差を説明す
るために図示した断面図である。
よるゲートの断面図では、バーズビーク現象が起こりや
すいゲート120とマスク絶縁膜140との間の緩衝用
絶縁膜130の界面に沿って成長したバーズビークの大
きさが、図24Bの従来の技術のものより顕著に少ない
ことがわかる。
と、従来の技術ではパターニングされたゲート1120
で角張った角部Xやトレンチとゲート絶縁膜1121と
が合う角部が鋭角で尖鋭化された形を示している。そし
て、ゲート1120の側壁及びトレンチ1160の側壁
を基準とすれば(図25Bの基準線’A’と比較した場
合、界面接線が’B’であれば逆傾斜、’C’であれば
順傾斜)、縁部で形成されてマスク用の絶縁膜が合う角
部のゲート側壁酸化膜1125は’A’線を基準に酸化
膜の界面が’B’方向に沿って形成されて逆傾斜形態を
なしていて、半導体装置が完成された後、電気的特性に
悪影響を及ぼすことになる。すなわち、尖鋭化された角
部で電場が集中してゲート絶縁膜が低い動作電圧にも容
易に破損されてゲート絶縁膜1121の信頼性が悪くな
り、またゲートの縁部で生じたバーズビークは漏れ電流
の原因となり、よってソフトフェールの要因となる。さ
らに、素子分離用トレンチ1160内の内壁傾度が逆傾
斜となり、ライナー絶縁膜1170(シリコン酸化膜)
の形成後、トレンチ1160の縁部に形成された尖鋭化
された角部が今後ジャンクションを形成した後には、ス
レショルド電圧Vtのダブルハンプ現象を生じうる危険
性があって素子の特性が悪化する傾向がある。しかし、
本発明のゲート側壁酸化膜125ではバーズビークが少
ないだけでなく、角部がラウンドされていてゲート12
0の側壁とトレンチ160の側壁との逆傾斜を防止しう
る。したがって、前述した電気的特性の悪化は生じな
い。
ガスと水素ソースガスとは反応性を考慮して他のソース
ガスを使用しても良い。すなわち、水素ソースガスとし
て反応性を適切に揃えるためにD2やT2を使用しうる。
これら水素ソースガスD2やT2はH2ガスよりは質量が
大きいために、質量が過度に小さくて少量で供給される
場合、半導体基板上に供給されるガスの均一度問題及び
酸素との炎反応が適切に行われないために、湿式酸化の
原料である水蒸気が余り生じないことなどを解決しう
る。
2O及びNOなどを使用しうる。O2をソースガスとして
使用する場合、高い工程温度と比較的高い工程圧力で酸
化速度が高くて膜質の均一度を保障できない。ところ
が、これらN2O及びNOをソースガスとして使用すれ
ば、反応時に生じる酸素原子数が酸素分子の解離時より
少ないために相対的に低い酸化膜成長速度が期待でき、
よって、膜質形成の均一度を向上させうる。そして、ソ
ースの種類(単結晶シリコンまたはポリシリコン)に関
係なく均一な厚さに形成されうる。次いで、後続工程で
ポリシリコンを蒸着してパターニングする時、側壁に生
じるポリシリコン残留物(polysilicon r
esidue)の問題を解決しうる。
に、純粋に酸化反応に参与するソースガスだけで構成さ
れることもあるが、以外に全体的に反応ガスを希釈させ
るために運搬用ガスとして供給される不活性ガスをさら
に含むこともある。このような不活性ガスとしてN2、
Ar、Heなどを使用しうる。
ラッシュメモリでの適用を言及したが、以外にもフラッ
シュメモリと同様に二重ゲートを使用するEPROMま
たはEEPROM等に本発明を適用しうる。この際、ゲ
ート120と第2ゲート220との間に介在される絶縁
膜211として誘電膜の代りに一般のシリコン酸化膜や
シリコン窒化膜を適用してもよい。
一般の半導体メモリ装置にも適用しうる。すなわち、ゲ
ートが1つである一般の半導体メモリ装置にトレンチと
ゲートとの形成が同時に行われる本発明を適用する時
は、製造工程をゲート120の形成時まで進行してゲー
ト形成以後に第2ゲート(図1の220)を形成せず直
ちにソースとドレーンジャンクション形成工程をはじめ
として後続工程を進行するが、このような工程は既存の
方法とは少し異なって進行されることもある。
体装置の素子分離方法は次のような長所を有する。
絶縁膜の側壁に側壁酸化膜を形成することによって、最
終素子分離工程の完了後、トレンチの両側縁部に形成さ
れる陥没部を防止しうる。
導体装置は、側壁酸化膜を形成しつつ高温で加熱される
ためにトレンチ形成時生じる欠陥やストレスなどを緩和
させ、漏れ電流やスレショルド電圧特性など素子の電気
的特性を向上させうる。
では、素子分離用トレンチパターンと共に形成されたゲ
ートの側壁に急速酸化法を用いてゲート側壁絶縁膜を形
成することによって、ゲート上に形成されたマスク用の
絶縁膜との界面のバーズビークの形成を抑制しうる。し
たがって、これらバーズビークによって生じるメモリ素
子のスレショルド電圧の分布不良を無くして窮極的に半
導体メモリ装置の生産収率を増加させうる。
ガスとを同時に供給して半導体基板面で湿式酸化と乾式
酸化とが同時に生じて乾式酸化膜の成長速度またはそれ
以下の成長速度で湿式酸化膜の特性を有するシリコン酸
化膜が得られる。
レンチの内壁のライナー絶縁膜とゲート側壁絶縁膜とを
同時に形成することによって、高温の拡散工程を減ら
し、全体工程時間を減少させて工程処理能力を向上さ
せ、半導体メモリ装置の生産性を高められる。
は、マスク用の絶縁膜のシリコン窒化膜が同時に酸化さ
れる効果があって下部のポリシリコンの酸化がより均一
に生じて半導体メモリのセル間のブリッジによる不良を
減少させうる。
断面図である。
を説明するために示す断面図である。
を説明するために示す断面図である。
を説明するために示す断面図である。
を説明するために示す断面図である。
を説明するために示す断面図である。
を説明するために示す断面図である。
を説明するために示す断面図である。
を説明するために示す断面図である。
化膜を形成する方法を概略的に示す工程のフローチャー
トである。
造方法の過程を説明するために示す断面図である。
造方法の過程を説明するために示す断面図である。
造方法の過程を説明するために示す断面図である。
造方法の過程を説明するために示す断面図である。
造方法の過程を説明するために示す断面図である。
造方法の過程を説明するために示す断面図である。
造方法の過程を説明するために示す断面図である。
造方法の過程を説明するために示す断面図である。
置の製造方法の過程を説明するために示す断面図であ
る。
置の製造方法の過程を説明するために示す断面図であ
る。
置の製造方法の過程を説明するために示す断面図であ
る。
を形成する方法を概略的に示す工程のフローチャートで
ある。
を形成するために使われる急速加熱装置の概略図であ
る。
ート側壁酸化膜を形成した後の断面と従来の技術によっ
てゲート側壁酸化膜を形成した後の断面とを観察したS
EM写真を示す図である。
4Bを図示した断面図である。
Claims (76)
- 【請求項1】 a)半導体基板上の所定領域上にマスク
用の絶縁膜パターンを形成する段階と、 b)前記マスク用の絶縁膜パターンを用いて前記半導体
基板に所定深さのトレンチを形成する段階と、 c)前記マスク用の絶縁膜パターンの表面とトレンチの
内壁に酸化膜を形成する段階と、 d)前記酸化膜上にトレンチライナー膜を形成する段階
と、 e)前記トレンチライナー膜が形成された半導体基板上
の前記トレンチ内にのみ前記トレンチ充填用の絶縁膜を
形成する段階と、 f)前記マスク用の絶縁膜パターンを除去する段階とを
含むことを特徴とする半導体装置の素子分離方法。 - 【請求項2】 前記a)段階は、 前記半導体基板上にパッド酸化膜を形成する段階と、 前記パッド酸化膜上にマスク用のシリコン窒化膜を形成
する段階とを含むことを特徴とする請求項1に記載の半
導体装置の素子分離方法。 - 【請求項3】 前記パッド酸化膜は、前記シリコン基板
を熱的に酸化させて形成することを特徴とする請求項2
に記載の半導体装置の素子分離方法。 - 【請求項4】 前記マスク用のシリコン窒化膜は、低圧
化学気相蒸着法によって形成することを特徴とする請求
項2に記載の半導体装置の素子分離方法。 - 【請求項5】 前記a)段階は、 前記半導体基板の全面にマスク用の絶縁膜を形成する段
階と、 前記マスク用の絶縁膜上にフォトレジストを塗布する段
階と、 写真工程を用いて前記フォトレジストにトレンチパター
ンを形成する段階と、 前記フォトレジストトレンチパターンをマスクとして用
いて前記マスク用の絶縁膜にトレンチパターンを形成す
る段階とを含むことを特徴とする請求項1に記載の半導
体装置の素子分離方法。 - 【請求項6】 前記マスク用の絶縁膜形成段階と前記フ
ォトレジスト塗布段階との間に、反射防止膜を形成する
段階をさらに含むことを特徴とする請求項5に記載の半
導体装置の素子分離方法。 - 【請求項7】 前記反射防止膜は、シリコン窒化膜とシ
リコン窒素酸化膜のうちいずれか1つであることを特徴
とする請求項6に記載の半導体装置の素子分離方法。 - 【請求項8】 前記マスク用の絶縁膜にトレンチパター
ンを形成する段階は、前記半導体基板が露出されるよう
に前記マスク用の絶縁膜を乾式エッチングすることを特
徴とする請求項5に記載の半導体装置の素子分離方法。 - 【請求項9】 前記マスク用の絶縁膜にトレンチパター
ンを形成する段階は、前記フォトレジストを除去する段
階を含むことを特徴とする請求項5に記載の半導体装置
の素子分離方法。 - 【請求項10】 前記a)段階は、 シリコンが露出された半導体基板上にゲート絶縁膜とゲ
ート導電膜及びマスク用の絶縁膜を順次に形成する段階
と、 前記マスク用の絶縁膜、ゲート導電膜及びゲート絶縁膜
をパターニングしてマスク用の絶縁膜パターンとゲート
とを形成する段階とを含むことを特徴とする請求項1に
記載の半導体装置の素子分離方法。 - 【請求項11】 前記ゲートと前記マスク用の絶縁膜と
の間に緩衝用絶縁膜を形成する段階をさらに含むことを
特徴とする請求項10に記載の半導体メモリ装置の素子
分離方法。 - 【請求項12】 マスク用の絶縁膜は、化学気相蒸着法
によって形成されたシリコン窒化膜であり、前記緩衝用
絶縁膜はシリコン酸化膜であることを特徴とする請求項
11に記載の半導体装置の素子分離方法。 - 【請求項13】 前記b)段階で、前記トレンチは、乾
式エッチングを用いて形成されることを特徴とする請求
項1に記載の半導体装置の素子分離方法。 - 【請求項14】 前記トレンチの深さは、0.1umな
いし1umであることを特徴とする請求項1に記載の半
導体装置の素子分離方法。 - 【請求項15】 前記基板のトレンチを形成した後、前
記a)段階で残留するフォトレジストを除去する段階を
さらに含むことを特徴とする請求項5に記載の半導体装
置の素子分離方法。 - 【請求項16】 前記b)段階とc)段階との間に、前
記トレンチの内壁に保護用酸化膜を形成する段階をさら
に含むことを特徴とする請求項1に記載の半導体装置の
素子分離方法。 - 【請求項17】 前記保護用酸化膜は、熱的酸化法で形
成することを特徴とする請求項16に記載の半導体装置
の素子分離方法。 - 【請求項18】 前記保護用酸化膜上に化学気相蒸着法
によって形成される酸化膜をさらに含むことを特徴とす
る請求項16に記載の半導体装置の素子分離方法。 - 【請求項19】 前記c)段階において、 前記酸化膜は、前記マスク用絶縁膜パターンの表面を熱
的に酸化させて形成することを特徴とする請求項1に記
載の半導体装置の素子分離方法。 - 【請求項20】 前記マスク用の絶縁膜パターンの表面
上に前記酸化膜を形成する段階は、 前記マスク用の絶縁膜パターンが形成された半導体基板
を所定温度に加熱する段階と、 前記マスク用の絶縁膜上に酸化用反応ガスを供給して所
定厚さの酸化膜を形成する段階とを含むことを特徴とす
る請求項19に記載の半導体装置の素子分離方法。 - 【請求項21】 前記半導体基板の加熱段階は、急速加
熱方式によって行われることを特徴とする請求項20に
記載の半導体装置の素子分離方法。 - 【請求項22】 前記半導体基板を加熱する段階は、7
00℃ないし1150℃の温度で行われることを特徴と
する請求項20に記載の半導体装置の素子分離方法。 - 【請求項23】 前記酸化膜を形成する段階は、0.1
torrないし760torrの工程圧力で行われるこ
とを特徴とする請求項20に記載の半導体装置の素子分
離方法。 - 【請求項24】 前記酸化用反応ガスは、酸素と水素と
の混合ガスであることを特徴とする請求項20に記載の
半導体装置の素子分離方法。 - 【請求項25】 前記混合ガスは、水素ガスの体積率が
全体供給ガス量対比1%ないし50%であることを特徴
とする請求項24に記載の半導体装置の素子分離方法。 - 【請求項26】 前記酸素ガス及び水素ガスは、1:5
0ないし1:5の体積比で供給されることを特徴とする
請求項25に記載の半導体メモリ装置の素子分離方法。 - 【請求項27】 前記水素ガスは、0.1slmないし
2slmの流速で供給されることを特徴とする請求項2
6に記載の半導体メモリ装置の素子分離方法。 - 【請求項28】 前記酸化膜形成工程は、Kr/O2プ
ラズマ雰囲気下で行われることを特徴とする請求項20
に記載の半導体装置の素子分離方法。 - 【請求項29】 前記酸化膜は、20Åないし300Å
の厚さに形成されることを特徴とする請求項18に記載
の半導体装置の素子分離方法。 - 【請求項30】 前記d)段階において、 前記トレンチライナー膜はシリコン窒化膜であることを
特徴とする請求項1に記載の半導体装置の素子分離方
法。 - 【請求項31】 前記シリコン窒化膜は、低圧化学気相
蒸着法で形成されることを特徴とする請求項30に記載
の半導体装置の素子分離方法。 - 【請求項32】 前記d)段階において、前記トレンチ
ライナー膜は、BNであることを特徴とする請求項1に
記載の半導体装置の素子分離方法。 - 【請求項33】 前記BNは、低圧化学気相蒸着法とA
LD法のうちいずれか1つによって形成されることを特
徴とする請求項32に記載の半導体装置の素子分離方
法。 - 【請求項34】 前記トレンチライナー膜は、Al2O3
であることを特徴とする請求項1に記載の半導体装置の
素子分離方法。 - 【請求項35】 前記Al2O3は、ALD法によって形
成されることを特徴とする請求項34に記載の半導体装
置の素子分離方法。 - 【請求項36】 前記e)段階は、 前記半導体基板上に前記トレンチを完全に充填するよう
に前記充填用絶縁膜を形成する段階と、 前記充填用絶縁膜を高密度化させるために熱処理する段
階と、 前記素子領域上に蒸着された前記充填用絶縁膜を除去し
て前記トレンチ内部にのみ前記充填用絶縁膜を残すため
に半導体基板の全面の充填用絶縁膜を平坦に除去する段
階とを含むことを特徴とする請求項1に記載の半導体装
置の素子分離方法。 - 【請求項37】 前記充填用絶縁膜は、シリコン酸化膜
であることを特徴とする請求項36に記載の半導体装置
の素子分離方法。 - 【請求項38】 前記充填用絶縁膜は、化学気相蒸着法
によって蒸着されることを特徴とする請求項36に記載
の半導体装置の素子分離方法。 - 【請求項39】 前記充填用絶縁膜は、プラズマを用い
た化学気相蒸着法によって形成されることを特徴とする
請求項38に記載の半導体装置の素子分離方法。 - 【請求項40】 前記層間絶縁膜の高密度化熱処理段階
は、800℃ないし1150℃の温度範囲で行われるこ
とを特徴とする請求項36に記載の半導体装置の素子分
離方法。 - 【請求項41】 前記熱処理段階は、不活性ガス雰囲気
で行われることを特徴とする請求項40に記載の半導体
装置の素子分離方法。 - 【請求項42】 前記充填用絶縁膜を平坦に除去する段
階は、化学的機械研磨法を用いることを特徴とする請求
項36に記載の半導体装置の素子分離方法。 - 【請求項43】 前記充填用絶縁膜を平坦化する段階の
化学的機械研磨法は前記マスク用の絶縁膜層を研磨停止
層として用いることを特徴とする請求項42に記載の半
導体装置の素子分離方法。 - 【請求項44】 f)段階は、 前記マスク用の絶縁膜パターンを湿式エッチングで除去
することを特徴とする請求項1に記載の半導体装置の素
子分離方法。 - 【請求項45】 前記マスク用の絶縁膜パターンは、H
3PO4溶液によってエッチングされることを特徴とする
請求項44に記載の半導体装置の素子分離方法。 - 【請求項46】 a)シリコンが露出された半導体基板
上にゲート絶縁膜、ゲート導電膜及びマスク用の絶縁膜
を順次に形成する段階と、 b)前記マスク用の絶縁膜、ゲート導電膜及びゲート絶
縁膜をパターニングしてマスク用の絶縁膜パターンとゲ
ートとを形成する段階と、 c)前記マスク用の絶縁膜とゲートとをマスクとして用
いて前記半導体基板のシリコンにトレンチを形成する段
階と、 d)前記トレンチにより露出された半導体基板のシリコ
ン表面とゲートのゲート導電膜の側壁とに急速加熱方式
を用いて所定厚さの側壁絶縁膜を形成する段階と、 e)前記トレンチ内を充填用絶縁膜で充填する段階とを
含む半導体装置の素子分離方法。 - 【請求項47】 前記a)段階は、前記ゲート導電膜と
前記マスク用の絶縁膜との間に緩衝用絶縁膜を形成する
段階をさらに含むことを特徴とする請求項46に記載の
半導体装置の素子分離方法。 - 【請求項48】 前記マスク用の絶縁膜は、化学気相蒸
着法によって形成されたシリコン窒化膜であることを特
徴とする請求項47に記載の半導体装置の素子分離方
法。 - 【請求項49】 前記緩衝用絶縁膜は、シリコン酸化膜
であることを特徴とする請求項47に記載の半導体装置
の素子分離方法。 - 【請求項50】 前記d)段階は、 前記側壁絶縁膜はシリコン酸化膜であることを特徴とす
る請求項46に記載の半導体装置の素子分離方法。 - 【請求項51】 前記シリコン酸化膜は、800℃ない
し1150℃の工程温度で酸化されて形成されることを
特徴とする請求項50に記載の半導体装置の素子分離方
法。 - 【請求項52】 前記シリコン酸化膜は、低圧で形成さ
れることを特徴とする請求項50に記載の半導体装置の
素子分離方法。 - 【請求項53】 前記低圧は、0.1torrないし7
00torrであることを特徴とする請求項52に記載
の半導体装置の素子分離方法。 - 【請求項54】 前記シリコン酸化膜の形成時、工程ガ
スとしてH2とO2とを同時に使用することを特徴とする
請求項50に記載の半導体装置の素子分離方法。 - 【請求項55】 前記H2ガスと前記O2ガスとは、1:
50ないし1:5の体積比で供給されることを特徴とす
る請求項54に記載の半導体装置の素子分離方法。 - 【請求項56】 前記H2ガスは、0.1slmないし
2slmの流速で供給されることを特徴とする請求項5
5に記載の半導体装置の素子分離方法。 - 【請求項57】 前記e)段階後に、 前記ゲート上に第2ゲートを形成する段階をさらに含む
ことを特徴とする請求項46に記載の半導体装置の素子
分離方法。 - 【請求項58】 第2ゲートを形成する段階は、 前記ゲートの上部を露出させる段階と、 前記ゲートの露出された表面に誘電膜を形成する段階
と、 前記誘電膜上に第2ゲート用の導電膜を形成する段階
と、 前記第2ゲート用の導電膜に第2ゲートパターンを形成
する段階とを含むことを特徴とする請求項57に記載の
半導体装置の素子分離方法。 - 【請求項59】 前記ゲートの上部を露出させる段階
は、 前記ゲートの上部に導電性物質を形成する段階と、 前記導電性物質をパターニングして中間ゲートを形成す
る段階とをさらに含むことを特徴とする請求項58に記
載の半導体装置の素子分離方法。 - 【請求項60】 前記導電性物質は、不純物がドーピン
グされたポリシリコンであることを特徴とする請求項5
9に記載の半導体装置の素子分離方法。 - 【請求項61】 前記誘電体は、高誘電膜であることを
特徴とする請求項60に記載の半導体装置の素子分離方
法。 - 【請求項62】 前記誘電体は、TaO5、PLZT、
PZT、BSTのうちいずれか1つを含むことを特徴と
する請求項61に記載の半導体装置の素子分離方法。 - 【請求項63】 前記第2ゲート導電膜は、不純物がド
ーピングされたポリシリコンであることを特徴とする請
求項58に記載の半導体装置の素子分離方法。 - 【請求項64】 前記第2ゲート導電膜は、前記ドーピ
ングされたポリシリコン上にシリサイド膜をさらに形成
することを特徴とする請求項63に記載の半導体装置の
素子分離方法。 - 【請求項65】 前記シリサイド膜は、前記ポリシリコ
ン上に自己整列シリサイド形成法を用いて形成すること
を特徴とする請求項64に記載の半導体装置の素子分離
方法。 - 【請求項66】 a)シリコンまたはポリシリコンが露
出された領域を有する半導体基板を準備する段階と、 b)前記半導体基板を低圧の雰囲気で保つ段階と、 c)前記半導体基板を所定の工程温度で急速加熱する段
階と、 d)前記半導体基板上に酸素ソースガスと水素ソースガ
スとを含む反応ガスを供給して前記シリコンまたはポリ
シリコンが露出された領域に湿式酸化反応と乾式酸化反
応とが組合わせられた酸化反応によってシリコン酸化膜
を形成する段階とを含むことを特徴とする半導体基板上
へのシリコン酸化膜の形成方法。 - 【請求項67】 前記a)段階において、前記露出され
た領域は、ゲートの側壁部とトレンチの内壁とのうち少
なくともいずれか1つであることを特徴とする請求項6
6に記載の半導体基板上へのシリコン酸化膜の形成方
法。 - 【請求項68】 前記b)段階において、低圧雰囲気
は、0.1torrないし700torrであることを
特徴とする請求項66に記載の半導体基板上へのシリコ
ン酸化膜の形成方法。 - 【請求項69】 前記c)段階において、工程温度は、
800℃ないし1150℃であることを特徴とする請求
項66に記載の半導体基板上へのシリコン酸化膜の形成
方法。 - 【請求項70】 前記d)段階において、前記反応ガス
は、酸素ソースガスとしてO2と、水素ソースガスとし
てH2とを所定の割合で混合した混合ガスであることを
特徴とする請求項66に記載の半導体基板上へのシリコ
ン酸化膜の形成方法。 - 【請求項71】 前記H2ガスと前記O2ガスとの供給さ
れる体積比は、1:50ないし1:5であることを特徴
とする請求項70に記載の半導体基板上へのシリコン酸
化膜の形成方法。 - 【請求項72】 前記O2ガスは、1slmないし10
slmであることを特徴とする請求項71に記載の半導
体基板上へのシリコン酸化膜の形成方法。 - 【請求項73】 前記水素ソースガスは、D2及びT2の
うちいずれか1つであることを特徴とする請求項66に
記載の半導体基板上へのシリコン酸化膜の形成方法。 - 【請求項74】 前記酸素ソースガスは、N2OとNO
のうちいずれか1つであることを特徴とする請求項66
に記載の半導体基板上へのシリコン酸化膜の形成方法。 - 【請求項75】 前記反応ガスは、不活性の雰囲気ガス
をさらに含むことを特徴とする請求項66に記載の半導
体基板上へのシリコン酸化膜の形成方法。 - 【請求項76】 前記雰囲気ガスは、N2、Ar、He
のうち少なくともいずれか1つを含むことを特徴とする
請求項75に記載の半導体基板上へのシリコン酸化膜の
形成方法。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR2001-027345 | 2001-05-18 | ||
KR10-2001-0027345A KR100403628B1 (ko) | 2001-05-18 | 2001-05-18 | 반도체 장치의 소자분리 방법 |
KR2001-060554 | 2001-09-28 | ||
KR10-2001-0060554A KR100421049B1 (ko) | 2001-09-28 | 2001-09-28 | 반도체 메모리 장치의 제조방법 |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2003045957A true JP2003045957A (ja) | 2003-02-14 |
Family
ID=36816190
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2002145346A Ceased JP2003045957A (ja) | 2001-05-18 | 2002-05-20 | 半導体装置の素子分離方法 |
Country Status (4)
Country | Link |
---|---|
US (2) | US20020197823A1 (ja) |
JP (1) | JP2003045957A (ja) |
CN (1) | CN1267982C (ja) |
DE (1) | DE10222083B4 (ja) |
Cited By (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005026657A (ja) * | 2003-06-30 | 2005-01-27 | Hynix Semiconductor Inc | 半導体素子の製造方法 |
JP2005045012A (ja) * | 2003-07-22 | 2005-02-17 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
JP2005064102A (ja) * | 2003-08-08 | 2005-03-10 | New Japan Radio Co Ltd | 半導体集積回路の製造方法 |
JP2005093897A (ja) * | 2003-09-19 | 2005-04-07 | Oki Electric Ind Co Ltd | 半導体装置,及び半導体装置の製造方法 |
JP2005203508A (ja) * | 2004-01-14 | 2005-07-28 | Renesas Technology Corp | 半導体装置の製造方法 |
JP2006032892A (ja) * | 2004-07-13 | 2006-02-02 | Hynix Semiconductor Inc | 半導体素子の素子分離膜製造方法 |
JP2006210913A (ja) * | 2005-01-31 | 2006-08-10 | Hynix Semiconductor Inc | ステップゲートを有する半導体素子及びその製造方法 |
US7095093B2 (en) * | 2001-06-29 | 2006-08-22 | Kabushiki Kaisha Toshiba | Semiconductor device and method of manufacturing a semiconductor device |
JP2006253621A (ja) * | 2005-03-10 | 2006-09-21 | Hynix Semiconductor Inc | フラッシュメモリ素子の製造方法 |
JP2006270049A (ja) * | 2005-03-22 | 2006-10-05 | Hynix Semiconductor Inc | 半導体素子の製造方法 |
JP2007048941A (ja) * | 2005-08-10 | 2007-02-22 | Fujitsu Ltd | 半導体装置の製造方法 |
JP2008504685A (ja) * | 2004-06-23 | 2008-02-14 | マイクロン テクノロジー,インコーポレイテッド | Al2O3誘電体を用いるメモリ・セルの絶縁構造 |
JP2009135546A (ja) * | 2003-08-26 | 2009-06-18 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法および基板処理装置 |
JP2010272675A (ja) * | 2009-05-21 | 2010-12-02 | Toshiba Corp | 半導体記憶装置 |
JP2011146733A (ja) * | 2011-03-18 | 2011-07-28 | Renesas Electronics Corp | 半導体装置の製造方法 |
JP2015510594A (ja) * | 2012-02-15 | 2015-04-09 | ロベルト・ボッシュ・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツングRobert Bosch Gmbh | ドープ電極を有する圧力センサ |
JP2018106173A (ja) * | 2018-01-10 | 2018-07-05 | 東京エレクトロン株式会社 | 反射防止機能を有する部材の製造方法 |
JP2022144780A (ja) * | 2021-03-19 | 2022-10-03 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置 |
Families Citing this family (207)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3586268B2 (ja) * | 2002-07-09 | 2004-11-10 | 株式会社東芝 | 半導体装置及びその製造方法 |
DE10234734A1 (de) * | 2002-07-30 | 2004-02-12 | Infineon Technologies Ag | Verwendung von Masken aus Metalloxiden zur Bearbeitung von Oberflächen bei der Herstellung von Mikrochips |
DE10234952B3 (de) * | 2002-07-31 | 2004-04-01 | Infineon Technologies Ag | Herstellungsverfahren für eine Halbleiterstruktur mit einem Graben, insbesondere zur Verwendung bei der Herstellung eines Grabenkondensators |
US20040029389A1 (en) * | 2002-08-06 | 2004-02-12 | Winbond Electronics Corporation | Method of forming shallow trench isolation structure with self-aligned floating gate |
KR100468771B1 (ko) * | 2002-10-10 | 2005-01-29 | 삼성전자주식회사 | 모스 트랜지스터의 제조방법 |
US6649489B1 (en) * | 2003-02-13 | 2003-11-18 | Taiwan Semiconductor Manufacturing Company | Poly etching solution to improve silicon trench for low STI profile |
KR100497603B1 (ko) * | 2003-03-17 | 2005-07-01 | 삼성전자주식회사 | 트렌치 소자 분리 방법 및 이를 이용한 불휘발성 메모리장치의 제조방법 |
JP4000087B2 (ja) * | 2003-05-07 | 2007-10-31 | 株式会社東芝 | 半導体装置およびその製造方法 |
KR100499642B1 (ko) * | 2003-09-05 | 2005-07-05 | 주식회사 하이닉스반도체 | 반도체 소자의 소자 분리막 제조 방법 |
JP2005191512A (ja) * | 2003-12-01 | 2005-07-14 | Sharp Corp | 半導体装置の製造方法 |
US20050276922A1 (en) * | 2004-06-10 | 2005-12-15 | Henry Bernhardt | Method of forming thin dielectric layers |
DE102004042459B3 (de) * | 2004-08-31 | 2006-02-09 | Infineon Technologies Ag | Verfahren zur Herstellung einer Grabenisolationsstruktur mit hohem Aspektverhältnis |
US7022583B1 (en) * | 2004-11-26 | 2006-04-04 | Grace Semiconductor Manufacturing Corporation | Method of forming a shallow trench isolation device to prevent kick effect |
KR100610017B1 (ko) * | 2004-11-26 | 2006-08-08 | 삼성전자주식회사 | 비휘발성 메모리 소자 및 그 제조방법 |
KR20060068848A (ko) * | 2004-12-17 | 2006-06-21 | 삼성전자주식회사 | 중수소 가스를 사용하는 반도체 소자의 게이트 산화막형성방법 |
KR100702769B1 (ko) * | 2004-12-28 | 2007-04-03 | 주식회사 하이닉스반도체 | 반도체 소자의 소자분리막 형성방법 |
US7776686B2 (en) * | 2005-03-08 | 2010-08-17 | Nec Electronics Corporation | Method of fabricating a non-volatile memory element including nitriding and oxidation of an insulating film |
KR100590383B1 (ko) * | 2005-03-09 | 2006-06-19 | 주식회사 하이닉스반도체 | 반도체 소자의 소자분리막 형성방법 |
KR20060104531A (ko) * | 2005-03-30 | 2006-10-09 | 삼성에스디아이 주식회사 | 발광표시장치의 제조방법 |
US7238990B2 (en) | 2005-04-06 | 2007-07-03 | Freescale Semiconductor, Inc. | Interlayer dielectric under stress for an integrated circuit |
KR100699843B1 (ko) * | 2005-06-09 | 2007-03-27 | 삼성전자주식회사 | 트렌치 분리영역을 갖는 모스 전계효과 트랜지스터 및 그제조방법 |
JP4756926B2 (ja) * | 2005-06-17 | 2011-08-24 | Okiセミコンダクタ株式会社 | 素子分離構造部の製造方法 |
US7473615B2 (en) * | 2005-08-05 | 2009-01-06 | Micron Technology, Inc. | Semiconductor processing methods |
CN100463144C (zh) * | 2005-09-20 | 2009-02-18 | 力晶半导体股份有限公司 | 非挥发性存储器及其制造方法 |
KR100679833B1 (ko) * | 2005-10-21 | 2007-02-06 | 동부일렉트로닉스 주식회사 | 반도체 소자 및 그 제조 방법 |
US20080277737A1 (en) * | 2005-11-16 | 2008-11-13 | Nxp B.V. | Method of Manufacturing a Semiconductor Device and Semiconductor Device Obtained with Such a Method |
KR100643468B1 (ko) * | 2005-12-01 | 2006-11-10 | 동부일렉트로닉스 주식회사 | 절연막 스페이서가 형성된 비휘발성 메모리 소자 및 그제조 방법 |
US8501632B2 (en) * | 2005-12-20 | 2013-08-06 | Infineon Technologies Ag | Methods of fabricating isolation regions of semiconductor devices and structures thereof |
JP4984558B2 (ja) * | 2006-02-08 | 2012-07-25 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
US7754611B2 (en) * | 2006-02-28 | 2010-07-13 | Macronix International Co., Ltd. | Chemical mechanical polishing process |
US7767588B2 (en) * | 2006-02-28 | 2010-08-03 | Freescale Semiconductor, Inc. | Method for forming a deposited oxide layer |
US8936995B2 (en) | 2006-03-01 | 2015-01-20 | Infineon Technologies Ag | Methods of fabricating isolation regions of semiconductor devices and structures thereof |
JP4560820B2 (ja) * | 2006-06-20 | 2010-10-13 | エルピーダメモリ株式会社 | 半導体装置の製造方法 |
KR100791334B1 (ko) * | 2006-07-26 | 2008-01-07 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속 산화막 형성 방법 |
US20080054409A1 (en) * | 2006-08-31 | 2008-03-06 | Cheon-Man Shim | Fabricating method of semiconductor device |
KR100829600B1 (ko) * | 2006-10-02 | 2008-05-14 | 삼성전자주식회사 | 비휘발성 메모리 장치의 제조 방법 |
US7524777B2 (en) * | 2006-12-14 | 2009-04-28 | Texas Instruments Incorporated | Method for manufacturing an isolation structure using an energy beam treatment |
KR100868654B1 (ko) * | 2006-12-27 | 2008-11-12 | 동부일렉트로닉스 주식회사 | 반도체 소자의 트렌치 형성 방법 |
US8337950B2 (en) * | 2007-06-19 | 2012-12-25 | Applied Materials, Inc. | Method for depositing boron-rich films for lithographic mask applications |
US20100193900A1 (en) * | 2007-07-13 | 2010-08-05 | National University Corporation Tohoku University | Soi substrate and semiconductor device using an soi substrate |
KR100913331B1 (ko) * | 2007-09-20 | 2009-08-20 | 주식회사 동부하이텍 | 모스 트랜지스터 및 그의 제조 방법 |
JP2009170781A (ja) * | 2008-01-18 | 2009-07-30 | Toshiba Corp | 不揮発性半導体記憶装置およびその製造方法 |
JP2009272365A (ja) * | 2008-05-01 | 2009-11-19 | Renesas Technology Corp | 半導体装置の製造方法 |
US8133797B2 (en) * | 2008-05-16 | 2012-03-13 | Novellus Systems, Inc. | Protective layer to enable damage free gap fill |
KR100950480B1 (ko) * | 2008-06-20 | 2010-03-31 | 주식회사 하이닉스반도체 | 스페이스 패터닝 기술을 이용한 반도체 소자의 활성영역형성방법 |
JP2010027904A (ja) * | 2008-07-22 | 2010-02-04 | Elpida Memory Inc | 半導体装置の製造方法 |
US7910491B2 (en) * | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US8563090B2 (en) * | 2008-10-16 | 2013-10-22 | Applied Materials, Inc. | Boron film interface engineering |
JP2010199156A (ja) * | 2009-02-23 | 2010-09-09 | Panasonic Corp | 半導体装置及びその製造方法 |
KR101062849B1 (ko) * | 2009-10-30 | 2011-09-07 | 주식회사 하이닉스반도체 | 반도체 장치 및 그 제조 방법 |
US8946851B1 (en) | 2009-11-13 | 2015-02-03 | Maxim Integrated Products, Inc. | Integrated MOS power transistor with thin gate oxide and low gate charge |
US20110115019A1 (en) * | 2009-11-13 | 2011-05-19 | Maxim Integrated Products, Inc. | Cmos compatible low gate charge lateral mosfet |
US8963241B1 (en) | 2009-11-13 | 2015-02-24 | Maxim Integrated Products, Inc. | Integrated MOS power transistor with poly field plate extension for depletion assist |
US8987818B1 (en) | 2009-11-13 | 2015-03-24 | Maxim Integrated Products, Inc. | Integrated MOS power transistor with thin gate oxide and low gate charge |
US20110115018A1 (en) * | 2009-11-13 | 2011-05-19 | Maxim Integrated Products, Inc. | Mos power transistor |
US8969958B1 (en) | 2009-11-13 | 2015-03-03 | Maxim Integrated Products, Inc. | Integrated MOS power transistor with body extension region for poly field plate depletion assist |
CN102222636B (zh) * | 2010-04-14 | 2014-03-12 | 中芯国际集成电路制造(上海)有限公司 | 浅沟槽隔离的制作方法 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10672748B1 (en) | 2010-06-02 | 2020-06-02 | Maxim Integrated Products, Inc. | Use of device assembly for a generalization of three-dimensional heterogeneous technologies integration |
US8349653B2 (en) | 2010-06-02 | 2013-01-08 | Maxim Integrated Products, Inc. | Use of device assembly for a generalization of three-dimensional metal interconnect technologies |
US8605481B2 (en) * | 2010-09-30 | 2013-12-10 | GlobalFoundries, Inc. | Crossbar array memory elements and related read methods |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
CN102842595B (zh) * | 2011-06-20 | 2015-12-02 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
CN102931128B (zh) * | 2012-11-28 | 2015-01-07 | 上海华力微电子有限公司 | 浅沟槽隔离之边角圆化的方法 |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9070742B2 (en) * | 2013-01-18 | 2015-06-30 | GlobalFoundries, Inc. | FinFet integrated circuits with uniform fin height and methods for fabricating the same |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US8962430B2 (en) * | 2013-05-31 | 2015-02-24 | Stmicroelectronics, Inc. | Method for the formation of a protective dual liner for a shallow trench isolation structure |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
CN103456616A (zh) * | 2013-09-02 | 2013-12-18 | 上海华力微电子有限公司 | 制备栅氧层的工艺 |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US20160172200A1 (en) * | 2014-12-15 | 2016-06-16 | United Microelectronics Corp. | Method for fabricating non-volatile memory device |
US9202701B1 (en) * | 2014-12-17 | 2015-12-01 | United Microelectronics Corp. | Method for manufacturing silicon—oxide—nitride—oxide—silicon (SONOS) non-volatile memory cell |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
CN107154354B (zh) * | 2016-03-03 | 2020-12-11 | 上海新昇半导体科技有限公司 | 晶圆热处理的方法 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9698043B1 (en) * | 2016-05-20 | 2017-07-04 | International Business Machines Corporation | Shallow trench isolation for semiconductor devices |
US11239258B2 (en) | 2016-07-19 | 2022-02-01 | Applied Materials, Inc. | High-k dielectric materials comprising zirconium oxide utilized in display devices |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
JP2018181911A (ja) * | 2017-04-04 | 2018-11-15 | 浜松ホトニクス株式会社 | 光半導体装置 |
CN107275339B (zh) * | 2017-04-20 | 2020-06-12 | 惠科股份有限公司 | 主动开关阵列基板及制造方法与应用的显示面板 |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
CN107706181A (zh) * | 2017-10-27 | 2018-02-16 | 睿力集成电路有限公司 | 高深宽比结构、电容器结构、半导体存储器件及制备方法 |
CN109727906B (zh) * | 2017-10-31 | 2021-01-05 | 无锡华润微电子有限公司 | N型半导体元器件的浅槽隔离结构的处理方法 |
KR102392058B1 (ko) * | 2017-11-06 | 2022-04-28 | 삼성전자주식회사 | 집적회로 소자의 제조 방법 |
CN108231537A (zh) * | 2017-12-05 | 2018-06-29 | 中国电子科技集团公司第五十五研究所 | 改善多晶硅侧壁粗糙度的制备方法 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
CN110931421A (zh) * | 2018-09-20 | 2020-03-27 | 长鑫存储技术有限公司 | 浅沟槽隔离结构及制作方法 |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CN110211875B (zh) * | 2019-06-06 | 2021-11-02 | 武汉新芯集成电路制造有限公司 | 一种半导体器件的制造方法 |
JP7278184B2 (ja) * | 2019-09-13 | 2023-05-19 | キオクシア株式会社 | 半導体装置の製造方法 |
US11264474B1 (en) * | 2020-08-18 | 2022-03-01 | Nanya Technology Corporation | Semiconductor device with boron nitride layer and method for fabricating the same |
CN113013034B (zh) * | 2021-02-07 | 2023-08-15 | 西安微电子技术研究所 | 一种沟槽肖特基二极管及其制作方法 |
US20220293612A1 (en) * | 2021-03-15 | 2022-09-15 | Micron Technology, Inc. | Semiconductor device having sti region |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000031264A (ja) * | 1998-07-08 | 2000-01-28 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
JP2000124303A (ja) * | 1998-10-09 | 2000-04-28 | Samsung Electronics Co Ltd | トレンチ隔離の製造方法 |
JP2000208609A (ja) * | 1999-01-11 | 2000-07-28 | Samsung Electronics Co Ltd | 半導体素子のトレンチ素子分離方法及びこれを用いた半導体素子 |
JP2000228442A (ja) * | 1999-02-05 | 2000-08-15 | Internatl Business Mach Corp <Ibm> | 半導体に分離部を形成する方法及び半導体デバイス |
KR20010066383A (ko) * | 1999-12-31 | 2001-07-11 | 박종섭 | 반도체장치의 제조방법 |
KR20020017827A (ko) * | 2000-08-31 | 2002-03-07 | 박종섭 | 반도체 소자의 트렌치 소자분리막 형성방법 |
JP2002521845A (ja) * | 1998-07-27 | 2002-07-16 | アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド | 埋込みローカル配線 |
Family Cites Families (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5244843A (en) * | 1991-12-17 | 1993-09-14 | Intel Corporation | Process for forming a thin oxide layer |
US5492858A (en) * | 1994-04-20 | 1996-02-20 | Digital Equipment Corporation | Shallow trench isolation process for high aspect ratio trenches |
US5478765A (en) * | 1994-05-04 | 1995-12-26 | Regents Of The University Of Texas System | Method of making an ultra thin dielectric for electronic devices |
FR2725453B1 (fr) * | 1994-10-05 | 1996-11-08 | Atochem North America Elf | Compositions renforcantes comprenant une silice precipitee pour polymeres thermoplastiques ayant des proprietes d'anti-mottage et d'ecoulement ameliorees |
US5786263A (en) * | 1995-04-04 | 1998-07-28 | Motorola, Inc. | Method for forming a trench isolation structure in an integrated circuit |
US5891809A (en) * | 1995-09-29 | 1999-04-06 | Intel Corporation | Manufacturable dielectric formed using multiple oxidation and anneal steps |
US5756390A (en) * | 1996-02-27 | 1998-05-26 | Micron Technology, Inc. | Modified LOCOS process for sub-half-micron technology |
US5780346A (en) * | 1996-12-31 | 1998-07-14 | Intel Corporation | N2 O nitrided-oxide trench sidewalls and method of making isolation structure |
US5763315A (en) * | 1997-01-28 | 1998-06-09 | International Business Machines Corporation | Shallow trench isolation with oxide-nitride/oxynitride liner |
TWI227530B (en) * | 1997-03-05 | 2005-02-01 | Hitachi Ltd | Manufacturing method of semiconductor integrated circuit device |
US5851892A (en) * | 1997-05-07 | 1998-12-22 | Cypress Semiconductor Corp. | Fabrication sequence employing an oxide formed with minimized inducted charge and/or maximized breakdown voltage |
US6207591B1 (en) * | 1997-11-14 | 2001-03-27 | Kabushiki Kaisha Toshiba | Method and equipment for manufacturing semiconductor device |
KR100252866B1 (ko) * | 1997-12-13 | 2000-04-15 | 김영환 | 반도체소자 및 이의 제조방법 |
KR100286736B1 (ko) * | 1998-06-16 | 2001-04-16 | 윤종용 | 트렌치 격리 형성 방법 |
KR100289738B1 (ko) * | 1998-07-07 | 2001-07-12 | 윤종용 | 반도체집적회로의트렌치소자분리방법 |
US6387777B1 (en) * | 1998-09-02 | 2002-05-14 | Kelly T. Hurley | Variable temperature LOCOS process |
US6103581A (en) * | 1998-11-27 | 2000-08-15 | Taiwan Semiconductor Manufacturing Company | Method for producing shallow trench isolation structure |
US6180492B1 (en) * | 1999-01-25 | 2001-01-30 | United Microelectronics Corp. | Method of forming a liner for shallow trench isolation |
US6358796B1 (en) * | 1999-04-15 | 2002-03-19 | Taiwan Semiconductor Manufacturing Company | Method to fabricate a non-smiling effect structure in split-gate flash with self-aligned isolation |
US6255194B1 (en) * | 1999-06-03 | 2001-07-03 | Samsung Electronics Co., Ltd. | Trench isolation method |
US6358867B1 (en) * | 2000-06-16 | 2002-03-19 | Infineon Technologies Ag | Orientation independent oxidation of silicon |
US6620681B1 (en) * | 2000-09-08 | 2003-09-16 | Samsung Electronics Co., Ltd. | Semiconductor device having desired gate profile and method of making the same |
JP3484410B2 (ja) * | 2000-12-14 | 2004-01-06 | 沖電気工業株式会社 | 半導体装置における素子分離領域の形成方法 |
US6355539B1 (en) * | 2001-05-07 | 2002-03-12 | Macronix International Co., Ltd. | Method for forming shallow trench isolation |
-
2002
- 2002-05-17 US US10/147,326 patent/US20020197823A1/en not_active Abandoned
- 2002-05-17 DE DE10222083A patent/DE10222083B4/de not_active Expired - Fee Related
- 2002-05-20 CN CNB021202222A patent/CN1267982C/zh not_active Expired - Fee Related
- 2002-05-20 JP JP2002145346A patent/JP2003045957A/ja not_active Ceased
-
2006
- 2006-04-06 US US11/398,536 patent/US20060183296A1/en not_active Abandoned
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000031264A (ja) * | 1998-07-08 | 2000-01-28 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
JP2002521845A (ja) * | 1998-07-27 | 2002-07-16 | アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド | 埋込みローカル配線 |
JP2000124303A (ja) * | 1998-10-09 | 2000-04-28 | Samsung Electronics Co Ltd | トレンチ隔離の製造方法 |
JP2000208609A (ja) * | 1999-01-11 | 2000-07-28 | Samsung Electronics Co Ltd | 半導体素子のトレンチ素子分離方法及びこれを用いた半導体素子 |
JP2000228442A (ja) * | 1999-02-05 | 2000-08-15 | Internatl Business Mach Corp <Ibm> | 半導体に分離部を形成する方法及び半導体デバイス |
KR20010066383A (ko) * | 1999-12-31 | 2001-07-11 | 박종섭 | 반도체장치의 제조방법 |
KR20020017827A (ko) * | 2000-08-31 | 2002-03-07 | 박종섭 | 반도체 소자의 트렌치 소자분리막 형성방법 |
Cited By (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7095093B2 (en) * | 2001-06-29 | 2006-08-22 | Kabushiki Kaisha Toshiba | Semiconductor device and method of manufacturing a semiconductor device |
JP2005026657A (ja) * | 2003-06-30 | 2005-01-27 | Hynix Semiconductor Inc | 半導体素子の製造方法 |
JP2005045012A (ja) * | 2003-07-22 | 2005-02-17 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
JP4545401B2 (ja) * | 2003-07-22 | 2010-09-15 | パナソニック株式会社 | 半導体装置の製造方法 |
JP2005064102A (ja) * | 2003-08-08 | 2005-03-10 | New Japan Radio Co Ltd | 半導体集積回路の製造方法 |
JP4549039B2 (ja) * | 2003-08-08 | 2010-09-22 | 新日本無線株式会社 | 半導体集積回路の製造方法 |
JP2012015536A (ja) * | 2003-08-26 | 2012-01-19 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法、基板処理方法および基板処理装置 |
JP2009135546A (ja) * | 2003-08-26 | 2009-06-18 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法および基板処理装置 |
JP4540320B2 (ja) * | 2003-09-19 | 2010-09-08 | Okiセミコンダクタ株式会社 | 半導体装置の製造方法 |
JP2005093897A (ja) * | 2003-09-19 | 2005-04-07 | Oki Electric Ind Co Ltd | 半導体装置,及び半導体装置の製造方法 |
JP2005203508A (ja) * | 2004-01-14 | 2005-07-28 | Renesas Technology Corp | 半導体装置の製造方法 |
US8278182B2 (en) | 2004-06-23 | 2012-10-02 | Micron Technology, Inc. | Isolation structure for a memory cell using Al1O3 dielectric |
US8084806B2 (en) | 2004-06-23 | 2011-12-27 | Micron Technology, Inc. | Isolation structure for a memory cell using A12O3 dielectric |
JP2008504685A (ja) * | 2004-06-23 | 2008-02-14 | マイクロン テクノロジー,インコーポレイテッド | Al2O3誘電体を用いるメモリ・セルの絶縁構造 |
JP2006032892A (ja) * | 2004-07-13 | 2006-02-02 | Hynix Semiconductor Inc | 半導体素子の素子分離膜製造方法 |
JP2006210913A (ja) * | 2005-01-31 | 2006-08-10 | Hynix Semiconductor Inc | ステップゲートを有する半導体素子及びその製造方法 |
JP4563870B2 (ja) * | 2005-03-10 | 2010-10-13 | 株式会社ハイニックスセミコンダクター | フラッシュメモリ素子の製造方法 |
JP2006253621A (ja) * | 2005-03-10 | 2006-09-21 | Hynix Semiconductor Inc | フラッシュメモリ素子の製造方法 |
JP2006270049A (ja) * | 2005-03-22 | 2006-10-05 | Hynix Semiconductor Inc | 半導体素子の製造方法 |
JP2007048941A (ja) * | 2005-08-10 | 2007-02-22 | Fujitsu Ltd | 半導体装置の製造方法 |
JP2010272675A (ja) * | 2009-05-21 | 2010-12-02 | Toshiba Corp | 半導体記憶装置 |
JP2011146733A (ja) * | 2011-03-18 | 2011-07-28 | Renesas Electronics Corp | 半導体装置の製造方法 |
JP2015510594A (ja) * | 2012-02-15 | 2015-04-09 | ロベルト・ボッシュ・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツングRobert Bosch Gmbh | ドープ電極を有する圧力センサ |
JP2018106173A (ja) * | 2018-01-10 | 2018-07-05 | 東京エレクトロン株式会社 | 反射防止機能を有する部材の製造方法 |
JP2022144780A (ja) * | 2021-03-19 | 2022-10-03 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置 |
JP7393376B2 (ja) | 2021-03-19 | 2023-12-06 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置 |
Also Published As
Publication number | Publication date |
---|---|
DE10222083A1 (de) | 2002-11-28 |
DE10222083B4 (de) | 2010-09-23 |
US20020197823A1 (en) | 2002-12-26 |
US20060183296A1 (en) | 2006-08-17 |
CN1387248A (zh) | 2002-12-25 |
CN1267982C (zh) | 2006-08-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2003045957A (ja) | 半導体装置の素子分離方法 | |
US7163869B2 (en) | Shallow trench isolation structure with converted liner layer | |
US7659181B2 (en) | Sub-micron space liner and filler process | |
TWI235484B (en) | Flash memory cell and method of manufacturing the same | |
US6924542B2 (en) | Trench isolation without grooving | |
US20080157266A1 (en) | Sti liner modification method | |
JP4417882B2 (ja) | 半導体装置の製造方法 | |
JP2009099909A (ja) | 半導体装置の製造方法 | |
JP2004179624A (ja) | 半導体素子の製造方法 | |
KR100466312B1 (ko) | 유전막을 갖는 반도체 장치의 제조방법 | |
US7514338B2 (en) | Method of manufacturing a semiconductor device | |
US7736963B2 (en) | Method of forming a gate structure for a semiconductor device and method of forming a cell gate structure for a non-volatile memory device | |
JP4015369B2 (ja) | 望ましいゲートプロファイルを有する半導体装置及びその製造方法 | |
JP2003031796A (ja) | 半導体装置およびその製造方法 | |
KR100636031B1 (ko) | 불휘발성 메모리 장치의 제조 방법. | |
JP2002343962A (ja) | 半導体集積回路装置およびその製造方法 | |
KR100421049B1 (ko) | 반도체 메모리 장치의 제조방법 | |
KR100801062B1 (ko) | 트렌치 소자 분리 방법, 이를 이용한 게이트 구조물 형성방법 및 불 휘발성 메모리 소자 형성 방법 | |
US6586313B2 (en) | Method of avoiding the effects of lack of uniformity in trench isolated integrated circuits | |
KR100869232B1 (ko) | 메모리 장치 및 그 제조 방법 | |
JP2008193107A (ja) | 半導体装置の製造方法 | |
JP2004172567A (ja) | 半導体素子の製造方法 | |
KR20070039645A (ko) | 불 휘발성 메모리 장치의 플로팅 게이트 형성 방법 | |
KR100403628B1 (ko) | 반도체 장치의 소자분리 방법 | |
JP2010040754A (ja) | 半導体装置およびその製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050509 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090602 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090810 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20101005 |
|
A045 | Written measure of dismissal of application [lapsed due to lack of payment] |
Free format text: JAPANESE INTERMEDIATE CODE: A045 Effective date: 20110222 |