JP2001168092A - 半導体装置およびその製造方法 - Google Patents

半導体装置およびその製造方法

Info

Publication number
JP2001168092A
JP2001168092A JP35946399A JP35946399A JP2001168092A JP 2001168092 A JP2001168092 A JP 2001168092A JP 35946399 A JP35946399 A JP 35946399A JP 35946399 A JP35946399 A JP 35946399A JP 2001168092 A JP2001168092 A JP 2001168092A
Authority
JP
Japan
Prior art keywords
film
silicon nitride
nitride film
semiconductor device
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP35946399A
Other languages
English (en)
Inventor
Masayuki Tanaka
正幸 田中
Shigehiko Saida
繁彦 齋田
Yoshitaka Tsunashima
祥隆 綱島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP35946399A priority Critical patent/JP2001168092A/ja
Priority to US09/478,369 priority patent/US6333547B1/en
Publication of JP2001168092A publication Critical patent/JP2001168092A/ja
Priority to US09/984,404 priority patent/US6790723B2/en
Priority to US10/895,087 priority patent/US7129132B2/en
Priority to US10/895,088 priority patent/US7098115B2/en
Priority to US10/895,144 priority patent/US7060555B2/en
Priority to US14/697,298 priority patent/USRE46122E1/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

(57)【要約】 【課題】シリコン酸化膜に対して十分な選択比が取れる
シリコン窒化膜を形成すること。 【解決手段】Si原料としてSi2 Cl6 を用いて、L
PCVD法により塩素濃度が4×1020cm-3以上のシ
リコン窒化膜10を形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、シリコン窒化膜ま
たはシリコン酸化膜を有する半導体装置およびその製造
方法に関する。
【0002】
【従来の技術】高集積・微細化の潮流に伴い、次世代の
半導体装置においては、今よりも微細かつ高アスペクト
比の接続孔を有する層間絶縁膜(SiO2 膜)を形成
し、この層間絶縁膜上に接続孔内において均質でかつ被
覆性が良いシリコン窒化膜を形成することができ、さら
に次工程のシリコン窒化膜の化学的機械的研磨(CM
P:Chemical Mechanical Polishing)による研磨工程
で、設計値通りの埋込み形状および平坦性の高い表面を
実現できるプロセス技術が必須である。
【0003】この種の技術は、例えば図37に示すよう
な素子構造を形成する場合に用いられる。図37は、D
RAMセルのMOSトランジスタをチャネル長方向と垂
直な方向で切断した断面を示している。
【0004】図中、681はシリコン基板を示してお
り、このシリコン基板681の表面にはドレイン拡散層
682が形成されている。シリコン基板681上には、
ドレイン拡散層682に対してのコンタクトホール68
3およびこれを介してドレイン拡散層682に繋がる配
線溝684を有する層間絶縁膜(SiO2 膜)685が
形成されている。
【0005】コンタクトホール683および配線溝68
4の内部には、タングステンからなる埋込み配線686
が形成されている。埋込み配線686が埋め込まれてい
るところの、コンタクトホール683および配線溝68
4の側壁には、シリコン窒化膜687が形成されてい
る。
【0006】ここでは、埋込み配線686はコンタクト
ホール683に関してはその内部全体に形成されている
が、配線溝684に関してはその途中の深さまでしか形
成されていない。埋込み配線686で埋め込まれていな
い部分はシリコン窒化膜688が埋め込まれている。
【0007】この種のシリコン窒化膜688はキャップ
絶縁膜と呼ばれている。キャップ絶縁膜の目的は、その
上に形成される下部キャパシタ電極689と埋込み配線
686との短絡を防止することにある。
【0008】キャップ絶縁膜は、層間絶縁膜(SiO2
膜)685にキャパシタ用のコンタクトホール、すなわ
ち下部キャパシタ電極をn+ 型ソース拡散層と接続する
ための接続孔をRIE(Reactive Ion Etching)で形成
する際にマスクとして使用する。そのために、キャップ
絶縁膜には選択比の取れるシリコン窒化膜688が使用
されている。
【0009】コンタクトホール683の底面には、後工
程の熱工程によってドレイン拡散層682と埋込み配線
686とが反応しないように、Ti/TiN積層膜69
0がバリアメタル膜として形成されている。
【0010】配線溝684のアスペクト比が1以上の場
合、Si原料としてジクロロシラン(DCS)を用いた
段差被覆性の良いCVD法である減圧化学気相成長法
(LPCVD法)により、シリコン窒化膜(DCS-S
iN膜)688を形成していた。
【0011】しかしながら、以上述べた形成方法には以
下のような問題がある。
【0012】DCS-SiN膜688に対する層間絶縁
膜(SiO2 膜)685のCMPによる研磨速度(層間
絶縁膜685の研磨速度/DCS-SiN膜688の研
磨速度)は30程度で高くない。
【0013】そのため、配線溝684の外部の余剰なD
CS-SiN膜688をCMPによって除去する工程
で、層間絶縁膜685が研磨停止面として機能しなくな
り、DCS-SiN膜688が過剰研磨される。
【0014】その結果、図38に示すように、DCS-
SiN膜688の膜厚が設計値よりも薄くなるので、埋
込み配線686と下部キャパシタ電極689との間のリ
ーク電流が増加したり、耐圧が低下するなどの問題が起
こる。
【0015】また、キャパシタのコンタクトホールをエ
ッチングにより開口する際には、DCS-SiN膜68
8はマスクとして使用されるが、上述したような過剰研
磨が生じると、最悪の場合には、図39に示すように、
埋込み配線686と下部キャパシタ電極689とが短絡
するという問題が起こる。
【0016】ところで、近年、半導体デバイスの高集積
化および高速化に対する要求が高まりつつある。これら
の要求を実現するために、素子間および素子寸法の縮小
化、微細化が進められる一方、埋込み配線の低抵抗化お
よび寄生容量の低減などが検討されている。
【0017】例えばDRAMでは、高集積化の進展が顕
著であり、そのために、コンタクトホールを形成するた
めには、アスペクト比の大きい、狭い段差形状を形成す
る必要がある。
【0018】このために例えばDRAMでは、コンタク
トホールの形成時の、層間絶縁膜(TEOS酸化膜等)
のRIEに対するエッチングストッパー膜として選択比
の高いシリコン窒化膜(SiN膜)が使われるようにな
ってきている。
【0019】この種のエッチングストッパー膜(RIE
ストッパー膜)として使われるSiN膜は、RIEの選
択比がシリコン酸化膜、例えばBPSG膜やTEOS膜
に対して充分高いことが必要である。更に、素子の高集
積・微細化に伴い、よりアスペクトの厳しい狭い段差形
状を均質かつ均一に被覆する必要がある。
【0020】これらの要求を満足するために、従来よ
り、コンタクトホール形成時のRIEストッパー膜とし
ては、原料にジクロロシラン(DCS)とアンモニアを
用い、780℃程度でLPCVD法で形成された比較的
緻密なSiN膜が用いられてきた。
【0021】この方法により形成したSiN膜は、TE
OS膜をRIEする際のTEOS膜のSiN膜に対する
RIE選択比が7程度と高く、また、そのSiN膜の誘
電率は7.5程度であった。
【0022】しかしながら、この7.5という誘電率は
比較的大きい。特に最近では素子寸法の縮小に伴いこの
RIEストッパー膜の容量が素子全体の配線間容量また
はRC遅延時間を左右するほどになっており、0.18
ミクロン世代以降のDRAMではこのRIEストッパー
膜の容量が素子の動作速度の遅延としてあらわれてき
た。
【0023】また、このようなRIEストッパー膜とし
てのSiN膜の使用はビット線容量の増大につながり、
これを補うために大きな容量のキャパシターを作る必要
があり、素子特性上不利になっていた。
【0024】更に、製造プロセスの点から、RIEスト
ッパー膜としてSiN膜を使用した場合、BPSG膜、
TEOS膜等の酸化膜に開口をエッチングにより形成し
た後に、RIEのガス条件をSiN膜をエッチングでき
る条件に切り替えて行うことが必要である。
【0025】しかし、この場合、開口部のアスペクト比
が大きく、開口径が小さいために、開口部底面のSiN
膜に対するRIE時の面内均一性が充分に取れないこ
と、SiN膜の残さが底部に残りやすいこと、そしてシ
リコン基板を直接RIEにさらすために基板ダメージが
懸念され、充分な過剰エッチングができず、SiN膜が
残りコンタクト不良が起きてしまう懸念があること、な
どの問題があった。
【0026】更に、次工程では、コンタクト部分の自然
酸化膜を除去するための希弗酸処理を行うが、780℃
でジクロルシラン(DCS)を原料として成膜したDC
S-SiN膜は希弗酸(1/200)によるエッチング
レートが0.2(nm/min)程度で、自然酸化膜の
1(nm/min)程度のエッチングレートよりも遅
く、上記の自然酸化膜が希弗酸工程では除去できないと
いう問題があった。
【0027】一方、ロジックデバイスにおいては高速の
処理速度が必要であるために、いわゆるRC遅延時間を
低減すること、つまり配線間の容量および配線抵抗を低
減することが必要になっている。配線の抵抗を下げるた
めに、金属配線として銅(Cu)配線を使用することが
検討されている。Cu配線を使用するためにはCu配線
の酸化およびCu配線中のCuの拡散を防止するバリア
層が必要になる。このバリア層の一つとして現在SiN
膜が検討されている。
【0028】図40にCu配線上にSiN膜をバリア層
として成膜した構造の一例を示した。図中、701はT
EOS酸化膜、702はTaN膜、703はCu配線、
704はSiN膜を示している。ここで、Cu配線技術
を用いる場合でも、配線間のRC成分低減のため配線間
が狭ピッチの部分には一部にAl配線が用いられてい
る。そのため、後工程で成膜されるSiN膜704は、
Alのリフロー温度である450℃を超えない温度での
成膜が必要になる。また、配線形成時には既に形成済み
の層間絶縁膜には誘電率低減のため(f)SG(弗素添
加シリケートガラス)などの低誘電膜(通常low−k
膜と称する)が用いられているが、これらの膜は400
℃以下の低温で形成されているために、450℃以上で
はクラックが発生してしまうことがある。これらのこと
から、SiN膜704の成膜は450℃以下の低温で行
う必要が有り、通常は低温での成膜が容易なプラズマC
VDによって行っている。
【0029】半導体装置では、素子の微細化に伴い、S
TI構造おける素子分離溝やゲート電極間の凹部等のア
スペクト比が大きくなってきている。このようなアスペ
クト比の増大に伴い、いわゆる“す”を作らずに、凹部
内にシリコン酸化膜等の絶縁膜を埋め込むことがしだい
に難しくなってきている。
【0030】そのため、HDP(High-Density Plasm
a)−CVD法やTEOS−O3系のCVD法等の使用が
試みられている。しかしながら、前者の方法では、下地
へのプラズマダメージの問題、膜質が不均一になる問
題、スループットが低いという問題等がある。また、後
者の方法では、成膜後に膜質を改善するために高温処理
が必要になるといった問題がある。
【0031】
【発明が解決しようとする課題】上述の如く、配線溝を
埋め込むためのシリコン窒化膜の成膜方法として、Si
原料としてジクロロシランを用いたLPCVD法が提案
されている。
【0032】しかしながら、この方法で形成されたシリ
コン窒化膜(DCS-SiN膜)に対する層間絶縁膜
(SiO2 膜)のCMPによる研磨速度が30程度であ
るため、配線溝外部の余剰なDCS-SiN膜をCMP
によって除去する工程で、DCS-SiN膜が過剰研磨
され、その結果として埋込み配線と下部キャパシタ電極
との間のリーク電流が増加するなどの問題があった。
【0033】本発明の第1の目的は、上記事情を考慮し
てなされたもので、被覆率としては従来と変わることな
く、かつシリコン酸化膜との間で選択比の取れるシリコ
ン窒化膜を有する半導体装置およびその製造方法を提供
することにある。
【0034】また、上述の如く、RIEストッパ膜とし
てのDCS−SiN膜は、被覆率、エッチング選択比の
点では良かったが、自然酸化膜除去の希弗酸工程におい
て完全に除去できる程度に希弗酸に対するエッチングレ
ートが大きくなく、また配線間容量の低減の観点からは
誘電率が比較的大きくという問題があった。
【0035】本発明の第2の目的は、上記事情を考慮し
てなされたものであり、被覆率およびエッチング選択比
として従来と変わることなく、誘電率が低く、かつ希弗
酸に対するエッチングレートが大きい、シリコン酸化膜
のエッチング時に用いるエッチングストッパ膜として用
いられるシリコン窒化膜を有する半導体装置およびその
製造方法を提供することにある。
【0036】また、Cu配線のバリア膜としての、プラ
ズマCVDによりシラン(SiH4)とアンモニア(N
3 )を原料として成膜したSiN膜(プラズマSiN
膜)は、誘電率が7程度と比較的大きい。また、370
℃で成膜したプラズマSiN膜、Cu電極を用い、10
0℃、1(MV/cm)での高温バイアス試験を実施し
たところ、絶縁耐圧維持に必要な、Cuに対するSiN
拡散・酸化バリア層の厚さは100nm程度であること
が分かった。しかしながら、配線部分にこのように誘電
率の大きなSiN膜を100nmの厚みで使用すると、
配線間容量が著しく増大し、素子特性を損なってしま
う。
【0037】本発明の第3の目的は、上記事情を考慮し
てなされたものであり、誘電率が低く、かつCuのバリ
ア膜として用いられるシリコン窒化膜を有する半導体装
置およびその製造方法を提供することにある。
【0038】また、上述のように、素子の微細化に伴
い、高アスペクト比を有する凹部に埋め込み特性や膜特
性に優れたシリコン酸化膜を形成することが困難になっ
てきている。
【0039】本発明の第4の目的は、埋め込み特性や膜
特性に優れたシリコン酸化膜を高アスペクト比を有する
凹部に形成することが可能な半導体装置およびその製造
方法を提供することにある。
【0040】
【課題を解決するための手段】上記第1の目的を達成す
るために、本発明に係る半導体装置は、塩素濃度が4×
1020cm-3以上であるシリコン窒化膜を有することを
特徴とする。
【0041】本発明に係る半導体装置の製造方法は、S
i原料としてSi−Si結合およびSi−Cl結合を含
む化合物を用いたLPCVD法により、塩素濃度が4×
10 20cm-3以上のシリコン窒化膜を形成することを特
徴とする。
【0042】また、本発明に係る他の半導体装置の製造
方法は、表面に拡散層が形成された半導体基板上に、配
線溝およびその下に前記拡散層に対してのコンタクトホ
ールを有する絶縁膜を形成する工程と、前記拡散層の表
面にバリアメタル膜を形成する工程と、前記コンタクト
ホールの内部を充填するとともに、前記配線溝の途中の
深さまで充填する、前記拡散層と電気的に接続する埋込
み配線を形成する工程と、前記配線溝の内部を充填する
ように前記配線溝を含む領域上に、上記半導体装置の製
造方法によりシリコン窒化膜を形成する工程と、前記配
線溝の外部の前記シリコン窒化膜を除去する工程とを含
むこと特徴とする。
【0043】本発明のより具体的な構成は以下の通りで
ある。
【0044】(1)シリコン窒化膜はシリコン過剰であ
る。
【0045】(2)窒素/シリコンの比が1.33より
小さいシリコン過剰である。
【0046】(3)シリコン窒化膜は溝の内部に形成さ
れている。この溝のアスペクト比は、1以上の高アスペ
クト比である。
【0047】(4)Si原料としてSi−Si結合およ
びSi−Cl結合を含む化合物を用いたLPCVD法に
より、塩素濃度が4×1020cm-3以上のシリコン窒化
膜を形成する。
【0048】具体的には、Si原料として、Sin Cl
2n+2(nは2以上の自然数)、またはSin Cl2n-2-x
x (nは2以上の自然数、xは2n+2以下の自然
数)なる化合物を用いる。より具体的には、Si2 Cl
6 を用いる。また、窒素原料としてはNH3 を用いる。
【0049】(5)シリコン窒化膜の成膜温度を700
℃以下に設定することにより、シリコン窒化膜の塩素濃
度を4×1020cm-3以上にする。
【0050】(6)バリアメタル膜としてTi膜とTi
N膜との積層膜を用い、シリコン窒化膜の成膜温度を7
00℃以下に設定する。また、配線溝のアスペクト比
は、1以上の高アスペクト比である。
【0051】本発明者らの研究によれば、LPCVD法
を用いたシリコン窒化膜の成膜方法において、Si原料
としてSi2 Cl6 等のようにSi−Si結合およびS
i−Cl結合を含む化合物を用いれば、シリコン酸化膜
との間で研磨やエッチングに関して選択比の取れるシリ
コン窒化膜を実現できることが分かった。また、被覆率
は、被覆性の良い成膜方法であるLPCVD法を用いて
いるので従来と変わない。
【0052】また、この種のSi原料を用いた場合に
は、700℃以下の低温の成膜温度でも、シリコン窒化
膜の成膜速度を確保できることが分かった。したがっ
て、バリアメタル膜として、Ti/TiN膜を使用する
ことができるようになる。また、このようなSi原料、
成膜温度でもって形成したシリコン窒化膜の塩素濃度は
4×1020cm-3以上であった。
【0053】また、成膜温度を600℃以下にすれば、
シリコン過剰のシリコン窒化膜を形成できる。この種の
シリコン窒化膜は密度が低く、シリコン酸化膜に対して
より研磨速度が速くなる。
【0054】上記第2および第3の目的を達成するため
に、本発明に係る半導体装置は、エッチングストッパ膜
またはバリア膜として、塩素濃度が1×1021cm-3
上であるシリコン窒化膜を用いたことを特徴とする。
【0055】LPCVD法を用いたシリコン窒化膜の成
膜方法において、Si原料としてSi2 Cl6 等のよう
にSi−Si結合およびSi−Cl結合を含む化合物を
用いれば、シリコン酸化膜との間でエッチングに関して
選択比の取れるシリコン窒化膜を実現できることが分か
った。
【0056】このようなSi原料を用いて形成したシリ
コン窒化膜の塩素濃度は1×1021cm-3以上であっ
た。また、被覆率は、被覆性の良い成膜方法であるLP
CVD法を用いているので従来と変わない。さらに、こ
の種のSi原料を用いた場合、シリコン窒化膜の誘電率
を小さくでき、シリコン窒化膜の希弗酸に対するエッチ
ングレートを大きくでき、そしてCuに対するバリア性
を高くできることが分かった。この点については、さら
に実施形態の項で詳述する。
【0057】上記第4の目的を達成するために、本発明
に係る半導体装置の製造方法は、半導体基板の主表面側
の下地領域に形成された凹部内全体にシリコン窒化膜を
形成する工程と、前記シリコン窒化膜を酸化して該シリ
コン窒化膜をシリコン酸化膜に変換することにより、前
記凹部内全体に絶縁領域を形成する工程と、を有するこ
とを特徴とする。
【0058】本発明に係る半導体装置の製造方法は、半
導体基板の主表面側の下地領域に形成された凹部内にシ
リコン窒化膜を形成する工程と、前記シリコン窒化膜を
酸化して該シリコン窒化膜をシリコン酸化膜に変換する
工程とを、成膜方向に向かって複数回繰り返すことによ
り、前記凹部内全体に絶縁領域を形成することを特徴と
する。
【0059】上記製造方法の好ましい態様は、以下の通
りである。
【0060】(1)前記シリコン窒化膜はリンおよびボ
ロンの少なくとも一方を含有しており、該シリコン窒化
膜を酸化してリンおよびボロンの少なくとも一方を含有
した前記シリコン酸化膜を形成する。
【0061】(2)前記シリコン酸化膜は、1×1019
cm-3以上の塩素を含有している。
【0062】(3)前記シリコン窒化膜は、9×1020
cm-3以上の塩素を含有している。該シリコン窒化膜の
密度は2.4g/cm3以下、該シリコン窒化膜の比誘
電率は7.3以下であることが好ましい。
【0063】(4)前記シリコン窒化膜は、LPCVD
法によって形成され、減圧化学気相成長に用いる原料ガ
スには、Si−Si結合およびSi−Cl結合を持つ化
合物が含まれている。
【0064】(5)前記化合物は、化学式がSinCl
2n+2またはSinCl2n+2-xx(nは2以上の整数、x
は2n+2より小さい正数)である。代表的には、ヘキ
サクロロジシランがあげられる。
【0065】(6)前記シリコン窒化膜は、450℃よ
りも低い温度で形成される。
【0066】本発明に係る半導体装置は、半導体基板の
主表面側に形成された凹部を有する下地領域と、前記下
地領域の凹部内全体に埋め込まれた塩素を含有するシリ
コン酸化膜とを有することを特徴とする。
【0067】本発明によれば、シリコン窒化膜、特に塩
素を含有するシリコン窒化膜を酸化してシリコン酸化膜
に変換することにより、凹部内に均一且つ均質にシリコ
ン酸化膜を埋め込むことが可能となる。また、シリコン
窒化膜に“す”が存在していたとしても、シリコン窒化
膜をシリコン酸化膜に変換する際に体積膨張を伴うの
で、“す”のないシリコン酸化膜を得ることが可能とな
る。
【0068】また、シリコン酸化膜中に塩素を含有させ
ることにより、他の膜との界面に存在するダングリング
ボンドを終端させることができ、リーク電流の低減等を
はかることができる。
【0069】また、塩素を含有するシリコン酸化膜中
に、さらにリンおよびボロンの少なくとも一方を含有さ
せることにより、不純物のゲッタリング、エッチング時
の選択性の向上、といった効果をさらに得ることができ
る。
【0070】
【発明の実施の形態】以下、図面を参照しながら本発明
の実施の形態(以下、実施形態という)を説明する。
【0071】(第1の実施形態)図1および図2は、本
発明の第1の実施形態に係る半導体装置の製造方法を示
す工程断面図である。これらの図は、DRAMセルのM
OSトランジスタをチャネル長方向と垂直な方向で切断
した断面を示している。
【0072】まず、図1(a)に示すように、周知の方
法により、シリコン基板101にn型ドレイン拡散層1
02等を形成してMOSトランジスタを完成させ、続い
て層間絶縁膜(SiO2 膜)103を全面に形成する。
【0073】次に図1(b)に示すように、層間絶縁膜
103にn型ドレイン拡散層102に対してのコンタク
トホール104およびこのコンタクトホール104を介
してn型ドレイン拡散層102に繋がる配線溝5を形成
し、続いてシリコン窒化膜106を全面に形成する。
【0074】次に図1(c)に示すように、コンタクト
ホール104および配線層105の側壁以外のシリコン
窒化膜106をRIEによって除去し、続いてイオン注
入によりコンタクトホール104の底面の基板表面にT
i層107を形成した後、CVD法によりTiN膜10
8を全面に形成する。
【0075】次に図2(d)に示すように、タングステ
ン(W)の選択成長により、コンタクトホール104の
底面から配線溝105の途中の深さまでの部分を充填す
るW埋込み配線109を形成する。配線溝105のうち
W埋め込み配線109で埋め込まれていない部分(以
下、単に溝という)の深さは150nm、幅は150n
mであり、したがって溝のアスペクト比は1である。
【0076】このような構造は、全面にW埋込み配線1
09としてのタングステン膜を全面に形成し、次いでコ
ンタクトホール104および配線溝105の外部の余剰
なタングステン膜をCMPで除去し、次いで層間絶縁膜
(SiO2 膜)を全面に形成し、そして配線溝105上
に配線溝を形成することによっても得ることができる。
【0077】コンタクトホール104の底面に形成され
たTi層107およびTiN膜108は、後工程の熱工
程によってドレイン拡散層102とW埋込み配線109
との反応を防ぐためのバリアメタル膜として働く。
【0078】Ti層107およびTiN膜108は、耐
熱性の問題から700℃以上の高温で長時間の熱処理が
施されると、その機能を保つことができない。そのた
め、シリコン窒化膜106の成膜条件を700℃未満の
成膜温度にすることが必要である。
【0079】シリコン窒化膜106はLPCVD法を用
いて形成する。その理由は、プラズマを用いたCVD法
は被覆率が悪いために、配線溝105のアスペクト比が
1以上になると、図41に示すように、配線溝105の
中央に隙間が残り、絶縁性を確保できなくなるからであ
る。他の理由は、プラズマを用いたCVD法で形成した
シリコン窒化膜は、シリコンのRIE条件においてエッ
チング耐性が無く、マスクとしての機能を果たさないか
らである。
【0080】なお、LPCVD法の場合でも、原料ガス
としてシランとアンモニアとの混合ガスを用いた場合に
は被覆性が悪く、しかもウェハ面内での均一性も悪いと
いう問題がある。一方、ジクロロシランもしくはテトラ
クロロシランのように水素が塩素で置換されたSi原料
を用いる場合には被覆性が良く、アスペクト比が20程
度でも被覆率を100%にすることができる。しかし、
この種の原料ガスを用いたLPCVD法には、従来技術
で説明した問題がある。
【0081】次に図2(e)に示すように、ウエットエ
ッチングによりW埋込み配線109よりも上の部分のT
iN膜108を除去した後、Si2 Cl6 (ヘキサクロ
ロジシラン:HCD)とNH3 との混合ガスを用いたL
PCVD法により、溝の内部を埋め込むようにキャップ
絶縁膜としてのシリコン窒化膜(HCD−SiN膜)1
10を全面に形成する。
【0082】ここで、成膜温度は650℃、反応炉内圧
は0.5Torr、流量比はNH3/Si2 Cl6 =2
000sccm/20sccmである。この成膜条件で
の成膜速度は2.7nm/minである。
【0083】最後に、図2(f)に示すように、溝外部
の余剰なHCD−SiN膜110をCMPにより除去し
て表面を平坦化した後、周知の方法にしたがって図示し
ない下部キャパシタ電極、キャパシタ絶縁膜および上部
キャパシタ電極を形成して、DRAMメモリセルが完成
する。
【0084】キャパシタ絶縁膜としてはBax Sr1-x
TiO3 等の高誘電率の金属酸化物からなる絶縁膜、下
部および上部キャパシタ電極としては酸化されても金属
導電性を示すSrRuO3 等の金属酸化物からなる導電
膜を使用すると良い。また、キャパシタ絶縁膜と上部お
よび下部キャパシタ電極とは、同じ結晶構造、例えばペ
ロブスカイト構造であることが好ましい。
【0085】また、本工程のCMPでは、スラリーとし
ては小粒径シリカと燐酸2.5wt%と水からなるもの
を用い、研磨パッド荷重は200g重とする。
【0086】CMP後のウェハ面内9点平均の膜厚測定
結果より、研磨速度は従来のシリコン窒化膜であるDC
S−SiN膜が約60nm/minであるのに対して、
HCD−SiN膜10では約90nm/minに増加す
る。すなわち、本実施形態によれば、選択比(シリコン
窒化膜の研磨速度/シリコン酸化膜の研磨速度)を従来
の30から45に増加させるとができる。
【0087】このように選択比を大きくとれることか
ら、CMPによるHCD−SiN膜110の研磨は層間
絶縁膜103で停止し、層間絶縁膜3が多少除去される
ことはあってもW埋込み配線109が露出するという過
剰研磨が起こらない。したがって、設計値通りの埋め込
み形状および平坦性の高い加工を実現できるようにな
る。
【0088】また、本実施形態によれば、従来のDCS
−SiN膜と同等の高い被覆率を得ることができる。そ
の理由は、成膜方法としてLPCVD法を用いているた
め、配線溝106の内部を均質に埋め込むことができる
ことと、本実施形態でSi原料として使用しているSi
2 Cl6 (ジシランの塩素化物)等の塩素化物の反応中
間体は、その吸着確率が完全な水素化物に比べて小さく
なっていることの2つが考えられる。
【0089】図3に、Si原料としてSi2 Cl6 を用
いたLPCVD法により形成したシリコン窒化膜(HC
D−SiN膜)中の塩素濃度の成膜温度依存性を示す。
また、図には示していないが、Si原料としてジクロロ
シランを用い、成膜温度700℃でLPCVD法により
形成したシリコン窒化膜(DCS−SiN膜)中の塩素
濃度は8×1019であった。塩素濃度は2次イオン質量
分析(SIMS)により求めた値である。
【0090】本実施形態では、成膜温度が650℃の場
合について述べたが、Si原料としてSi2 Cl6 を用
いる場合には、図3から1000/T=1.1程度以上
で塩素濃度が直線的に低下することから、成膜温度を8
00℃以下にすれば、従来のSi原料であるジクロロシ
ランを用いた場合よりも、塩素濃度の高いシリコン窒化
膜110を形成することが可能であると考えられる。
【0091】ただし、本実施形態のように、埋込み配線
部分にシリコン窒化膜110を形成する場合には、70
0℃よりも高い温度ではTi膜107、TiN膜108
の耐熱性が持たないため、700℃以下で成膜すること
が望ましい。
【0092】HCD−SiN膜がDCS−SiN膜に比
べてCl濃度が高い理由としては、次の二つが主な理由
として考えられる。第1の理由は、HCD−SiN膜の
方がDCS−SiN膜に比べて成膜速度が速いため、同
じ温度で同じ膜厚の条件であれば、短い成膜時間で済
み、その結果として成膜中に膜中から失われるCl量が
少なくて済むからである。HCD−SiN膜とDCS−
SiN膜とで成膜速度が異なるのは、Si−Si結合の
解離が成膜に有利に働いているためであると考えられ
る。
【0093】結合エネルギーから大雑把に議論すると、
Si−Cl結合は4.16eVとHCD+NH3 系を用
いた場合に考えられる結合種の中で最も高エネルギーで
あるため、仮に成膜時にDCS−SiN膜およびHCD
−SiN膜の表面にそれぞれ同数のCl原子が吸着して
いたとすると、切れにくいSi−Cl結合は成膜速度の
速いHCD−SiN膜中においてより多く含まれること
になる。
【0094】第2の理由は、HCD−SiN膜の方がよ
り低い温度でも成膜できるからである。図3に示したよ
うに、成膜温度が低くなるほどCl濃度が高くなり、ま
た450℃未満では成膜速度のより大きな条件(NH3
/HCD=1000/50)でよりCl濃度が高くなっ
ていることが分かる。
【0095】図4に、C−V測定により求めたHCD−
SiN膜の誘電率と成膜温度との関係を示す。なお、図
中、白抜きの□は原料としてアンモニアとHCDを用
い、黒塗りの□はこれらの原料にさらに窒素(N2 )を
成膜中に流したデータを示している。
【0096】図から、HCD−SiN膜の誘電率は70
0℃以下の成膜温度において、通常のシリコン窒化(S
3 4 )膜の誘電率(=7.8)よりも低いことが分
かる。例えば、黒四角で示した450℃形成のHCD−
SiN膜は、図中に点線で示したP−CVD−SiNと
比較して20−30%誘電率が低い。黒四角で示した4
50℃形成のHCD−SiN膜は、550℃乃至700
℃で形成した白丸で示したHCD−SiN膜(アンモニ
ア流量(R[SCCM])=100、0.5Torr、
誘電率=7.3)と比較して、誘電率が5.4と小さ
い。また、白四角は1.4Torr、R=100のHC
D膜である。また、450℃以下の成膜温度において
は、誘電率が6以下と非常に小さい。この値は、プラズ
マ−シリコン窒化膜(p−SiN膜)の誘電率(=7程
度)よりも小さい。誘電率が小さいことから、配線容量
を著しく減少させることが可能となり、いわゆる多層配
線部分に上記のHCD−SiN膜を絶縁膜として使用す
る場合には大きな利点になる。また、600℃以上と4
50℃以下とでは異なる試料を用いたが、同じ試料を用
いても同様な結果が得られた。なお、図4は成膜中に窒
素を流していないHCD−SiN膜の結果であるが、窒
素を流した場合でも誘電率には大差は無い。
【0097】図5に、シリコン窒化膜中の塩素濃度と研
磨速度との関係を示す。図から研速度は塩素濃度に比例
して速くなることが分かる。その理由は、塩素濃度が高
いほど、Si−Nからなるネットワーク中にイオン半径
の大きな塩素イオンが多数存在することによって、ネッ
トワークがより乱されるからだと考えられる。すなわ
ち、塩素濃度が高いほど密度の小さいシリコン窒化膜が
形成され、その結果としてCMPによる研磨速度が速く
なると考えられる。
【0098】ここでは、CMPでシリコン窒化膜を除去
する場合について説明したが、RIEの場合には以下の
ような結果が得られた。
【0099】すなわち、図6に示すように、成膜温度7
00℃で形成したDCS−SiN膜に対し、HCD−S
iN膜の方がいずれの成膜温度でもエッチング速度が遅
いことが分かった。
【0100】したがって、本実施形態のHCD−SiN
膜10は、従来のDCS−SiN膜に比べて、下部キャ
パシタ電極をn+ 型ソース拡散層2と接続するための接
続孔をRIEで層間絶縁膜3に形成する際に使用するマ
スクとしてより適しているといえる。
【0101】なお、図6(a)はコンタクトホール開口
のエッチング条件、図6(b)はテーパ加工のエッチン
グ条件での結果をそれぞれ示している。
【0102】図7に、HCD−SiN膜に対するTEO
S酸化膜のRIEの選択比(TEOS酸化膜エッチング
速度/HCD−SiN膜エッチング速度)の成膜温度お
よびアンモニア流量(R[SCCM])の依存性を示
す。図には、成膜温度700℃で形成したDCS−Si
N膜に対するTEOS酸化膜のRIEの選択比も示して
ある。ここで、黒丸はDCSを材料として、0.5To
rr、アンモニア/DCS流量比(以下Rと略す)を1
00として、600℃、650℃、700℃で成膜した
時のエッチング選択比で、いずれも7程度が得られてい
る。これに対し、黒四角、黒三角は、それぞれHCDを
材料とし、1.4Torr、アンモニア/HCD流量比
(以下Rと略す)を50,20とした時の選択比で、何
れも450℃の低温であるのもかかわらず、選択比6程
度が得られることが判明した。また、図から、RIEの
場合には、アンモニア流量(R)や成膜温度に関係な
く、DCS−SiN膜とほぼ同様の選択比が得られるこ
とが分かる。
【0103】図8に、HCD−SiN膜の成膜速度の成
膜温度依存性を示す。図から、HCD−SiN膜の場合
には、成膜温度250℃でも十分な成膜速度を確保でき
ることが分かる。
【0104】したがって、本実施形態のように、成膜温
度650℃でシリコン窒化膜110を形成すれば、バリ
アメタル膜としてのTiN膜108の機能を失わずに、
シリコン窒化膜110の成膜速度を確保できる。
【0105】また、本実施形態では、埋込み配線が途中
の深さまで形成された配線溝105の内部を埋め込むよ
うにシリコン窒化膜を形成する場合について述べたが、
本発明は次世代の半導体装置で現れる溝、例えば種々の
積層膜構造が途中の深さまで埋め込まれた溝に対しても
有効である。
【0106】具体的には、酸窒化膜/ポリシリコン膜/
タングステン膜の積層膜(ポリメタルゲート)によって
途中まで埋め込まれている、シリコン酸化膜に形成され
た溝があげられる。
【0107】また、本実施形態では、Si原料としてS
2 Cl6 を用いた場合について説明したが、塩素濃度
の高いシリコン窒化膜を形成する場合には、 Si3
8,Si4 Cl10 などSi−Si結合を1つ以上持つ
塩化物、Sin Cl2n+2 (ただし、n=2以上)など
のSi原料を用いても同様の効果が得られる。
【0108】(第2の実施形態)第1の実施形態では、
塩素濃度の高いシリコン窒化膜を形成する場合について
説明したが、本実施形態では、塩素濃度が高く、かつシ
リコン過剰なシリコン窒化膜の形成方法について説明す
る。なお、工程断面図は第1の実施形態と変わらないの
で、図1および図2を用いて説明する。
【0109】まず、第1の実施形態と同様にして図2
(d)の工程まで行い、続いて図2(e)に示すよう
に、Si2 Cl6 とNH3 との混合ガスを用いたLPC
VD法により、配線溝106の内部を埋め込むようにシ
リコン窒化膜(HCD−SiN膜)8を全面に形成す
る。
【0110】ここで、成膜温度は600℃、反応炉内圧
は0.5Torr、流量比はNH3/Si2 Cl6 =2
000sccm/20sccmである。この成膜条件で
の成膜速度は1.4nm/minである。
【0111】次に図2(f)に示すように、第1の実施
形態と同じ条件で、配線溝外部の余剰なHCD−SiN
膜110をCMPにより除去して表面を平坦化する。
【0112】CMP後の膜厚測定結果より、本実施形態
の方法で形成したHCD−SiN膜110の研磨速度
は、従来のSi原料としてジクロロシランを用いた方法
で形成したDCS−SiN膜に比べて、速いことが分か
った。
【0113】このように本実施形態によれば、研磨速度
を速くできることから、シリコン酸化膜に対する選択比
を大きく取れ、CMPによる研磨はシリコン酸化膜で停
止できる。そのため、過剰研磨が抑制され、設計値通り
の埋め込み形状を実現できるとともに、平坦性の高い加
工を行えるようになる。
【0114】図9に、本実施形態の方法において成膜温
度を変えて形成した各シリコン窒化膜中のシリコンの結
合状態を、光電子分光測定(XPS)による表面分析に
て調べた結果を示す。図から、本実施形態の方法によれ
ば、成膜温度が変わってもSi−N結合を有するシリコ
ン窒化膜が形成されていることが分かる。
【0115】図10に、本実施形態の方法において成膜
温度を変えて形成した各シリコン窒化膜のN/Si比を
化学分析にて調べた結果を示す。
【0116】図から、成膜温度が700℃以下であれ
ば、化学量子論比を有するシリコン窒化膜(Si3 4
膜)よりもシリコン過剰(N/Si≦1.33)のシリ
コン窒化膜(HCD−SiN膜)を形成できることが分
かる。また、図から、HCD−SiN膜はDCS−シリ
コン窒化膜よりもシリコンリッチであることが分かる。
【0117】Si−Si結合距離は0.225nmでS
i−N結合距離0.157nmよりも長いため、シリコ
ン過剰なシリコン窒化膜が形成されると、Si−Nから
なるネットワークが大きく乱されると考えられる。すな
わち、シリコン過剰なシリコン窒化膜ほど密度が小さ
く、CMPによる研磨速度が速くなる。また、図3で示
したように膜中の塩素濃度も高くなる。
【0118】図11に、本実施形態の方法において成膜
温度を変えて形成したHCD−SiN膜の密度および成
膜温度700℃で形成したDCS−SiN膜の密度を調
べた結果を示す。
【0119】密度は以下のようにして調べた。まず、D
HF溶液で溶解するべき領域以外のシリコン窒化膜表面
をHF耐性のあるテープで覆った。次に、一辺が6cm
の正方形の領域のシリコン窒化膜表面をDHF溶液で溶
解させた。この後、DHF溶液中のシリコンおよび窒素
の重さを求めて密度を求めた。
【0120】図中、成膜温度700℃の黒四角はDCS
−SiNであり、他の3点はHCD−SiNである。こ
こで、DCS−SiNはアンモニア流量(R[SCC
M])=10であり、HCD−SiNはR=100であ
る。
【0121】図から、成膜温度が低いほど密度の低いH
CD−SiN膜が得られることが分かる。HCD−Si
N膜は、DCS−SiN膜とは異なり、700℃よりも
低い成膜温度でも成膜レートの低下は顕著では無く、実
用的な成膜時間で成膜することができる。したがって、
成膜温度を低くすることによって、DCS−SiN膜よ
りも密度の低いHCD−SiN膜を容易に得られる。
【0122】また、NH3 とSi2 Cl6 の流量比(N
3 /Si2 Cl6 )を10以下に下げることで、成膜
温度700℃、炉内圧0.5Torrにおいてもシリコ
ン過剰な膜を形成することが可能である。
【0123】ただし、シリコン過剰になると電導性も増
加するため、流量比を小さくしすぎると絶縁性が保てな
くなるので、希望の性能を満足する程度に流量比を設定
する必要がある。
【0124】また、原料としてはSi2 Cl6 のみ述べ
たが、塩素濃度が高く、かつシリコン過剰であるような
シリコン窒化膜を形成するには、Si3 Cl8 ,Si4
Cl 10などSi−Si結合を1つ以上持つような塩化
物、Sin nCl2n+2 (ただし、n=2以上)などの
Si原料を用いても同様の効果が得られる。
【0125】(第3の実施形態)素子の微細化とともに
ゲート電極の低抵抗化が必要である。そこで、次世代で
は現在のポリメタルゲート構造からメタルゲート電極に
変更することが必要となる。一方、エッチングによる金
属膜の微細加工は困難であることから、メタルゲート電
極の形成にはダマシンゲートプロセス(A. Yagishita,
et.al., IEDM Tech Digest,1998:p.785.)が用いられ、
またメタルゲート電極が埋め込まれる溝の形成に際して
ダミーゲートが必要になる。以下、図12〜図4を参照
して、本発明の第3の実施形態に係るメタルゲート電極
を用いたMOSトランジスタの製造方法について説明す
る。
【0126】まず、図12(a)に示すように、シリコ
ン基板121の表面に浅い溝を形成し、続いて全面に熱
酸化膜122を形成した後、溝内に素子分離絶縁膜12
3を埋込み形成することによって、STI(Shallow Tr
ench Isoiation)による素子分離を行う。素子分離絶縁
膜123は原料にTEOSを用いて形成した酸化膜であ
る。
【0127】次に図12(b)に示すように、LPCV
D法を用いて通常の条件で厚さ150nmの多結晶シリ
コン膜124を形成する。
【0128】次に同図(b)に示すように、本発明の原
料であるSi2 Cl6 +NH3 系ガスを用い、流量比
(NH3 /Si2 Cl6 )=1000/10、成膜温度
550℃、成膜圧力1.4TorrとしてLPCVD法
により、厚さ150nmのHCD−SiN膜125を多
結晶シリコン膜124上に形成する。
【0129】ここで、本実施形態のシリコン窒化膜であ
るHCD−SiN膜125は500℃という低い成膜温
度でもって形成するが、従来のシリコン窒化膜であるD
CS−SiN膜は、通常、700−780℃程度の高い
成膜温度でもって形成する。
【0130】上記成膜条件(流量比、成膜温度,成膜圧
力)では、成膜速度は1.5nm/minであるので、
成膜時間は100minとなる。Si2 Cl6 の分圧比
を増加させることで、例えば全圧を増加させたり、もし
くはNH3 流量を減少させることで、成膜速度をさらに
速めることも可能である。
【0131】次に図12(c)に示すように、フォトリ
ソグラフィまたはEB描画を用いてレジストパターン1
26を形成し、このレジストパターン126をマスクに
してHCD−SiN膜125および多結晶シリコン膜1
24をRIE法にてエッチングして、HCD−SiN膜
125と多結晶シリコン膜124との積層膜からなるダ
ミーゲート127を形成する。この後、レジストパター
ン126を剥離する。
【0132】次に図13(d)に示すように、厚さ6n
m程度の後酸化膜128を熱酸化により形成した後、H
CD−SiN膜125をマスクにしてイオン注入を行っ
て、低不純物濃度で浅い拡散層(LDD)129を形成
する。拡散層129の導電型がn型の場合には、例えば
Asイオンを加速電圧1KeV、ドーズ量3×1014
-2の条件でもって注入する。
【0133】次に図13(e)に示すように、従来の原
料であるジクロロシラン系を用いLPCVD法により、
ゲート側壁DCS−SiN膜130となる厚さ70nm
のDCS−SiN膜を全面に形成した後、このDCS−
SiN膜をRIE法にて全面エッチングすることによっ
てゲート側壁DCS−SiN膜130を形成する。ここ
で、成膜条件は、例えば成膜温度が700℃、成膜圧力
が0.5Torr、流量比(NH3 /SiH2 Cl2
が500/50である。
【0134】次に同図(e)に示すように、ゲート側壁
DCS−SiN膜130およびHCD−SiN膜125
をマスクにしてイオン注入を行って、高不純物濃度のソ
ース/ドレイン拡散層131を形成する。ソース/ドレ
イン拡散層の導電型がn型の場合には、例えばAsイオ
ンを加速電圧45KeV、ドーズ量3×1015cm-2
条件でもって注入する。
【0135】なお、浅い拡散層129、ソース/ドレイ
ン拡散層131中の不純物の活性化アニールは注入直後
に毎回行っても良いし、全てのイオン注入が終了した後
に一括して行っても良い。
【0136】次に図13(f)に示すように、原料にT
EOS系を用いたLPCVD法により厚さ350nm程
度の層間絶縁膜132を全面に形成した後、CMP法に
より層間絶縁膜132を研磨して表面を平坦にする。こ
のとき、HCD−SiN膜125はCMPストッパとし
て働く。
【0137】次に図14(g)に示すように、160℃
の熱燐酸溶液を用いてHCD−SiN膜125を選択的
に除去し、続いてCDE法を用いて多結晶シリコン膜1
24を除去した後、希弗酸溶液を用いてその下の熱酸化
膜122を除去する。
【0138】ここで、本実施形態の場合には、ダミーゲ
ート127を構成するシリコン窒化膜としてHCD−S
iN膜125、ゲート側壁絶縁膜としてゲート側壁DC
S−SiN膜130を用いているので、後述するように
成膜温度を制御することによって、HCD−SiN膜1
25に対するゲート側壁DCS−SiN膜130のウエ
ットエッチングの選択比を高く取ることができる。
【0139】このようにダミーゲート127を構成する
シリコン窒化膜に対するゲート側壁絶縁膜のウエットエ
ッチングの選択比が高いことが重要である。何故なら、
両者が同時にエッチングされてしまうと、多結晶シリコ
ン膜124をCDE法により除去する工程で、シリコン
基板121にダメージが入ったり、もしくは最悪の場合
にはシリコン基板121が研削されてしまうからであ
る。
【0140】図15に、従来技術のみを用いて、ダミー
ゲート127およびゲート側壁絶縁膜を形成した場合の
図14(g)の断面図に相当する断面図を示す。図に示
すように、従来技術のみでは、シリコン基板121が研
削されるという問題が起きる。このような問題が起きる
のを防止するために、本実施形態のようにダミーゲート
とゲート側壁絶縁膜との間で、処理に用いられる薬液に
対して選択比が取れるようにすることが必要になる。
【0141】ここで、図16に、本発明によるヘキサク
ロロジシランを用いて形成したシリコン窒化膜(HCD
−SiN膜)の希弗酸(水:HF=200:1)による
エッチングレートの成膜温度依存性を示す。なお、成膜
温度550℃以下において成膜圧力を1.4Torrと
しているのは、試料であるHCD−SiN膜を形成する
のに要する所要時間を短くするためである。
【0142】図より明らかなように、低温で成膜するほ
どエッチングレートが大きくなっていることが分かる。
また、成膜温度700℃で形成したDCS−SiN膜の
希弗酸(水:HF=200:1)によるエッチングレー
トは0.19nm/minである。したがって、成膜温
度600℃および450℃で形成したHCD−SiN膜
の成膜温度700℃で形成したDCS−SiN膜に対す
る選択比はそれぞれ1.6および119、本実施形態で
述べた成膜温度550℃の場合では選択比24を実現で
きる。
【0143】また、薬液として熱燐酸を用いた場合、成
膜温度650℃で形成したHCD−SiN膜の成膜温度
700℃で形成したDCS−SiN膜に対する選択比は
3.7であることが分かっている。すなわち、熱燐酸に
対しても希弗酸で見出された傾向(成膜温度とともに薬
液によるエッチングレートが増大する。)が同様に起こ
ると考えられる。
【0144】また、図17に示すように、Si原料とし
てSi2 Cl6 系を用いた場合、シリコン窒化膜の成膜
中に窒素(N2 )を流すと、流さない場合に比べてエッ
チングレートが2倍弱大きくなる。
【0145】この値は、成膜温度700℃で形成したD
CS−SiN膜に対する選択比にして240程度取れる
ことになる。他の成膜温度で形成したDCS−SiN膜
に関しても同様の効果があると考えられ、したがって成
膜温度を制御することでHCD−SiN膜およびDCS
−SiN膜のウエットエッチングレートを操作でき、選
択比を大きく取れると考えられる。
【0146】以上より明らかなように、ダミーゲートの
シリコン窒化膜には本発明によるHCD−SiN膜を用
い、ゲート側壁絶縁膜には従来技術によるDCS−Si
N膜を用いることにより、ウエットエッチングを行う際
の選択比を大きく取ることができる。
【0147】このようにしてCDE工程によって多結晶
シリコン膜124の除去工程においてゲート側壁DCS
−SiN膜130の膜減を効果的に抑制でき、CDE工
程の際に基板ダメージ等の問題を招かずに済む。また、
多結晶シリコン膜124およびHCD−SiN膜125
はそれぞれ適切なエッチングで除去できるので、ダミー
ゲート127は容易に除去できることになる。
【0148】本実施形態では、ダミーゲート127とし
て従来と同様に多結晶シリコン膜124とHCD−Si
N膜125の積層膜を用いている。多結晶シリコン膜1
24は、HCD−SiN膜125をエッチング除去する
際に、ゲート側壁DCS−SiN膜130も同時にエッ
チングされてしまうことを確実に抑制するために形成し
ている。
【0149】しかしながら、ダミーゲート27とゲート
側壁DCS−SiN膜130との選択比が確実に十分取
れる場合には必要はなくなる。すなわち、本実施形態の
場合、HCD−シリコン窒化膜125とゲート側壁DC
S−SiN膜130との間でもともと選択比が取れるの
で、ダミーゲート127をHCD−シリコン窒化膜12
5のみとした構造も可能である。この場合、多結晶シリ
コン膜124の成膜工程、CDEによる除去工程および
後酸化膜128の成膜工程(図13(d))が不要にな
る。
【0150】次に図14(h)に示すように、ダミーゲ
ート27を除去して生じた溝内にゲート絶縁膜133を
形成する。ゲート絶縁膜133としては、例えばTa2
5や(Ba,Sr)TiO3 などの強誘電体からなる
絶縁膜が考えられる。
【0151】ここでは、Ta2 5 膜を用いた場合につ
いて具体的に説明する。まず、基板表面に酸素ラジカル
を照射して厚さ0.2−0.3nm程度のSiO2
(不図示)を形成し、次にアンモニア、シラン等を用い
て厚さ0.6nmのシリコン窒化膜(不図示)を形成す
る。この後、シリコン窒化膜上にゲート絶縁膜133と
しての厚さ1nm程度のTa2 5 膜を形成する。
【0152】最後に、図14(i)に示すように、ゲー
ト電極としての厚さ10nm程度のTiN膜134と厚
さ250nm程度のAl膜135を溝の内部を充填する
ように全面に堆積した後、溝の外部の余剰なゲート絶縁
膜133、TiN膜134およびAl膜135をCMP
で除去して表面を平坦にすることによって、MOSトラ
ンジスタが完成する。
【0153】なお、第1〜第3の実施形態では、いわゆ
るM0部分(シリコン基板上からコンタクトを取る部
分)の下部キャパシタ電極とプラグ電極との短絡を防止
するためのシリコン窒化膜の場合について説明したが、
本発明は他の目的のためのシリコン窒化膜にも適用でき
る。
【0154】(第4の実施形態)図18は本発明の第4
の実施形態に係る半導体装置の製造工程を示す工程断面
図である。これらの図は、DRAMセルのMOSトラン
ジスタおよびコンタクト開口部をチャネル幅方向と垂直
な方向で切断した断面を示している。
【0155】図18(a)では、シリコン基板201上
に、図示しないゲート絶縁膜を介して、ポリシリコン膜
208、WN(窒化タングステン)膜209、W(タン
グステン)膜210、SiN膜212が積層され、所望
領域のみをRIEにより選択的に残したゲート電極20
0をマスクとして、イオン注入により、n- 層形成用に
15keV、513cm-2の条件でAsイオンが注入さ
れ、ゲート電極200の両側にソース領域206、ドレ
イン領域207がそれぞれ形成されている。
【0156】次にDCSを原料とする減圧化学気相成長
(LPCVD)法によりシリコン基板201全面にSi
N膜を形成し、エッチバックすることにより、ゲート電
極200の側壁のみにSiNからなるゲート側壁絶縁膜
211を形成する。
【0157】このようにして、シリコン基板201ゲー
ト電極200およびゲート側壁絶縁膜211からなり、
アスペクト比2が程度で、セル部分での最も狭いスペー
スが0.15ミクロン程度の段差構造を持つ下地が完成
する。
【0158】この下地上に、LPCVD法によりSi2
Cl6 (ヘキサクロルジシラン、以下HCDと略記す
る)とアンモニア(NH3 )を原料ガス、窒素(N2
をキャリアガスとして、成膜温度が450℃、反応炉内
圧が1.4Torr、流量比がアンモニア:HCD:窒
素=1000sccm:50sccm:50sccmの
条件により、SiN膜213を15nm形成する(この
SiN膜をHCD−SiN膜と称する)。このHCD−
SiN膜は後の層間絶縁膜へのコンタクト開口時にRI
Eストッパー膜となる(図18(b))。
【0159】上記の成膜条件でのHCD−SiN膜の成
膜速度は2.6(nm/min)であった。ちなみに、
成膜時に窒素は流さなくても成膜可能であった。
【0160】また、先に示した図7から、RIE選択比
は、HCDを用いた場合も従来のDCSを用いたSiN
膜とほぼ同程度であることから、HCDを用いたSiN
膜の場合もストッパーとしての膜厚は従来と同じ15n
mで問題ない。
【0161】次に層間絶縁膜220としてBPSG膜を
成膜し、次にH2 とO2 とを含む雰囲気中で800℃の
熱処理(2H2 +O2 →2H2 O(水蒸気))を行って
層間絶縁膜220を緻密化し、次にSiN膜213をC
MPストッパーとして、CMPにより層間絶縁膜220
の表面を370nm程度除去し、層間絶縁膜220の表
面を平坦化した。
【0162】次に、平坦化が終わった時点でレジスト塗
布、露光、現像を行い、図示しないレジストをマスクに
して、層間絶縁膜220(BPSG)をRIEによりエ
ッチングし、コンタクトホール214を開口する(図1
8(c))。
【0163】この際、SiN膜(HCD−SiN膜)2
13はBPSGと比較してエッチングレートが遅いため
RIEストッパーとして作用し、RIEが停止する。上
記RIEストッパーであるHCD−SiN膜は、上記の
セル部分でのコンタクト開口のほか、周辺部分でコンタ
クトを開口する際にもRIEストッパーとして用いるこ
とができる。
【0164】次いでガス条件を切り替えてコンタクトホ
ール214の底面のSiN膜213をRIEする。しか
し、この際、下地のシリコン基板201をエッチングし
ない程度の弱いエッチング条件に押さえる必要が有るた
め、SiNの膜残りが発生してコンタクトを取れない部
分が生じる。この膜残りは、次工程でコンタクトプラグ
となるポリシリコンの埋め込み成膜の前処理として自然
酸化膜1nm相当を除去するために行う希弗酸処理で除
去する(図18(d))。
【0165】先に示した図16から、550℃以上(成
膜時圧力:0.5Torr)で成膜したHCD−SiN
のエッチングレートは20(オングストローム/mi
n)、すなわち、2(nm/min)程度と低いが、4
50℃で成膜したHCN−SiNはエッチングレートが
20(nm/min)以上と、自然酸化膜の20倍以上
とれる。
【0166】このため、図18(d)の工程でのSiN
エッチング時にRIEのエッチング面内不均一性があっ
ても、希弗酸による前処理で残っていたSiN膜も同時
に全て除去することが可能になり、SiNの膜残りによ
るコンタクト不良は回避可能になる。
【0167】ちなみに、図16は成膜中に窒素(N2
を流していないHCD−SiN膜の結果である。窒素を
流した場合、例えば450℃では1/200希弗酸によ
るエッチングレートは45nm/minに増大するの
で、更にエッチングは容易になる。
【0168】本発明者らの確認したところでは、HCD
−SiN膜は450℃で2(nm/min)の成膜速度
が得られ、780℃でのDCS−SiN膜の3(nm/
min)よりもやや小さいが十分実用可能であることが
分かった。なお、同時に確認したプラズマSiN膜は3
70℃で100(nm/min)と成膜速度はもっとも
速かった。
【0169】上記の様にHCDを用いて450℃程度の
低温でSiNを形成することにより、低密度で、低誘電
率のSiNを得ることが可能になった。
【0170】ここで、誘電率が小さいのは、密度が小さ
い事と密接に関連している。すなわち、誘電率と密度
は、下記のClausius−Mossottiの式に
従うと考えられる。
【0171】なお、下記のClausius−Moss
ottiの式は、Ashcroft.Mermin著の
Solid State Physics(Saund
ers College社(1976))のP542に
よった。
【0172】 (ε−1)/(ε+2)={(N0 ×α)/(3×ε0 )}×(ρ/M) …Clausius−Mossottiの式 ここで、ρは密度、εは誘電率、Mは分子量、αは分極
率である。また、εoは真空誘電率、N0 はアボガドロ
数であり、何れも定数である。この式から、一般には密
度と誘電率は比例関係にあることがわかる。すなわち、
上記の様に低誘電率のHCD−SiN膜が実用できたの
は、低密度のHCD−SiN膜が実現できたことによる
と考えられる。
【0173】一方、前述したようにHCD−SiN膜は
RIEストッパーとして機能するために必要な膜厚はD
CS−SiN膜と同じで、かつ誘電率がそれよりも小さ
いことから、従来のDCS−SiN膜に比べて同一のR
IEバリア性を確保した上で、配線間容量を著しく低減
することができる。
【0174】また、トランジスタ特性を考えた時、ゲー
ト絶縁膜界面の界面準位が水素シンターによって減少
し、トランジスタの保持時間が増加することが一般的に
知られている。これはシリコンダングリングボンドが水
素によって終端されることによりリーク電流の原因とな
る欠陥が減少するためだといわれている(ターミネーシ
ョン効果)。
【0175】HCD−SiN膜は、従来のLP−SiN
膜に比べて膜中水素が1×1022cm-3と多く、かつ成
膜温度より高温でその水素を脱ガスするため、より顕著
なターミネーション効果がある。
【0176】図19は、HCD−SiN膜のSIMSに
よる深さ方向の元素プロファイルを、1000℃、30
分の熱処理前後について示した図である。この図は、表
面からスパッタエッチングを行ってその部分のSIMS
による水素、塩素各原子の原子カウント数(CPS)を
調べたものであり、横軸に時間(分)、縦軸にカウント
数(CPS:1秒あたりのカウント数)を示している。
なお、熱処理前を実線、熱処理後を点線で示した。この
図の横軸の0分乃至9分程度の範囲がHCD−SiN膜
に相当する部分である。
【0177】ここに示した様に、水素は、熱処理により
1.5×105 CPS程度から4×102 CPS程度に
2桁以上減少していることが確認された。また、塩素
(Cl)は熱処理前後で有意な変化は見られなかった。
【0178】なお、ここで、アニール前のH濃度は1×
1022cm-3に相当し、アニール後のH濃度は1×10
20cm-3以下(検出限界以下)に相当する。また、塩素
濃度は1×1021cm-3に相当する。このようにHCD
−SiN膜はアニールで大量の水素を脱ガスするので、
効果的にシリコンダングリングボンドをターミネートす
ることが可能と思われることが分かった。
【0179】プラズマを用いた化学気相成長(P−CV
D)法もしくはシランとアンモニアを原料とする減圧化
学気相成長(LPCVD)法で成膜したSiN膜では上
述したように段差被覆率が悪く、アスペクト比2程度の
溝上に成膜すると、段差部の最上部で厚く、下部および
側壁で薄くなったり、また最上部のエッジ部分でオーバ
ーハングした部分ができたりしてしまうことがある。
【0180】このような状態になると、層間絶縁膜形成
時にそのオーバーハング部下部への原料ガスの廻り込み
が困難となり層間絶縁膜(BPSG等)を埋め込むこと
ができなくなってしまう。また上記のSiN膜では、膜
質が均質でなくエッジ部分でストッパーとしての機能が
充分とれない。
【0181】これに対し、ジクロロシラン(DCS)も
しくはテトラクロロシランのようにシランの水素が塩素
で置換されたシリコン原料を用いる場合には段差被覆率
が良く、アスペクト比が20程度でも被覆率が100%
になる。しかし、この効果はシラン系の元素に限られる
ものではなく、本発明者らが確認したところでは、ジシ
ランの塩素化物であるHCDを用いたLPCVD法によ
っても段差構造を均質に被覆率良く成膜できることが分
かった。
【0182】本実施形態においては、RIEストッパー
としてのSiN膜にHCD−SiN膜を用いた例につい
てのみ述べた。しかしながら、HCD−SiN膜の誘電
率削減効果は、ゲート電極上のSiN膜212もしくは
ゲート側壁のSiN膜211にも有効である。すなわ
ち、これらのSiN膜としてHCD−SiN膜を成膜す
ることにより、低誘電率のSiN膜が得られるので、配
線間容量を低減させることが可能である。
【0183】また、本実施形態では、ゲート電極として
ポリシリコン/WN/Wの積層構造からなるものを例に
挙げたが、これに限ることは無く、メタルのみから形成
されるメタルゲート電極、ポリシリコンのみからなる電
極でもよいことは言うまでも無い。
【0184】(第5の実施形態)図20は本発明の第5
の実施形態に係る半導体装置の製造工程を示す工程断面
図である。これらの図は、半導体装置に用いるCu配線
近傍を配線の長手方向に垂直な方向で切断した断面図で
ある。
【0185】TEOS層間酸化膜203の配線溝中にバ
リアメタル膜としてTaN(窒化タンタル)膜204、
金属配線201’としてCuが埋め込まれ、CMPによ
り表面が平坦化された下地(配線層)が形成されている
(図20(a))。
【0186】この下地上に、LPCVD法により、Si
2 Cl6 (HCD、ヘキサクロルジシラン)とアンモニ
ア(NH3 )を原料ガスとして、成膜温度を450℃、
反応炉内圧を1.4Torr、流量比をアンモニア:H
CD:窒素=1000sccm:50sccm:50s
ccmとした条件で、SiN膜205を10nm形成し
た(図20(b))。
【0187】このSiN膜205の耐圧を確認するため
に以下の試験を行った。試験用のサンプルは、シリコン
基板上にSiN膜を所定膜厚形成し、その上にCu膜を
形成した。この、シリコン基板とCu膜に所定の電圧を
印加し、リーク電流の経時変化を測定し、その結果を図
21に示す。
【0188】図21は、シリコン基板上にSiN膜とし
て厚さ50nmのP−SiN膜、厚さ10nmのHCD
−SiN膜、厚さ50nmのHCD−SiN膜の何れか
を用い、100℃で、1(MV/cm)の電圧を印加し
た時のリーク電流(Leakage current
(アンペア)の経時変化を横軸に印加時間(Stres
s timc(分)、縦軸にリーク電流をとって示し
た。いわゆるバイアス−温度ストレステスト(BTテス
ト)の測定結果である。
【0189】なお、通常Cuの拡散はCu1+イオンによ
るといわれており、バイアスはシリコン基板中にCu1+
が拡散するようにCu電極が高電位となる条件でかけて
いる。図中、縦軸がリーク電流、横軸がストレスをかけ
た時間になっており、より長い時間破壊していない(リ
ーク電流が安定している)膜がバリア性が高いといえ
る。図より明らかなように、HCD−SiN膜は、膜厚
が50nmおよび10nmのいずれの場合にも、プラズ
マSiN膜よりもCuの拡散に対してバリア性があるこ
とが分かる。
【0190】なお、ここで破壊とはリーク電流が急激に
変化する点を意味し、21から厚さ50nmのP−Si
N膜では13分程度、厚さ10nmのHCD−SiN膜
では1000分程度、厚さ50nmのHCD−SiN膜
では5000分以上である。
【0191】HCD−SiN膜がプラズマ−SiN膜よ
りも薄くてもバリア性が高い理由は膜中Cl濃度が高い
ためであると考えられる。
【0192】図22に、破壊に要する時間(Break
Time)を縦軸に、膜中Cl濃度(Cl conc
entration)を横軸にとってプロットした図を
示した。22から分かるようにCl濃度が高いほど破壊
に至るまでの時間が長くなっている。すなわちP−Si
N膜では、塩素(Cl)含有原料を用いないのでClは
まったく入っておらず破壊に至るまでの時間が非常に短
いのに対し、HCD−SiN膜では、Cl濃度は3.4
×1021cm-3に達しており、破壊に至る時間は100
0分を超えていた。
【0193】ここで、Clは電気陰性度が大きく負に帯
電しているため、Cu1+拡散種がClサイトにトラップ
されることにより、より長い時間破壊されなかったと考
えられる。また、図4に示したように低温成膜したHC
D−SiN膜は誘電率が5.4と小さいことが分かって
いる。つまり、HCD−SiN膜を用いると、誘電率の
小さな膜をより薄膜で使用しても高い絶縁耐圧を得るこ
とが可能である。このことによる配線間容量の低減は従
来のDCS−SiN膜と比較して約20%になる。
【0194】なお、第4、第5の実施形態に係る発明の
実施は上記に記載の半導体装置ないしはその製造方法に
限ることは無く、広く低誘電率を求められる絶縁膜、高
耐圧を求められる絶縁膜に適用可能である。例えば、I
GBT等のパワー素子に適用することも可能である。
【0195】また、上第4、第5の実施形態では、シリ
コン窒化膜の形成原料としてヘキサクロルジシランを用
いた例について説明したが、本発明の実施はこれに限ら
れることは無く、一般にSin Cl2n+2(nは2以上の
整数)、若しくはSin Cl 2n+2-xx (nは2以上の
整数、xは0以上2n+1以下の整数)で記載可能なシ
リコン塩化物ガスであれば実施可能である。これらのC
l基の多い気体を用いることで塩素の濃度の高いシリコ
ン窒化膜を形成することが出来る。
【0196】(第6の実施形態)図23は、本発明の第
6の実施形態を示した図であり、隣接するゲート電極
(或いはゲート配線)間の凹部にシリコン酸化膜を埋め
込む工程を示した工程断面図である。
【0197】図23(a)は、通常の方法によってシリ
コン基板310上に形成されたゲート電極およびその周
囲の構成を示している。ゲート電極はポリシリコン膜3
11、WN膜312およびW膜313によって形成され
ており、ゲート電極下にゲート絶縁膜314が形成され
ている。ゲート電極の上面にはキャップシリコン窒化膜
315が形成され、ゲート電極の側面には側壁シリコン
窒化膜316が形成されている。これらによって構成さ
れたゲート構造の周囲にはライナーシリコン窒化膜31
7が形成され、さらにライナーシリコン窒化膜317の
側部にはBPSG膜318が形成されている。また、隣
接するゲート電極間には、ソース/ドレインとなる拡散
層319が形成されている。
【0198】次に、図23(b)に示すように、ゲート
電極間に凹部320が形成された基板上にシリコン酸化
膜321を以下のようにして形成する。
【0199】まず、図23(a)に示した構造を形成し
た後、LPCVD法により、シリコン窒化膜を形成す
る。原料ガスには、ヘキサクロロジシラン(HCD、S
2Cl6)およびアンモニア(NH3)を用いる。な
お、希釈ガスとして、N2ガス或いは希ガスを用いても
よい。成膜条件は、成膜温度250℃、ガス流量比NH
3/HCD=1000/10、反応炉内圧力1.4Torr
とする。これにより、全面に塩素を含有するシリコン窒
化膜(SiN:HCl組成)が形成される。上述した条
件での成膜速度は0.26nm/分であった。
【0200】図24は、成膜されたシリコン窒化膜に含
まれる各元素のSIMSプロファイルを示した図であ
る、酸素(O)、水素(H)および塩素(Cl)につい
ては濃度を、窒素(N)についてはイオンカウントを示
している。ここでは、HCDを用いて250℃で成膜し
たシリコン窒化膜が酸化されないようにするため、その
上面に450℃で成膜したシリコン窒化膜を形成してい
る。250℃で成膜したシリコン窒化膜中には、1×1
22cm-3程度の塩素が含有されていることがわかる。
【0201】次に、成膜したシリコン窒化膜を緩やかな
条件で酸化し、塩素を含有するシリコン酸化膜321に
変換する。このときの条件は、例えば、O2雰囲気、酸
化温度600℃、酸化時間10分とする。この膜変換処
理により、膜厚が20%程度増加する(例えば、22.
9nmから27.8nmに膜厚が増加する)。また、屈
折率は、1.56から1.43へと減少し、通常のシリ
コン酸化膜とほぼ同等の値を示す。すなわち、緩やかな
条件で酸化を行うことにより、シリコン窒化膜は体積膨
張を伴ってシリコン酸化膜321へと変換される。ちな
みに、上述した条件で成膜したシリコン窒化膜は、室温
で大気中に長時間放置することによっても、シリコン酸
化膜へと変化する。
【0202】図25は、膜変換されたシリコン酸化膜に
含まれる各元素のSIMSプロファイルを示した図であ
る、酸素(O)、水素(H)および塩素(Cl)につい
ては濃度を、窒素(N)についてはイオンカウントを示
している。シリコン酸化膜中には、塩素が6×1019
-3程度、水素が1×1021cm-3程度含有されてい
る。測定条件は、1次イオン種:Cs+、1次加速電
圧:5kV、スパッタレート:0.4nm/秒である。
また、NSi43(原子量14のNと原子量29のSi
からなるセグメントのイオン)のイオンカウントは、6
×102(CPS)程度であった。なお、HCDを用い
て650℃で成膜した窒素を4×1022cm -3含むシリ
コン窒化膜では、上記測定条件においてNSi43のイ
オンカウントは5×105(CPS)であった。
【0203】本実施形態によれば、原料ガスにHCDを
用いたLPCVD法により低温で塩素を含有するシリコ
ン窒化膜を形成し、このシリコン窒化膜を酸化してシリ
コン酸化膜に変換することにより、凹部や段差部に均一
かつ均質にシリコン酸化膜を埋め込むことができる。ま
た、仮にシリコン窒化膜に“す”が存在していたとして
も、シリコン窒化膜をシリコン酸化膜に変換する際に体
積膨張を伴うので、“す”のないシリコン酸化膜を得る
ことができる。
【0204】なお、上述した例では、シリコン窒化膜の
成膜温度を250℃としたが、450℃未満であれば、
酸化条件を適切に選ぶことにより、同様の効果が期待で
きる。また、上述した例では、酸化雰囲気をO2雰囲気
としたが、オゾン(O3)雰囲気でもよく、オゾン雰囲
気とすることでより低温でシリコン窒化膜をシリコン酸
化膜に変換可能である。また、水蒸気中での酸化処理、
酸化剤として機能する薬液(例えば、オゾン水や過酸化
水素水等)による酸化処理等によっても、シリコン窒化
膜をシリコン酸化膜に変換可能である。
【0205】(第7の実施形態)図26は、本発明の第
7の実施形態を示した図であり、STI構造おける素子
分離溝にシリコン酸化膜を埋め込む工程を示した工程断
面図である。
【0206】図26(a)は、通常の方法によってシリ
コン基板330上に素子分離溝331を形成したときの
構成を示している。332はシリコン酸化膜、333は
シリコン窒化膜であり、本例ではさらに薄いシリコン酸
化膜334を全面に形成している。
【0207】図26(b)は、素子分離溝331が形成
された基板上に塩素を含有するシリコン酸化膜335を
形成した状態を示している。このシリコン酸化膜335
は、第6の実施形態と同様、原料ガスにHCDを用いた
LPCVD法により塩素を含有するシリコン窒化膜を形
成し、このシリコン窒化膜を酸化してシリコン酸化膜に
変換することによって得られる。
【0208】最後に、図26(c)に示すように、素子
分離溝331の外部のシリコン酸化膜335をCMPに
より除去して、STIによる素子分離工程が終了する。
【0209】本実施形態によっても、第6の実施形態と
同様、素子分離溝内に“す”のないシリコン酸化膜を均
一かつ均質に埋め込むことができる。
【0210】(第8の実施形態)図27は、本発明の第
8の実施形態を示した図であり、凹部を有する下地領域
上にシリコン酸化膜を埋め込む工程を示した工程断面図
である。下地領域としては、第6の実施形態における図
23(a)の構造、或いは第7の実施形態における図2
6(a)の構造等があげられる。
【0211】第6および第7の実施形態では、原料ガス
にHCDを用いたLPCVD法により塩素を含有するシ
リコン窒化膜を凹部内全体に成膜し、これをシリコン酸
化膜に変換するようにしたが、本実施形態では、シリコ
ン窒化膜の成膜工程とシリコン酸化膜への変換工程とを
複数回繰り返すことにより、最終的に凹部内全体にシリ
コン酸化膜を埋め込むようにしている。
【0212】まず、図27(a)に示すように、凹部5
1が形成された下地領域351上に塩素を含有するシリ
コン窒化膜352を形成する。このシリコン窒化膜35
2の形成条件等は第6の実施形態と同様である。
【0213】続いて、図27(b)に示すように、シリ
コン窒化膜352を酸化して塩素を含有するシリコン酸
化膜353に変換する。この変換処理の条件等も第6の
実施形態と同様である。
【0214】さらに、図27(c)および図27(d)
に示すように、図27(a)および図27(b)と同様
にして、塩素を含有するシリコン窒化膜354を成膜し
た後、このシリコン窒化膜354を酸化してシリコン酸
化膜355に変換する。
【0215】上述したシリコン窒化膜の成膜工程とシリ
コン酸化膜への変換工程とを複数回繰り返すことによ
り、図27(e)に示すように、最終的に凹部内全体に
塩素を含有するシリコン酸化膜356が形成される。
【0216】本実施形態によれば、シリコン窒化膜の成
膜工程とシリコン酸化膜への変換工程とを複数回繰り返
すので、各シリコン窒化膜の膜厚を薄くすることができ
る。したがって、凹部が深い場合等、1回の酸化処理で
シリコン窒化膜全体をシリコン酸化膜に変換することが
困難な場合であっても、容易に凹部内全体にシリコン酸
化膜を形成することができる。
【0217】なお、以上説明した第6〜第8の実施形態
では、LPCVD法で塩素を含有するシリコン窒化膜を
形成したが、シリコン窒化膜中にさらにリン(P)およ
びボロン(B)の少なくとも一方を含有させるようにし
てもよい。リンを含有させるためには、原料ガスとして
HCDおよびアンモニアの他にさらにPH3を用い、ボ
ロンを含有させるためには、原料ガスとしてHCDおよ
びアンモニアの他にさらにB26を用いるようにする。
【0218】リンおよびボロンの少なくとも一方を含有
したシリコン窒化膜を第6の実施形態等と同様にして酸
化処理することにより、塩素の他にリンおよびボロンの
少なくとも一方を含有するシリコン酸化膜(例えば、塩
素を含有するBPSG膜)を凹部内に形成することがで
きる。なお、シリコン酸化膜中のリンおよびボロンの含
有量は、それぞれ3−10wt%程度にすることが好ま
しい。
【0219】このように、シリコン酸化膜中にリンやボ
ロンを含有させることにより、第6〜第8で述べたよう
な効果を得られることは勿論、NaやFe等の電気特性
上の劣化を引き起こす不純物のゲッタリング効果を得る
ことができる。また、図23で示したような構造に用い
た場合には、シリコン酸化膜321(この場合、塩素の
他にリンやボロンを含有するシリコン酸化膜)にRIE
によってコンタクト孔を開ける際に、下層側に形成され
ているシリコン窒化膜に対して高選択比でエッチングを
行うことができ、コンタクト孔を容易に形成することが
できる。
【0220】(第9の実施形態)先ず、本発明の動機と
なった背景について説明する。高集積・微細化をさらに
進めて、次世代半導体を実現するためには、種々の技術
的な問題がある。
【0221】例えば、適用個所が多岐にわたっているシ
リコン窒素化膜について問題点を示す。ここで、半導体
集積回路で多岐にわたって使用されるシリコン窒化膜の
用途には、電気的絶縁膜、キャパシタもしくはゲート用
絶縁膜、エッチングストッパー、ハードマスク、バリア
膜、パッシベーション膜などがある。
【0222】半導体装置にシリコン窒化膜を適用する上
での問題点とは、大きく分けて次の3つが挙げられる。
【0223】1. 高集積・微細化が進んだ次世代半導
体装置においては、微細な凹凸を持つ下地上に被覆性良
く成膜する必要がある。通常、被覆率の良い成膜方法と
してはLPCVD法が用いられる。LPCVD法を用い
た場合のシリコン窒化膜の通常の成膜温度は80O℃程
度である。しかしながら、次世代半導体装置において
は、金属配線、バリアメタル膜、シリサイド層、浅い拡
散層の形成など、耐熱性の無いものが数多く使用される
ために、成膜温度80O℃程度は高すぎる。
【0224】2. エッチングストッパ膜もしくはハー
ドマスクとして使用されるシリコン窒化膜のエッチング
耐性は低いため、必要なエッチング耐性を確保するため
には、シリコン窒化膜の膜厚を厚くする必要がある。膜
厚が厚くなると、シリコン窒化膜の成膜時間が長くかか
り、サーマルバジェットが大きくなる。このようなサー
マルバジェットの下では、拡散層の伸び(再拡散)や不
活性化、金属膜の凝集や腐蝕、シリサイド層の凝集な
ど、耐熱性の無い部分でさまざまな問題が起こり、素子
特性を悪化させる。また、生産性が悪くコストが増大す
るなどの問題がある。
【0225】3. シリコン窒化膜の誘電率は7.5と
高い。誘電率の高い絶縁膜を複数箇所において使用する
と、配線間もしくは配線層間の寄生容量が著しく増大し
てしまう。今後、微細化が進むことによるゲート電極間
距離の縮小および配線間距離の狭ピッチ化により、今ま
でと同じ誘電率の絶縁膜を使用すると、寄生容量はさら
に増大することになってしまう。また、寄生容量が大き
いことで、例えば記憶を保持するキャパシタの容量は、
寄生容量の分だけ実効的な容量が減少してしまう。減少
した分の容量を稼ぐためには、キャパシタの容量および
面積を大きくすることが必要になる。これは、チップサ
イズの大型化や、生産コストの増大を招くことになる。
【0226】図28および図29は、本発明の第9の実
施形態に係る半導体装置の製造方法を示す工程断面図で
ある。これらの図は、DRAMセルのMOSトランジス
タをチャネル長方向と垂直な方向で切断した断面を示し
ている。
【0227】まず、周知の方法に従って、図28(a)
に示した構造を形成する。図28(a)は、メモリセル
を構成する複数のMOSトランジスタの作製が終了し、
ゲート電極よりも1層上の層にビット線もしくはワード
線としての金属配線を埋込み形成した後の断面図を示し
ている。
【0228】図中、401はシリコン基板、402は多
結晶シリコン膜(ゲート)、403は窒化タングステン
膜(ゲート)、404はタングステン膜(ゲート)、4
05はシリコン窒化膜、406はシリコン酸化膜(層間
絶縁膜)、407はトレンチ、408はシリコン窒化
膜、409はバリアメタル膜(例えばTi膜/TiN
膜)、410は金属配線(例えばW配線)を示してい
る。
【0229】トレンチ407の金属配線410が埋め込
まれていない部分の最大アスペクト比は、1程度(深さ
150nm程度、幅150nm程度)である。バリアメ
タル膜409、金属配線410は、金属膜(例えばTi
N膜)、金属膜(例えばW膜)を順次堆積した後、これ
らの金属膜をエッチバックすることで形成する。
【0230】次に図28(b)に示すように、厚さ20
0nmのキャップ絶縁膜としてのシリコン窒化膜411
を、制御性および被覆性に優れた成膜法であるLPCV
D法により形成する。
【0231】シリコン窒化膜411は均質かつ均一であ
る必要があり、さらにシリコン窒化膜411はトレンチ
407に隙間を生じることなく形成する必要がある。そ
のため、シリコン窒化膜411の成膜には、LPCVD
法のような被覆性の良い成膜方法が用いられる。
【0232】また、バリアメタル膜409は耐熱性が無
いため、ジクロロシラン(DCS)を原料に用いたシリ
コン窒化膜の成膜方法、すなわちシリコン窒化膜の成膜
に高温および長時間(例えば、7OO℃、330分)を
要する従来の成膜方法では、コンタクト部分のチタンシ
リサイド層が凝集したり、拡散層中の不純物の不活性化
が起きてしまう。
【0233】そこで、本実施形態では、7OO℃以下の
低温成膜が可能なシリコンソース、例えばヘキサクロロ
ジシラン(HCD)およびアンモニアを用い、成膜温度
600℃、反応炉内圧0.5Torr、ガス流量比アン
モニア/HCD/メチルアミン=2000/2O/2O
(それぞれ、単位はsccm)の成膜条件で、LPCV
D法によりシリコン窒化膜411を形成する。
【0234】本条件でのシリコン窒化膜411の成膜速
度は1.3nm/min.である。本方法により、シリ
コン窒化膜411膜中には、不純物として、水素、塩素
および炭素が含まれる。水素濃度は5×1O21cm-3
塩素濃度は9x1020cm-3および炭素濃度は5x10
21cm-3である。本発明の効果を十分に得るには、塩素
濃度および炭素濃度は4×1020cm-3以上が好まし
い。そのためにはシリコン窒化膜411の成膜温度を7
00℃以下に設定すると良い。
【0235】本実施形態では、炭素の供給源としてメチ
ルアミンについて述ぺたが、炭化水素化合物やアミン系
炭化物、例えばメタン、エタン、エチレン、アセチレ
ン、ジメチルアミンなどのいずれでも可能である。
【0236】次に図29(c)に示すように、トレンチ
407の外部のシリコン窒化膜411をCMPにより除
去し、表面を平坦にする。このとき、シリコン酸化膜4
06をCMPストッパーに用いて平坦化を行う。上記C
MPは、シリコン窒化膜を研磨する一般的な条件、例え
ば小粒径シリカとりん酸2.5wt.%と水からなるス
ラリーを用い、研磨パッド荷重は2OOg重とする。
【0237】CMPの研磨速度は、成膜温度を低温化す
ることおよびシリコンソースを変えたことによって影響
を受けず、上記研磨条件の場合、従来方法および本実施
形態の方法により形成されたいずれのシリコン窒化膜も
その研磨速度は2Onm/min.であった。すなわ
ち、本発明の方法によりキャップ絶縁膜としてのシリコ
ン窒化膜を形成しても、平坦化に関しては従来技術と変
わらない研磨加工特性が得られることが確認された。
【0238】このように本実施形態の方法によれば、シ
リコン窒化膜を低温で形成することができるので、キャ
ップ絶縁膜の形成工程(シリコン窒化膜411の形成工
程)でデバイス特性が劣化するという問題は起こらな
い。
【0239】また、本実施形態の方法によれば、シリコ
ン窒化膜の密度を小さくでき、シリコン窒化膜の誘電率
を小さくできることが分かった。
【0240】図30に、メチルアミンを添加していない
シリコン窒化膜、すなわち炭素を導入していないシリコ
ン窒化膜の誘電率の成膜温度依存性の結果を示す。ちな
みに、炭素を導入したシリコン窒化膜の誘電率は、成膜
温度60O℃で、6.4であった。図中、白丸はDCS
−SiN膜、黒丸はHCD−SiN膜を示している。
【0241】次に図29(d)に示すように、レジスト
パターン(不図示)を形成し、シリコン窒化膜411お
よび上記レジストパターンをマスクに用いて、シリコン
酸化膜406をRIE(Reactive Ion Etcher)により
エッチングし、コンタクトホール412を自己整合的に
開口する。
【0242】シリコン窒化膜411のRIE(Reactive
Ion Etching)エッチングレートの成膜温度に対する依
存性はほとんど無い。
【0243】図31に、炭素を含まないシリコン窒化膜
のRIEレートの成膜温度依存性を示す。図から、成膜
温度550℃まで、成膜温度7O0℃のDCS−SiN
膜(従来のシリコン窒化膜)と変わらない。成膜温度を
450℃にまで下げると、RIEレートはやや大きくな
る。
【0244】図32に、RIEレートとシリコン窒化膜
中の炭素濃度との関係を示す。図から、シリコン窒化膜
中に炭素を導入することにより、炭素を導入しないシリ
コン窒化膜に比べて、RIEレートを2割程度小さくで
きることが分かる。
【0245】図29(c)の工程(RIE工程)で、シ
リコン窒化膜411のレジストパターンで覆われていな
い露出分(マスクとして機能する部分)は、RIEのエ
ッチング種(イオン、ラジカル)により、角が落ち、全
体が丸まってしまう。
【0246】図33に、RIE工程でシリコン窒化膜4
11の全体が丸まった様子を示す。図中、点線は、CM
P工程終了後かつRIE工程前のシリコン窒化膜411
を示している。
【0247】キャップ絶縁膜としてのシリコン窒化膜
は、その真横に形成される電極とその上部に形成される
キャパシタの下部電極とを電気的に絶縁する機能を有す
る必要がある。そのため、キャップ絶縁膜としてのシリ
コン窒化膜は、RIE工程の終了後も、ある程度の厚さ
を残していなければならない。
【0248】従来の炭素の導入されていないシリコン窒
化膜の削れ量は、上部で18nm、角の部分で70nm
であったが、本発明の炭素が導入されたシリコン窒化膜
411の削れ量は、上部で14nn、角部で54nmで
あった。
【0249】すなわち、従来のシリコン窒化膜を用いた
場合、RIE工程後にキャップ絶縁膜として使用可能な
程度の膜厚を確保するためには、RIE工程前のシリコ
ン窒化膜の膜厚を200nmにする必要があるが、本発
明のシリコン窒化膜を用いれば、RIE工程前の膜厚を
160nmまで薄くできる。
【0250】以上述べたように本実施形態によれば、従
来よりも低誘電率かつエッチング耐性のあるシリコン窒
化膜を形成することができる。したがって、従来よりも
低誘電率かつ薄膜のシリコン窒化膜を使用できるように
なり、半導体デバイスの層間絶縁膜による寄生容量を低
減できるようになる。
【0251】以下、次世代のDRAMである1G−DR
AMの寄生容量の低減について具体的に説明する。
【0252】図34(a)は本発明のシリコン窒化膜を
用いたDRAMの断面図、図34(b)は従来のシリコ
ン窒化膜を用いたDRAMの断面図を示している。
【0253】なお、実際の半導体デバイスにおいては、
配線同士や、電極と配線が複雑に交差しているため、生
成する電界分布も複雑になっている。そのため、図に
は、寄生容量に寄与する電極配置の一例のみを示してあ
る。また、図28および図29と対応する部分には、図
28および図29と同一符号を付してある。図中、41
3はLDD構造を有するソース/ドレイン拡散層、41
4および415はゲート側壁絶縁膜を示している。
【0254】寄生容量は、例えば、ゲート電極402−
404と金属配線410との間に発生する。本発明によ
れば、ゲート電極・金属配線間には、従来よりも誘電率
が低く、かつ膜厚の薄いシリコン窒化膜411が形成さ
れているため、寄生容量を十分に低減することが可能に
なる。
【0255】図34(a)には、ゲート電極・金属配線
間の距離が大きい例を示した。この場合において、ゲー
ト電極のピッチがより狭くなると、本発明のシリコン窒
化膜の低誘電率化・薄膜化による効果はより顕著にな
る。
【0256】寄生容量を小さくできると、キャパシタ面
積を小さくでき、配線間距離およびゲート間距離も小さ
くすることが可能になり、最終的にはチップサイズも小
さくすることができる。また、いわゆるRC遅延抵抗が
小さくなることから、デバイス特性も向上する。
【0257】一方、従来の技術では、シリコン窒化膜4
11を200nm形成する。従来のシリコン窒化膜41
1は、典型的には、成膜温度780℃、反応炉内圧6
6.5Pa、ジククロロシラン/アンモニア流量比15
0sccm/1500sccmの条件で形成する。この
場合のシリコン窒化膜411成膜速度は3.0nm/m
in.程度である。しかし、シリコン窒化膜411を7
80℃で形成すると、バリアメタル膜409の熱耐圧が
持たず、金属配線410とシリコン基板401と反応し
てしまう。
【0258】そもそも、シリコン窒化膜411を780
℃で形成すると、既に形成したあったMOSトランジス
タがダメージを受け、MOSトランジスタは使い物にな
らなくなってしまう。
【0259】従来の技術でも、成膜温度を700℃に下
げることは可能である。しかし、成膜温度700℃での
成膜速度は0.7nm/min.であるため、厚さ20
0nmのキャップシリコン窒化膜を形成するためには5
時間弱かかってしまう。
【0260】実際のプロセスでは、温度が均一になるの
に要する時間およびパージに要する時間などが必要であ
り、プロセス全体では9時間程度の成膜時間を要する。
すなわち、700℃という比較的高温の成膜温度で、キ
ャップシリコン窒化膜の成膜を行っても、生産性が非常
に悪くなる。
【0261】このような比較的高温・長時間のサーマル
バジェットの下では、コンタクトホールの底面に形成さ
れたTixSiy(チタンシリサイド)層(不図示)が一
部分に凝集してしまうため、コンタクト抵抗が上昇して
しまう。さらに、上記サーマルバジェットの下では、い
ったん活性化した拡散層が再び不活性化したり、あるい
は拡散層が再拡散して拡散層の抵抗が上昇してしまう。
【0262】以上述べたように、ジククロロシランを用
いたシリコン窒化膜の形成方法において、成膜温度を下
げると、生産性が非常に悪くなるという問題がある。し
かし、本発明によれば、低温、かつ高速のシリコン窒化
膜の形成方法、すなわち次世代の半導体装置に使用され
るシリコン窒化膜の形成方法を確立することが可能とな
る。
【0263】本実施形態では、本発明をキャップシリコ
ン窒化膜に適用した場合について説明したが、ゲート上
部絶縁膜414、ゲート側壁絶縁膜414,415にも
適用できる。
【0264】本実施形態では、シリコン窒化膜のRIE
レートを遅くできる例について説明したが、他のエッチ
ングレートも遅くできる。例えば、シリコン窒化膜の希
フッ酸によるエッチングレートも遅くできる。
【0265】図35に、シリコン窒化膜の炭素濃度とシ
リコン窒化膜の希フッ酸によるエッチングレートとの関
係を示す。本実験で使用した希フッ酸溶液は、46%フ
ッ酸を、それよりも体積が200倍ある水で希釈したも
のである。
【0266】図から、シリコン窒化膜中に炭素を導入す
ることで、シリコン窒化膜の希フッ酸によるエッチング
レートを小さくできることが分かる。これは、炭素の有
無により、シリコン窒化膜どうしでエッチング選択比が
取れることを意味する。
【0267】これを積極的に利用したプロセスとして
は、例えばダマシンメタルゲートプロセスがあげられ
る。すなわち、図36(a)に示すように、ダミーゲー
トとして炭素を含まないシリコン窒化膜501を形成
し、ゲート側壁絶縁膜として炭素を含むシリコン窒化膜
502を形成した後、図36(b)に示すように、希フ
ッ酸溶液を用いたウエットエッチングによりシリコン窒
化膜502を容易に選択的に除去することができる。な
お、図中、500はシリコン基板、503はゲート絶縁
膜、504はLDD構造を有するソース/ドレイン拡散
層、505は層間絶縁膜を示している。
【0268】
【発明の効果】以上詳説したように本発明によれば、S
i原料としてSi−Si結合およびSi−Cl結合を含
む化合物、成膜方法としてLPCVD法を用いること
で、シリコン酸化膜との間で選択比の取れる、塩素濃度
が4×1020cm-3以上であるシリコン窒化膜を実現で
きるようになる。
【0269】また、塩素濃度が1×1021cm-3以上で
あれば、被覆率およびエッチング選択比として従来と変
わることなく、誘電率が低く、かつ希弗酸に対するエッ
チングレートが大きい、シリコン酸化膜のエッチング時
に用いるエッチングストッパ膜として用いられ、さらに
Cuのバリア膜として用いられるシリコン窒化膜を実現
できるようになる。
【0270】また、本発明によれば、シリコン窒化膜、
特に塩素を含有するシリコン窒化膜を酸化してシリコン
酸化膜に変換することにより、埋め込み特性や膜特性に
優れたシリコン酸化膜を凹部内に形成することが可能と
なる。また、シリコン酸化膜中に塩素を含有させること
により、他の膜との界面に存在するダングリングボンド
を終端させることができ、リーク電流の低減等をはかる
ことが可能となる。
【図面の簡単な説明】
【図1】本発明の第1および第2の実施形態に係る半導
体装置の製造方法の前半を示す工程断面図
【図2】本発明の第1および第2の実施形態に係る半導
体装置の製造方法の後半を示す工程断面図
【図3】本発明のシリコン窒化膜(HCD−SiN膜)
の塩素濃度の成膜温度依存性を示す図
【図4】HCD−SiNの誘電率の成膜温度依存性を示
す図
【図5】シリコン窒化膜中の塩素濃度のCMP速度依存
性を示す特性図
【図6】HCD−SiN膜のRIEレートの成膜温度依
存性およびDCS−SiN膜の成膜温度700℃におけ
るRIEレートを示す図
【図7】HCD−SiN膜に対するTEOS酸化膜のR
IEの選択比の成膜温度およびアンモニア流量の依存性
を示す図
【図8】HCD−SiN膜の成膜速度の成膜温度依存性
を示す図
【図9】HCD−SiN膜のシリコンの結合状態を光電
子分光測定によって調べた結果を示す図
【図10】第2の実施形態の方法において成膜温度を変
えて形成した各シリコン窒化膜のN/Si比を化学分析
にて調べた結果を示す図
【図11】第2の実施形態の方法において成膜温度を変
えて形成したHCD−SiN膜の密度および成膜温度7
00℃で形成したDCS−SiN膜の密度を調べた結果
を示す図
【図12】本発明の第3の実施形態に係るMOSトラン
ジスタの製造方法の前半を示す工程断面図
【図13】本発明の第3の実施形態に係るMOSトラン
ジスタの製造方法の中半を示す工程断面図
【図14】本発明の第3の実施形態に係るMOSトラン
ジスタの製造方法の後半を示す工程断面図
【図15】従来技術のみを用いて、ダミーゲートおよび
ゲート側壁絶縁膜を形成した場合の図14(g)の断面
図に相当する断面図
【図16】ヘキサクロロジシランを用いて形成したシリ
コン窒化膜の希弗酸によるエッチングレートの成膜温度
依存性を示す図
【図17】Si原料がSi2 Cl6 系のシリコン窒化膜
の成膜中に流す窒素流量とシリコン窒化膜のウエットエ
ッチングレートとの関係を示す図
【図18】本発明の第4の実施例に係る半導体装置の製
造工程を示す工程断面図
【図19】HCD−SiN膜の熱処理前後のClとHの
SIMSプロファイルを示す図
【図20】本発明の第5の実施例に係る半導体装置の製
造工程を示す工程断面図
【図21】各種SiN膜のリーク電流の経時変化を示す
【図22】SiN膜が破壊に至る時間とSiN膜中のC
i濃度との関係を示す図
【図23】本発明の第6の実施例に係る製造工程を示す
工程断面図
【図24】本発明に係るシリコン窒化膜に含まれる各元
素のSIMSプロファイルを示す図
【図25】本発明に係るシリコン酸化膜に含まれる各元
素のSIMSプロファイルを示す図
【図26】本発明の第7の実施例に係る製造工程を示す
工程断面図
【図27】本発明の第8の実施例に係る製造工程を示す
工程断面図
【図28】本発明の第9の実施例に係る半導体装置の製
造方法の前半を示す工程断面図
【図29】本発明の第9の実施例に係る半導体装置の製
造方法の後半を示す工程断面図
【図30】炭素を導入していないシリコン窒化膜の誘電
率の成膜温度依存性の結果を示す図
【図31】炭素を含まないシリコン窒化膜のRIEレー
トの成膜温度依存性を示す図
【図32】RIEレートとシリコン窒化膜中の炭素濃度
の関係を示す図
【図33】RIE工程でシリコン窒化膜の全体が丸まっ
た様子を示す図
【図34】本発明および従来のシリコン窒化膜を用いた
DRAMの断面図
【図35】シリコン窒化膜の炭素濃度とシリコン窒化膜
の希フッ酸によるエッチングレートとの関係を示す図
【図36】第9の実施例の変形例を説明するための図
【図37】従来のDRAMセルをMOSトランジスタの
チャネル長方向と垂直な方向で切断した断面を示す断面
【図38】ジクロロシラン等を用いたLPCVD法によ
りシリコン窒化膜を形成する場合の問題点を説明するた
めの断面図
【図39】ジクロロシラン等を用いたLPCVD法によ
りシリコン窒化膜を形成する場合の他の問題点を説明す
るための断面図
【図40】従来のCu配線のCu配線部近傍の断面図
【図41】シリコン窒化膜はLPCVD法を用いて形成
する理由を説明するための図
【符号の説明】
101,121…シリコン基板 102…n型ドレイン拡散層 103…層間絶縁膜(SiO2 膜) 104…コンタクトホール 105…配線溝 106…シリコン窒化膜 107…Ti層 108,134…TiN膜 109…W埋込み配線 110…シリコン窒化膜(HCD−SiN膜) 122…熱酸化膜 123…素子分離絶縁膜 124…多結晶シリコン膜 125…HCD―SiN膜 126…レジストパターン 127…ダミーゲート 128…後酸化膜 129…拡散層(LDD) 130…ゲート側壁DCS−SiN膜 131…ソース/ドレイン拡散層 132…層間絶縁膜 133…ゲート絶縁膜 135…Al膜 200…ゲート電極 201…シリコン基板 201’…金属配線 203…TEOS層間酸化膜 204…TaN膜 205…SiN膜 206…ソース領域 207…ドレイン領域 208…ポリシリコン膜 209…WN膜 210…W膜 211…ゲート側壁絶縁膜 212,213…SiN膜 214…コンタクトホール 220…層間絶縁膜 311…ポリシリコン膜 312…WN膜 313…W膜 314…ゲート絶縁膜 315、316、317,350…シリコン窒化膜 318…BPSG膜 319…ソース/ドレイン拡散層 320…凹部 330…シリコン基板 331…素子分離溝 332、334…シリコン酸化膜 350…下地領域 351…凹部 352、354…塩素を含有するシリコン窒化膜 321,335,353、355、356…塩素を含有
するシリコン酸化膜
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/336 H01L 29/78 301Y (72)発明者 綱島 祥隆 神奈川県横浜市磯子区新杉田町8番地 株 式会社東芝横浜事業所内 Fターム(参考) 5F033 HH04 HH11 HH19 HH32 HH33 HH34 JJ18 JJ19 JJ33 KK01 MM01 MM02 MM08 MM12 MM13 NN03 NN06 NN07 PP06 PP07 QQ08 QQ13 QQ19 QQ25 QQ28 QQ31 QQ37 QQ48 QQ49 QQ58 QQ62 QQ74 QQ76 QQ89 QQ92 QQ94 RR04 RR06 RR11 RR12 RR13 RR14 RR15 RR20 SS01 SS02 SS13 TT06 TT07 TT08 VV06 VV16 WW03 WW04 XX01 XX24 5F040 DC01 EC02 EC04 EC07 EC20 ED03 EF02 EH07 EK05 EL02 EL03 EL04 EL06 FA02 FA07 FB02 FB04 FB05 5F058 BA09 BA20 BC08 BC10 BF04 BF24 BF30 BF37 BJ02 5F083 GA03 GA27 JA39 JA40 KA05 MA02 MA20 PR21

Claims (28)

    【特許請求の範囲】
  1. 【請求項1】塩素濃度が4×1020cm-3以上であるシ
    リコン窒化膜を有することを特徴とする半導体装置。
  2. 【請求項2】前記シリコン窒化膜はシリコン過剰である
    ことを特徴とする請求項1に記載の半導体装置。
  3. 【請求項3】塩素/シリコンの比が1.33より小さい
    シリコン過剰であることを特徴とする請求項2に記載の
    半導体装置。
  4. 【請求項4】前記シリコン窒化膜は、炭素を含むことを
    特徴とする請求項1に記載の半導体装置。
  5. 【請求項5】前記シリコン窒化膜は、溝の内部に形成さ
    れていることを特徴とする請求項1ないし請求項4のい
    ずれか1項に記載の半導体装置。
  6. 【請求項6】表面に拡散層を有する半導体基板と、前記
    拡散層の表面に形成されたバリアメタル膜と、前記半導
    体基板上に形成され、前記バリアメタル膜に到達する接
    続孔を有する絶縁膜と、前記接続孔の下部側を充填する
    導電膜とをさらに備え、 前記シリコン窒化膜は、前記接続孔の上部側を充填する
    前記導電膜上に形成されたものであることを特徴とする
    請求項1に記載の半導体装置。
  7. 【請求項7】前記シリコン窒化膜の炭素濃度が4×10
    20cm-3以上であることを特徴とする請求項4に記載の
    半導体装置。
  8. 【請求項8】前記塩素濃度は、1×1021cm-3以上で
    あることを特徴とする請求項1に記載の半導体装置。
  9. 【請求項9】前記シリコン窒化膜がCu配線に隣接して
    密着形成されていることを特徴とする請求項8に記載の
    半導体装置。
  10. 【請求項10】半導体基板の主表面側に形成された凹部
    を有する下地領域と、前記下地領域の凹部内全体に埋め
    込まれた塩素を含有するシリコン酸化膜とを有すること
    を特徴とする半導体装置。
  11. 【請求項11】前記シリコン酸化膜には、リンおよびボ
    ロンの少なくとも一方が含有されていることを特徴とす
    る請求項10に記載の半導体装置。
  12. 【請求項12】前記シリコン酸化膜は、1×1019cm
    -3以上の塩素を含有することを特徴とする請求項10に
    記載の半導体装置。
  13. 【請求項13】半導体基板を用意する工程と、 前記半導体基板上に、Si原料としてSi−Si結合お
    よびSi−Cl結合を含む化合物を用いた減圧化学気相
    成長法により、シリコン窒化膜を形成する工程とを含む
    ことを特徴とする半導体装置の製造方法。
  14. 【請求項14】前記シリコン窒化膜を形成する工程は、
    前記半導体基板上にゲート電極を形成した後、前記半導
    体基板、前記ゲート電極の表面を覆うためのシリコン窒
    化膜を形成する工程であり、 前記シリコン窒化膜上に層間絶縁膜を形成する工程と、
    前記層間絶縁膜およびシリコン窒化膜の所望の部分を貫
    通して前記半導体基板表面に至る貫通孔を形成する工程
    とをさらに有することを特徴とする請求項13に記載の
    半導体装置の製造方法。
  15. 【請求項15】前記シリコン窒化膜の原料は、Sin
    2n+2-xx (nは2以上の整数、xは0以上2n+1
    以下の整数)であることを特徴とするクレーム13に記
    載の半導体装置の製造方法。
  16. 【請求項16】前記シリコン窒化膜の成膜温度を700
    ℃以下に設定することにより、前記シリコン窒化膜の塩
    素濃度を4×1020cm-3以上にすることを特徴とする
    クレーム13に記載の半導体装置の製造方法。
  17. 【請求項17】表面に拡散層が形成された半導体基板上
    に、配線溝およびその下に前記拡散層に対してのコンタ
    クトホールを有する絶縁膜を形成する工程と、前記拡散
    層の表面にバリアメタル膜を形成する工程と、前記コン
    タクトホールの内部を充填するとともに、前記配線溝の
    途中の深さまで充填する、前記拡散層と電気的に接続す
    る埋込み配線を形成する工程とをさらに有し、 前記シリコン窒化膜を形成する工程は、前記配線溝の内
    部を充填するために前記配線溝を含む領域上にシリコン
    窒化膜を形成する工程であることを特徴とするクレーム
    13に記載の半導体装置の製造方法。
  18. 【請求項18】前記バリアメタル膜としてTi膜とTi
    N膜との積層膜を用い、前記シリコン窒化膜の成膜温度
    を700℃以下に設定することを特徴とするクレーム1
    7に記載の半導体装置の製造方法。
  19. 【請求項19】半導体基板の主表面側の下地領域に形成
    された凹部内全体にシリコン窒化膜を形成する工程と、 前記シリコン窒化膜を酸化して該シリコン窒化膜をシリ
    コン酸化膜に変換することにより、前記凹部内全体に絶
    縁領域を形成する工程とを有することを特徴とする半導
    体装置の製造方法。
  20. 【請求項20】半導体基板の主表面側の下地領域に形成
    された凹部内にシリコン窒化膜を形成する工程と、前記
    シリコン窒化膜を酸化して該シリコン窒化膜をシリコン
    酸化膜に変換する工程とを、成膜方向に向かって複数回
    繰り返すことにより、前記凹部内全体に絶縁領域を形成
    することを特徴とする半導体装置の製造方法。
  21. 【請求項21】前記シリコン窒化膜はリンおよびボロン
    の少なくとも一方を含有しており、該シリコン窒化膜を
    酸化してリンおよびボロンの少なくとも一方を含有した
    前記シリコン酸化膜を形成することを特徴とする請求項
    19または請求項20に記載の半導体装置の製造方法。
  22. 【請求項22】前記シリコン酸化膜は、1×1019cm
    -3以上の塩素を含有していることを特徴とする請求項1
    9または請求項20に記載の半導体装置の製造方法。
  23. 【請求項23】前記シリコン窒化膜は、9×1020cm
    -3以上の塩素を含有していることを特徴とする請求項1
    9または請求項20に記載の半導体装置の製造方法。
  24. 【請求項24】前記シリコン窒化膜は、減圧化学気相成
    長法によって形成され、減圧化学気相成長に用いる原料
    ガスには、Si−Si結合およびSi−Cl結合を持つ
    化合物が含まれていることを特徴とする請求項19また
    は請求項20に記載の半導体装置の製造方法。
  25. 【請求項25】前記化合物は、化学式がSinCl2n+2
    またはSinCl2n+2-xx(nは2以上の整数、xは2
    n+2より小さい正数)であることを特徴とする請求項
    24に記載の半導体装置の製造方法。
  26. 【請求項26】前記シリコン窒化膜は、450℃よりも
    低い温度で形成されることを特徴とする請求項19また
    は請求項20に記載の半導体装置の製造方法。
  27. 【請求項27】前記シリコン窒化膜を形成する工程で、
    炭素原料としてC−H結合およびC−N結合の少なくと
    も一方を含む化合物を用いて、前記シリコン窒化膜に炭
    素を含ませることを特徴とするクレーム4に記載の半導
    体装置の製造方法。
  28. 【請求項28】前記シリコン窒化膜の成膜温度を700
    ℃以下に設定することにより、前記シリコン窒化膜の塩
    素濃度および炭素濃度を4×1020cm-3以上にするこ
    とを特徴とする請求項27に記載の半導体装置の製造方
    法。
JP35946399A 1999-01-08 1999-12-17 半導体装置およびその製造方法 Pending JP2001168092A (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP35946399A JP2001168092A (ja) 1999-01-08 1999-12-17 半導体装置およびその製造方法
US09/478,369 US6333547B1 (en) 1999-01-08 2000-01-06 Semiconductor device and method of manufacturing the same
US09/984,404 US6790723B2 (en) 1999-01-08 2001-10-30 Semiconductor device and method of manufacturing the same
US10/895,087 US7129132B2 (en) 1999-01-08 2004-07-21 Semiconductor device and method of manufacturing the same
US10/895,088 US7098115B2 (en) 1999-01-08 2004-07-21 Semiconductor device and method of manufacturing the same
US10/895,144 US7060555B2 (en) 1999-01-08 2004-07-21 Semiconductor device and method of manufacturing the same
US14/697,298 USRE46122E1 (en) 1999-01-08 2015-04-27 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP289599 1999-01-08
JP16320299 1999-06-10
JP11-163202 1999-09-28
JP27470399 1999-09-28
JP11-2895 1999-09-28
JP11-274703 1999-09-28
JP35946399A JP2001168092A (ja) 1999-01-08 1999-12-17 半導体装置およびその製造方法

Related Child Applications (3)

Application Number Title Priority Date Filing Date
JP2007102114A Division JP4672697B2 (ja) 1999-01-08 2007-04-09 半導体装置の製造方法
JP2007102113A Division JP4724146B2 (ja) 1999-01-08 2007-04-09 半導体装置
JP2008117677A Division JP4987796B2 (ja) 1999-01-08 2008-04-28 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2001168092A true JP2001168092A (ja) 2001-06-22

Family

ID=27453737

Family Applications (1)

Application Number Title Priority Date Filing Date
JP35946399A Pending JP2001168092A (ja) 1999-01-08 1999-12-17 半導体装置およびその製造方法

Country Status (2)

Country Link
US (6) US6333547B1 (ja)
JP (1) JP2001168092A (ja)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002141350A (ja) * 2000-10-31 2002-05-17 Hitachi Ltd 半導体集積回路装置の製造方法
JP2003282566A (ja) * 2002-01-15 2003-10-03 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2004536464A (ja) * 2001-07-20 2004-12-02 アプライド マテリアルズ インコーポレイテッド Bpsg堆積のための方法及び装置
WO2004105115A1 (ja) * 2003-05-26 2004-12-02 Tokyo Electron Limited シリコン窒化膜を形成するcvd方法
JP2005251973A (ja) * 2004-03-04 2005-09-15 Fujitsu Ltd 半導体装置の製造方法と半導体装置
JP2005340814A (ja) * 2004-05-21 2005-12-08 Hynix Semiconductor Inc 半導体素子の形成方法
US6977415B2 (en) 2002-09-12 2005-12-20 Kabushiki Kaisha Toshiba Semiconductor device including a gate insulating film on a recess and source and drain extension regions
JP2006165369A (ja) * 2004-12-09 2006-06-22 Fujitsu Ltd 応力蓄積絶縁膜の製造方法及び半導体装置
US7148158B2 (en) 2002-01-10 2006-12-12 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP2008244490A (ja) * 1999-01-08 2008-10-09 Toshiba Corp 半導体装置の製造方法
JP2009135450A (ja) * 2007-10-22 2009-06-18 Applied Materials Inc トレンチ内に誘電層を形成する方法
JP2010087424A (ja) * 2008-10-02 2010-04-15 Spansion Llc 半導体装置及び半導体装置の製造方法
JP2012015344A (ja) * 2010-07-01 2012-01-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2013501384A (ja) * 2009-08-06 2013-01-10 アプライド マテリアルズ インコーポレイテッド 非炭素流動性cvdプロセスを使用する酸化ケイ素の形成
US8357430B2 (en) 2004-08-20 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for producing silicon nitride films
JP2013140946A (ja) * 2011-12-09 2013-07-18 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2013182986A (ja) * 2012-03-01 2013-09-12 Elpida Memory Inc 半導体装置及びその製造方法
JP2016500762A (ja) * 2012-11-07 2016-01-14 ユーピー ケミカル カンパニー リミテッド シリコン含有薄膜の製造方法
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9920078B2 (en) 2013-09-27 2018-03-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Halogen free synthesis of aminosilanes by catalytic dehydrogenative coupling
JP2019161216A (ja) * 2018-03-12 2019-09-19 キヤノン株式会社 撮像装置およびその製造方法ならびに機器
JP2021061298A (ja) * 2019-10-04 2021-04-15 住友電気工業株式会社 高電子移動度トランジスタの製造方法及び高電子移動度トランジスタ
JP2021111698A (ja) * 2020-01-10 2021-08-02 住友電気工業株式会社 半導体装置及び半導体装置の製造方法

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6774489B2 (en) * 2000-08-29 2004-08-10 Texas Instruments Incorporated Dielectric layer liner for an integrated circuit structure
US6844273B2 (en) * 2001-02-07 2005-01-18 Tokyo Electron Limited Precleaning method of precleaning a silicon nitride film forming system
US20020116290A1 (en) * 2001-02-20 2002-08-22 Hodges Leslie C. System and method for providing a donor/donee matching service for the donation of intellectual property assets
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
FR2823032B1 (fr) * 2001-04-03 2003-07-11 St Microelectronics Sa Resonateur electromecanique a poutre vibrante
KR100867086B1 (ko) * 2001-04-27 2008-11-04 엔엑스피 비 브이 반도체 장치 제조 방법 및 장치
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6566246B1 (en) * 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
JP2002367990A (ja) * 2001-06-04 2002-12-20 Tokyo Electron Ltd 半導体装置の製造方法
US20030042614A1 (en) * 2001-08-30 2003-03-06 Ammar Deraa Metal silicide adhesion layer for contact structures
US6858904B2 (en) * 2001-08-30 2005-02-22 Micron Technology, Inc. High aspect ratio contact structure with reduced silicon consumption
US6699777B2 (en) * 2001-10-04 2004-03-02 Micron Technology, Inc. Etch stop layer in poly-metal structures
US6559074B1 (en) * 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
WO2003060978A1 (en) * 2002-01-15 2003-07-24 Tokyo Electron Limited Cvd method and device for forming silicon-containing insulation film
JP3753994B2 (ja) * 2002-03-11 2006-03-08 松下電器産業株式会社 半導体装置の製造方法
JP3586268B2 (ja) * 2002-07-09 2004-11-10 株式会社東芝 半導体装置及びその製造方法
JP2004134687A (ja) * 2002-10-15 2004-04-30 Toshiba Corp 半導体装置及びその製造方法
US6884464B2 (en) * 2002-11-04 2005-04-26 Applied Materials, Inc. Methods for forming silicon comprising films using hexachlorodisilane in a single-wafer deposion chamber
JP2004207564A (ja) * 2002-12-26 2004-07-22 Fujitsu Ltd 半導体装置の製造方法と半導体装置
KR100523618B1 (ko) * 2002-12-30 2005-10-24 동부아남반도체 주식회사 반도체 장치의 콘택트 홀 형성 방법
US7042705B2 (en) * 2003-01-30 2006-05-09 Infineon Technologies Ag Sidewall structure and method of fabrication for reducing oxygen diffusion to contact plugs during CW hole reactive ion etch processing
JP3762378B2 (ja) * 2003-03-20 2006-04-05 株式会社東芝 半導体装置及びその製造方法
US6838396B2 (en) * 2003-03-28 2005-01-04 International Business Machines Corporation Bilayer ultra-thin gate dielectric and process for semiconductor metal contamination reduction
TW582095B (en) * 2003-04-10 2004-04-01 Nanya Technology Corp Bit line contact and method for forming the same
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US6867080B1 (en) * 2003-06-13 2005-03-15 Advanced Micro Devices, Inc. Polysilicon tilting to prevent geometry effects during laser thermal annealing
US7508075B2 (en) * 2003-08-01 2009-03-24 Micron Technology, Inc. Self-aligned poly-metal structures
KR100546386B1 (ko) * 2003-10-10 2006-01-26 삼성전자주식회사 보이드를 방지할 수 있는 반도체 디바이스의 sti막형성방법
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7183184B2 (en) * 2003-12-29 2007-02-27 Intel Corporation Method for making a semiconductor device that includes a metal gate electrode
JP2005203619A (ja) * 2004-01-16 2005-07-28 Sharp Corp 層間絶縁膜の形成方法
DE102004004942A1 (de) * 2004-01-31 2005-08-18 X-Fab Semiconductor Foundries Ag Passivierung isolierender Trenngräben von integrierten Schaltungen
US7030431B2 (en) * 2004-03-19 2006-04-18 Nanya Technology Corp. Metal gate with composite film stack
KR100657083B1 (ko) * 2004-04-07 2006-12-13 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR100562650B1 (ko) * 2004-06-25 2006-03-20 주식회사 하이닉스반도체 반도체 소자 제조 방법
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
US7833896B2 (en) * 2004-09-23 2010-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Aluminum cap for reducing scratch and wire-bond bridging of bond pads
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
DE102004054818B4 (de) * 2004-11-12 2009-02-26 Qimonda Ag Verfahren zum reversiblen Oxidationsschutz von Mikro-Bauelementen
US7163853B2 (en) * 2005-02-09 2007-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a capacitor and a metal gate on a semiconductor device
US7265015B2 (en) * 2005-06-30 2007-09-04 Promos Technologies Inc. Use of chlorine to fabricate trench dielectric in integrated circuits
JP2007035996A (ja) * 2005-07-28 2007-02-08 Toshiba Corp 半導体装置およびその製造方法
US20070034749A1 (en) * 2005-08-12 2007-02-15 Wagner Fred A Iii Deployable airfoil assembly for aircraft
US8501632B2 (en) * 2005-12-20 2013-08-06 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
TWI389250B (zh) * 2006-01-18 2013-03-11 Az Electronic Mat Ip Japan Kk 矽石質膜之製法及附有由它製造的矽石質膜之基板
US20070207622A1 (en) * 2006-02-23 2007-09-06 Micron Technology, Inc. Highly selective doped oxide etchant
US8936995B2 (en) * 2006-03-01 2015-01-20 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
JP4205734B2 (ja) * 2006-05-25 2009-01-07 エルピーダメモリ株式会社 半導体装置の製造方法
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7501355B2 (en) 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US7790516B2 (en) * 2006-07-10 2010-09-07 Qimonda Ag Method of manufacturing at least one semiconductor component and memory cells
KR100788375B1 (ko) * 2006-09-12 2008-01-02 동부일렉트로닉스 주식회사 이미지 센서 제조 방법
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
DE102007007874A1 (de) 2007-02-14 2008-08-21 Evonik Degussa Gmbh Verfahren zur Herstellung höherer Silane
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7615480B2 (en) * 2007-06-20 2009-11-10 Lam Research Corporation Methods of post-contact back end of the line through-hole via integration
CN101393862B (zh) * 2007-09-20 2011-03-23 中芯国际集成电路制造(上海)有限公司 栅极侧壁层的制造方法及半导体器件的制造方法
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
JP2009105390A (ja) * 2007-10-05 2009-05-14 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US8404597B2 (en) 2007-11-09 2013-03-26 Infineon Technologies Ag Device and method for stopping an etching process
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
KR20090128900A (ko) * 2008-06-11 2009-12-16 크로스텍 캐피탈, 엘엘씨 Coms 이미지 센서의 제조방법
KR101110437B1 (ko) * 2010-01-25 2012-02-24 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
KR101052930B1 (ko) 2010-06-30 2011-07-29 주식회사 하이닉스반도체 반도체 소자의 매몰 게이트 및 형성 방법
US8772155B2 (en) * 2010-11-18 2014-07-08 Micron Technology, Inc. Filling cavities in semiconductor structures having adhesion promoting layer in the cavities
JP5975617B2 (ja) * 2011-10-06 2016-08-23 キヤノン株式会社 固体撮像装置およびその製造方法ならびにカメラ
US8860135B2 (en) * 2012-02-21 2014-10-14 United Microelectronics Corp. Semiconductor structure having aluminum layer with high reflectivity
US8551877B2 (en) * 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8772904B2 (en) * 2012-06-13 2014-07-08 United Microelectronics Corp. Semiconductor structure and process thereof
US9312354B2 (en) * 2014-02-21 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact etch stop layers of a field effect transistor
CN105097929A (zh) * 2014-05-22 2015-11-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其制作方法
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US10164106B2 (en) 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
JP6876500B2 (ja) * 2017-04-19 2021-05-26 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
EP3540775B1 (en) * 2018-03-12 2020-10-28 Canon Kabushiki Kaisha Imaging device, method of manufacturing the same, and apparatus
JP7458432B2 (ja) * 2022-03-09 2024-03-29 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム及び基板処理装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4481070A (en) * 1984-04-04 1984-11-06 Advanced Micro Devices, Inc. Double planarization process for multilayer metallization of integrated circuit structures
US5176789A (en) * 1985-09-21 1993-01-05 Semiconductor Energy Laboratory Co., Ltd. Method for depositing material on depressions
US4810673A (en) * 1986-09-18 1989-03-07 Texas Instruments Incorporated Oxide deposition method
JPS6447870A (en) * 1987-08-14 1989-02-22 Mitsubishi Metal Corp Formation of amorphous silicon-nitride film
US5059550A (en) * 1988-10-25 1991-10-22 Sharp Kabushiki Kaisha Method of forming an element isolating portion in a semiconductor device
JP2795904B2 (ja) 1989-05-31 1998-09-10 株式会社東芝 半導体装置
JPH0529301A (ja) 1991-07-23 1993-02-05 Seiko Epson Corp Cvd法
US6849872B1 (en) * 1991-08-26 2005-02-01 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor
JP3173854B2 (ja) * 1992-03-25 2001-06-04 株式会社半導体エネルギー研究所 薄膜状絶縁ゲイト型半導体装置の作製方法及び作成された半導体装置
JPH09260484A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 半導体装置の製造方法
JP2871580B2 (ja) * 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
JPH10270664A (ja) * 1997-03-28 1998-10-09 Hitachi Ltd 半導体集積回路装置の製造方法
US6277720B1 (en) * 1997-06-30 2001-08-21 Texas Instruments Incorporated Silicon nitride dopant diffusion barrier in integrated circuits
JPH1174485A (ja) * 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5972804A (en) * 1997-08-05 1999-10-26 Motorola, Inc. Process for forming a semiconductor device
JPH11204788A (ja) * 1998-01-19 1999-07-30 Toshiba Corp 半導体装置およびその製造方法
KR100275908B1 (ko) * 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6207991B1 (en) * 1998-03-20 2001-03-27 Cypress Semiconductor Corp. Integrated non-volatile and CMOS memories having substantially the same thickness gates and methods of forming the same
US5837577A (en) * 1998-04-24 1998-11-17 Vanguard International Semiconductor Corporation Method for making self-aligned node contacts to bit lines for capacitor-over-bit-line structures on dynamic random access memory (DRAM) devices
JP4021593B2 (ja) * 1998-09-25 2007-12-12 株式会社東芝 半導体装置およびその製造方法
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
JP3430091B2 (ja) * 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 エッチングマスク及びエッチングマスクを用いたコンタクトホールの形成方法並びにその方法で形成した半導体装置

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244490A (ja) * 1999-01-08 2008-10-09 Toshiba Corp 半導体装置の製造方法
JP2002141350A (ja) * 2000-10-31 2002-05-17 Hitachi Ltd 半導体集積回路装置の製造方法
JP2004536464A (ja) * 2001-07-20 2004-12-02 アプライド マテリアルズ インコーポレイテッド Bpsg堆積のための方法及び装置
JP4838492B2 (ja) * 2001-07-20 2011-12-14 アプライド マテリアルズ インコーポレイテッド Bpsg堆積のための方法及び装置
US7148158B2 (en) 2002-01-10 2006-12-12 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP2003282566A (ja) * 2002-01-15 2003-10-03 Tokyo Electron Ltd 成膜方法及び成膜装置
US6977415B2 (en) 2002-09-12 2005-12-20 Kabushiki Kaisha Toshiba Semiconductor device including a gate insulating film on a recess and source and drain extension regions
US7767535B2 (en) 2002-09-12 2010-08-03 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US7462376B2 (en) 2003-05-26 2008-12-09 Tokyo Electron Limited CVD method for forming silicon nitride film
WO2004105115A1 (ja) * 2003-05-26 2004-12-02 Tokyo Electron Limited シリコン窒化膜を形成するcvd方法
JP2005251973A (ja) * 2004-03-04 2005-09-15 Fujitsu Ltd 半導体装置の製造方法と半導体装置
US7626234B2 (en) 2004-03-04 2009-12-01 Fujitsu Microelectronics Limited Semiconductor device with shallow trench isolation and its manufacture method
JP2005340814A (ja) * 2004-05-21 2005-12-08 Hynix Semiconductor Inc 半導体素子の形成方法
KR101230697B1 (ko) * 2004-08-20 2013-02-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 질화 규소 막의 제조방법
US8357430B2 (en) 2004-08-20 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for producing silicon nitride films
JP2006165369A (ja) * 2004-12-09 2006-06-22 Fujitsu Ltd 応力蓄積絶縁膜の製造方法及び半導体装置
JP2009135450A (ja) * 2007-10-22 2009-06-18 Applied Materials Inc トレンチ内に誘電層を形成する方法
JP2010087424A (ja) * 2008-10-02 2010-04-15 Spansion Llc 半導体装置及び半導体装置の製造方法
JP2013501384A (ja) * 2009-08-06 2013-01-10 アプライド マテリアルズ インコーポレイテッド 非炭素流動性cvdプロセスを使用する酸化ケイ素の形成
JP2012015344A (ja) * 2010-07-01 2012-01-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2013140946A (ja) * 2011-12-09 2013-07-18 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2013182986A (ja) * 2012-03-01 2013-09-12 Elpida Memory Inc 半導体装置及びその製造方法
JP2016500762A (ja) * 2012-11-07 2016-01-14 ユーピー ケミカル カンパニー リミテッド シリコン含有薄膜の製造方法
US11274112B2 (en) 2013-09-27 2022-03-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US9920078B2 (en) 2013-09-27 2018-03-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Halogen free synthesis of aminosilanes by catalytic dehydrogenative coupling
US10494387B2 (en) 2013-09-27 2019-12-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US11780859B2 (en) 2013-09-27 2023-10-10 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10403494B2 (en) 2015-03-30 2019-09-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11699584B2 (en) 2015-03-30 2023-07-11 L'Air Liquide, Société Anonyme pour l'Edute ed l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
JP2019161216A (ja) * 2018-03-12 2019-09-19 キヤノン株式会社 撮像装置およびその製造方法ならびに機器
JP2021061298A (ja) * 2019-10-04 2021-04-15 住友電気工業株式会社 高電子移動度トランジスタの製造方法及び高電子移動度トランジスタ
JP7367440B2 (ja) 2019-10-04 2023-10-24 住友電気工業株式会社 高電子移動度トランジスタの製造方法及び高電子移動度トランジスタ
JP2021111698A (ja) * 2020-01-10 2021-08-02 住友電気工業株式会社 半導体装置及び半導体装置の製造方法
JP7327173B2 (ja) 2020-01-10 2023-08-16 住友電気工業株式会社 半導体装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
USRE46122E1 (en) 2016-08-23
US7129132B2 (en) 2006-10-31
US6790723B2 (en) 2004-09-14
US7060555B2 (en) 2006-06-13
US20040259386A1 (en) 2004-12-23
US7098115B2 (en) 2006-08-29
US6333547B1 (en) 2001-12-25
US20040266176A1 (en) 2004-12-30
US20040266177A1 (en) 2004-12-30
US20020024119A1 (en) 2002-02-28

Similar Documents

Publication Publication Date Title
JP2001168092A (ja) 半導体装置およびその製造方法
JP4987796B2 (ja) 半導体装置の製造方法
US10211047B2 (en) Multilayer dielectric structures with graded composition for nano-scale semiconductor devices
KR100940395B1 (ko) 반도체 집적 회로 장치
US6511888B1 (en) Method of fabricating a semiconductor device using trench isolation method including hydrogen annealing step
US6825081B2 (en) Cell nitride nucleation on insulative layers and reduced corner leakage of container capacitors
US5869394A (en) Teos-ozone planarization process
JP4672697B2 (ja) 半導体装置の製造方法
JP4724146B2 (ja) 半導体装置
US6916736B2 (en) Method of forming an intermetal dielectric layer
TW200403763A (en) Manufacturing method of semiconductor integrated circuit device
KR20150064330A (ko) 반도체 장치 및 이의 제조 방법
US6472751B1 (en) H2 diffusion barrier formation by nitrogen incorporation in oxide layer
US6905956B2 (en) Multi-layer dielectric and method of forming same
US7052970B2 (en) Method for producing insulator structures including a main layer and a barrier layer
US20060134846A1 (en) Method of fabricating a semiconductor structure
EP1039524A2 (en) Silicon nitride composite HDP/CVD process
KR100596277B1 (ko) 반도체 소자 및 그의 절연막 형성 방법
KR100237022B1 (ko) 캐패시터의 유전체막 형성방법
KR20060000795A (ko) 반도체 소자의 폴리 실리콘막 형성방법
KR100643567B1 (ko) 반도체 메모리 소자의 랜딩 플러그 콘택 형성 방법
CN115020346A (zh) 半导体结构及其制备方法
KR100762877B1 (ko) 반도체 소자의 콘택플러그 형성방법
KR100484251B1 (ko) 반도체 소자의 제조방법
KR19990042454A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040609

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050307

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070409

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080226

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080819